Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 1 | // Copyright lowRISC contributors. |
| 2 | // Licensed under the Apache License, Version 2.0, see LICENSE for details. |
| 3 | // SPDX-License-Identifier: Apache-2.0 |
| 4 | |
| 5 | `include "prim_assert.sv" |
| 6 | |
| 7 | /** |
| 8 | * OTBN Controller |
| 9 | */ |
| 10 | module otbn_controller |
| 11 | import otbn_pkg::*; |
| 12 | #( |
| 13 | // Size of the instruction memory, in bytes |
| 14 | parameter int ImemSizeByte = 4096, |
| 15 | // Size of the data memory, in bytes |
| 16 | parameter int DmemSizeByte = 4096, |
| 17 | |
| 18 | localparam int ImemAddrWidth = prim_util_pkg::vbits(ImemSizeByte), |
| 19 | localparam int DmemAddrWidth = prim_util_pkg::vbits(DmemSizeByte) |
| 20 | ) ( |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 21 | input logic clk_i, |
| 22 | input logic rst_ni, |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 23 | |
Rupert Swarbrick | 48e4bb6 | 2022-04-22 17:14:54 +0100 | [diff] [blame] | 24 | input logic start_i, // start the processing at address zero |
| 25 | output logic locking_o, // Controller is in or is entering the locked state |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 26 | |
Andreas Kurth | 13d7685 | 2022-04-04 14:55:54 +0200 | [diff] [blame] | 27 | input prim_mubi_pkg::mubi4_t escalate_en_i, |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 28 | output controller_err_bits_t err_bits_o, |
| 29 | output logic recoverable_err_o, |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 30 | |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 31 | // Next instruction selection (to instruction fetch) |
| 32 | output logic insn_fetch_req_valid_o, |
| 33 | output logic [ImemAddrWidth-1:0] insn_fetch_req_addr_o, |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 34 | output logic insn_fetch_resp_clear_o, |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 35 | |
| 36 | // Fetched/decoded instruction |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 37 | input logic insn_valid_i, |
| 38 | input logic insn_illegal_i, |
| 39 | input logic [ImemAddrWidth-1:0] insn_addr_i, |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 40 | |
Philipp Wagner | 56a64bd | 2021-05-08 14:31:24 +0100 | [diff] [blame] | 41 | // Decoded instruction data |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 42 | input insn_dec_base_t insn_dec_base_i, |
| 43 | input insn_dec_bignum_t insn_dec_bignum_i, |
| 44 | input insn_dec_shared_t insn_dec_shared_i, |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 45 | |
| 46 | // Base register file |
Greg Chadwick | ee060bd | 2021-05-15 17:33:22 +0100 | [diff] [blame] | 47 | output logic [4:0] rf_base_wr_addr_o, |
| 48 | output logic rf_base_wr_en_o, |
| 49 | output logic rf_base_wr_commit_o, |
| 50 | output logic [31:0] rf_base_wr_data_no_intg_o, |
| 51 | output logic [BaseIntgWidth-1:0] rf_base_wr_data_intg_o, |
| 52 | output logic rf_base_wr_data_intg_sel_o, |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 53 | |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 54 | output logic [4:0] rf_base_rd_addr_a_o, |
| 55 | output logic rf_base_rd_en_a_o, |
| 56 | input logic [BaseIntgWidth-1:0] rf_base_rd_data_a_intg_i, |
| 57 | output logic [4:0] rf_base_rd_addr_b_o, |
| 58 | output logic rf_base_rd_en_b_o, |
| 59 | input logic [BaseIntgWidth-1:0] rf_base_rd_data_b_intg_i, |
| 60 | output logic rf_base_rd_commit_o, |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 61 | |
Andreas Kurth | 7100437 | 2022-05-07 16:59:42 +0200 | [diff] [blame] | 62 | input logic rf_base_call_stack_sw_err_i, |
Andreas Kurth | f51612b | 2022-05-04 11:37:18 +0200 | [diff] [blame] | 63 | input logic rf_base_call_stack_hw_err_i, |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 64 | |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 65 | // Bignum register file (WDRs) |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 66 | output logic [4:0] rf_bignum_wr_addr_o, |
| 67 | output logic [1:0] rf_bignum_wr_en_o, |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 68 | output logic rf_bignum_wr_commit_o, |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 69 | output logic [WLEN-1:0] rf_bignum_wr_data_no_intg_o, |
| 70 | output logic [ExtWLEN-1:0] rf_bignum_wr_data_intg_o, |
| 71 | output logic rf_bignum_wr_data_intg_sel_o, |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 72 | |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 73 | output logic [4:0] rf_bignum_rd_addr_a_o, |
| 74 | output logic rf_bignum_rd_en_a_o, |
| 75 | input logic [ExtWLEN-1:0] rf_bignum_rd_data_a_intg_i, |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 76 | |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 77 | output logic [4:0] rf_bignum_rd_addr_b_o, |
| 78 | output logic rf_bignum_rd_en_b_o, |
| 79 | input logic [ExtWLEN-1:0] rf_bignum_rd_data_b_intg_i, |
| 80 | |
Michael Schaffner | 9a4ac7b | 2022-04-14 11:56:53 -0700 | [diff] [blame] | 81 | input logic rf_bignum_rf_err_i, |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 82 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 83 | output logic [NWdr-1:0] rf_bignum_rd_a_indirect_onehot_o, |
| 84 | output logic [NWdr-1:0] rf_bignum_rd_b_indirect_onehot_o, |
| 85 | output logic [NWdr-1:0] rf_bignum_wr_indirect_onehot_o, |
| 86 | output logic rf_bignum_indirect_en_o, |
| 87 | |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 88 | // Execution units |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 89 | |
| 90 | // Base ALU |
Greg Chadwick | 9791eed | 2020-07-22 18:08:28 +0100 | [diff] [blame] | 91 | output alu_base_operation_t alu_base_operation_o, |
| 92 | output alu_base_comparison_t alu_base_comparison_o, |
| 93 | input logic [31:0] alu_base_operation_result_i, |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 94 | input logic alu_base_comparison_result_i, |
| 95 | |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 96 | // Bignum ALU |
| 97 | output alu_bignum_operation_t alu_bignum_operation_o, |
Andreas Kurth | aaae846 | 2022-05-02 17:04:16 +0200 | [diff] [blame] | 98 | output logic alu_bignum_operation_valid_o, |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 99 | output logic alu_bignum_operation_commit_o, |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 100 | input logic [WLEN-1:0] alu_bignum_operation_result_i, |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 101 | input logic alu_bignum_selection_flag_i, |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 102 | |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 103 | // Bignum MAC |
| 104 | output mac_bignum_operation_t mac_bignum_operation_o, |
| 105 | input logic [WLEN-1:0] mac_bignum_operation_result_i, |
| 106 | output logic mac_bignum_en_o, |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 107 | output logic mac_bignum_commit_o, |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 108 | |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 109 | // LSU |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 110 | output logic lsu_load_req_o, |
| 111 | output logic lsu_store_req_o, |
| 112 | output insn_subset_e lsu_req_subset_o, |
| 113 | output logic [DmemAddrWidth-1:0] lsu_addr_o, |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 114 | input logic lsu_addr_en_predec_i, |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 115 | |
Greg Chadwick | ee060bd | 2021-05-15 17:33:22 +0100 | [diff] [blame] | 116 | output logic [BaseIntgWidth-1:0] lsu_base_wdata_o, |
| 117 | output logic [ExtWLEN-1:0] lsu_bignum_wdata_o, |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 118 | |
Greg Chadwick | ee060bd | 2021-05-15 17:33:22 +0100 | [diff] [blame] | 119 | input logic [BaseIntgWidth-1:0] lsu_base_rdata_i, |
| 120 | input logic [ExtWLEN-1:0] lsu_bignum_rdata_i, |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 121 | |
| 122 | // Internal Special-Purpose Registers (ISPRs) |
| 123 | output ispr_e ispr_addr_o, |
| 124 | output logic [31:0] ispr_base_wdata_o, |
| 125 | output logic [BaseWordsPerWLEN-1:0] ispr_base_wr_en_o, |
Andreas Kurth | 7fd22ae | 2022-04-28 14:52:14 +0200 | [diff] [blame] | 126 | output logic [ExtWLEN-1:0] ispr_bignum_wdata_intg_o, |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 127 | output logic ispr_bignum_wr_en_o, |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 128 | output logic ispr_wr_commit_o, |
Andreas Kurth | 7fd22ae | 2022-04-28 14:52:14 +0200 | [diff] [blame] | 129 | input logic [ExtWLEN-1:0] ispr_rdata_intg_i, |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 130 | output logic ispr_rd_en_o, |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 131 | |
Pirmin Vogel | 496e16c | 2022-04-05 22:19:33 +0200 | [diff] [blame] | 132 | // RND interface |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 133 | output logic rnd_req_o, |
| 134 | output logic rnd_prefetch_req_o, |
Vladimir Rozic | b8db07a | 2021-05-24 14:15:04 +0100 | [diff] [blame] | 135 | input logic rnd_valid_i, |
Pirmin Vogel | 496e16c | 2022-04-05 22:19:33 +0200 | [diff] [blame] | 136 | input logic rnd_rep_err_i, |
| 137 | input logic rnd_fips_err_i, |
Vladimir Rozic | b8db07a | 2021-05-24 14:15:04 +0100 | [diff] [blame] | 138 | |
Vladimir Rozic | 37c78bd | 2021-10-04 13:17:49 +0100 | [diff] [blame] | 139 | // Secure Wipe |
Vladimir Rozic | 37c78bd | 2021-10-04 13:17:49 +0100 | [diff] [blame] | 140 | output logic start_secure_wipe_o, |
Rupert Swarbrick | 2aa877f | 2022-05-09 16:34:04 +0100 | [diff] [blame] | 141 | input logic secure_wipe_done_i, |
Vladimir Rozic | 37c78bd | 2021-10-04 13:17:49 +0100 | [diff] [blame] | 142 | input logic sec_wipe_zero_i, |
| 143 | |
Rupert Swarbrick | 13d5008 | 2021-07-13 14:14:03 +0100 | [diff] [blame] | 144 | input logic state_reset_i, |
Greg Chadwick | f0a3019 | 2021-08-19 09:33:25 +0100 | [diff] [blame] | 145 | output logic [31:0] insn_cnt_o, |
Vladimir Rozic | 09e25ac | 2021-11-25 00:19:38 +0000 | [diff] [blame] | 146 | input logic insn_cnt_clear_i, |
Greg Chadwick | e9452b5 | 2022-02-03 20:17:47 +0000 | [diff] [blame] | 147 | output logic mems_sec_wipe_o, |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 148 | |
Greg Chadwick | 4ca1caa | 2021-11-23 10:56:01 +0000 | [diff] [blame] | 149 | input logic software_errs_fatal_i, |
| 150 | |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 151 | input logic [1:0] sideload_key_shares_valid_i, |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 152 | |
| 153 | // Prefetch stage control |
| 154 | output logic prefetch_en_o, |
| 155 | output logic prefetch_loop_active_o, |
| 156 | output logic [31:0] prefetch_loop_iterations_o, |
Rupert Swarbrick | fafeaf2 | 2022-01-04 14:42:44 +0000 | [diff] [blame] | 157 | output logic [ImemAddrWidth:0] prefetch_loop_end_addr_o, |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 158 | output logic [ImemAddrWidth-1:0] prefetch_loop_jump_addr_o, |
| 159 | |
| 160 | output logic predec_error_o |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 161 | ); |
Andreas Kurth | 13d7685 | 2022-04-04 14:55:54 +0200 | [diff] [blame] | 162 | import prim_mubi_pkg::*; |
| 163 | |
Greg Chadwick | 529738c | 2021-09-29 18:08:11 +0100 | [diff] [blame] | 164 | otbn_state_e state_q, state_d; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 165 | |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 166 | |
| 167 | controller_err_bits_t err_bits_q, err_bits_d; |
| 168 | |
| 169 | // The specific error signals that go into err_bits_d |
| 170 | logic fatal_software_err, bad_internal_state_err, reg_intg_violation_err, key_invalid_err; |
Andreas Kurth | 7100437 | 2022-05-07 16:59:42 +0200 | [diff] [blame] | 171 | logic illegal_insn_err, bad_data_addr_err, call_stack_sw_err, bad_insn_addr_err; |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 172 | |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 173 | logic err; |
Pirmin Vogel | 496e16c | 2022-04-05 22:19:33 +0200 | [diff] [blame] | 174 | logic recoverable_err; |
Greg Chadwick | 84e01ac | 2021-10-04 17:51:07 +0100 | [diff] [blame] | 175 | logic software_err; |
Greg Chadwick | 3e25bcd | 2021-10-25 12:05:36 +0100 | [diff] [blame] | 176 | logic non_insn_addr_software_err; |
Greg Chadwick | 7973806 | 2021-09-15 18:09:14 +0100 | [diff] [blame] | 177 | logic fatal_err; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 178 | logic done_complete; |
Rupert Swarbrick | 692db55 | 2021-09-24 16:26:31 +0100 | [diff] [blame] | 179 | logic executing; |
Vladimir Rozic | 76376ba | 2022-01-06 08:47:11 +0000 | [diff] [blame] | 180 | logic state_error; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 181 | |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 182 | logic insn_fetch_req_valid_raw; |
| 183 | logic [ImemAddrWidth-1:0] insn_fetch_req_addr_last; |
Greg Chadwick | 28836af | 2020-07-23 14:35:52 +0100 | [diff] [blame] | 184 | |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 185 | logic stall; |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 186 | logic ispr_stall; |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 187 | logic mem_stall; |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 188 | logic rf_indirect_stall; |
Rupert Swarbrick | b2b784d | 2021-08-03 14:21:51 +0100 | [diff] [blame] | 189 | logic jump_or_branch; |
Greg Chadwick | 51f3623 | 2020-09-02 15:37:23 +0100 | [diff] [blame] | 190 | logic branch_taken; |
Greg Chadwick | 42a9f3b | 2021-01-28 13:54:14 +0000 | [diff] [blame] | 191 | logic insn_executing; |
Greg Chadwick | 51f3623 | 2020-09-02 15:37:23 +0100 | [diff] [blame] | 192 | logic [ImemAddrWidth-1:0] branch_target; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 193 | logic branch_target_overflow; |
Rupert Swarbrick | e9ff47f | 2021-01-04 13:20:36 +0000 | [diff] [blame] | 194 | logic [ImemAddrWidth:0] next_insn_addr_wide; |
Greg Chadwick | 51f3623 | 2020-09-02 15:37:23 +0100 | [diff] [blame] | 195 | logic [ImemAddrWidth-1:0] next_insn_addr; |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 196 | |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 197 | csr_e csr_addr; |
Philipp Wagner | 711d226 | 2021-01-21 18:17:42 +0000 | [diff] [blame] | 198 | logic [$clog2(BaseWordsPerWLEN)-1:0] csr_sub_addr; |
Greg Chadwick | dbd655a | 2020-11-24 16:42:06 +0000 | [diff] [blame] | 199 | logic [31:0] csr_rdata_raw; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 200 | logic [31:0] csr_rdata; |
| 201 | logic [BaseWordsPerWLEN-1:0] csr_rdata_mux [32]; |
Greg Chadwick | dbd655a | 2020-11-24 16:42:06 +0000 | [diff] [blame] | 202 | logic [31:0] csr_wdata_raw; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 203 | logic [31:0] csr_wdata; |
| 204 | |
| 205 | wsr_e wsr_addr; |
| 206 | logic [WLEN-1:0] wsr_wdata; |
| 207 | |
| 208 | ispr_e ispr_addr_base; |
| 209 | logic [$clog2(BaseWordsPerWLEN)-1:0] ispr_word_addr_base; |
| 210 | logic [BaseWordsPerWLEN-1:0] ispr_word_sel_base; |
| 211 | |
| 212 | ispr_e ispr_addr_bignum; |
| 213 | |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 214 | logic ispr_wr_insn, ispr_rd_insn; |
Rupert Swarbrick | 514348e | 2021-02-03 09:04:59 +0000 | [diff] [blame] | 215 | logic ispr_wr_base_insn; |
| 216 | logic ispr_wr_bignum_insn; |
Prajwala Puttappa | 175c0d8 | 2021-12-17 11:23:16 +0000 | [diff] [blame] | 217 | logic ispr_rd_bignum_insn; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 218 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 219 | logic lsu_load_req_raw; |
| 220 | logic lsu_store_req_raw; |
| 221 | logic [DmemAddrWidth-1:0] lsu_addr, lsu_addr_blanked, lsu_addr_saved_d, lsu_addr_saved_q; |
| 222 | logic lsu_addr_saved_sel; |
| 223 | logic expected_lsu_addr_en; |
| 224 | |
Rupert Swarbrick | 20429db | 2022-02-10 17:34:00 +0000 | [diff] [blame] | 225 | logic rnd_req_raw; |
Greg Chadwick | 42a9f3b | 2021-01-28 13:54:14 +0000 | [diff] [blame] | 226 | |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 227 | // Register read data with integrity stripped off |
| 228 | logic [31:0] rf_base_rd_data_a_no_intg; |
| 229 | logic [31:0] rf_base_rd_data_b_no_intg; |
| 230 | logic [WLEN-1:0] rf_bignum_rd_data_a_no_intg; |
| 231 | logic [WLEN-1:0] rf_bignum_rd_data_b_no_intg; |
| 232 | |
| 233 | logic [ExtWLEN-1:0] selection_result; |
| 234 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 235 | logic rf_bignum_rd_a_indirect_en; |
| 236 | logic rf_bignum_rd_b_indirect_en; |
| 237 | logic rf_bignum_wr_indirect_en; |
| 238 | |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 239 | // Computed increments for indirect register index and memory address in BN.LID/BN.SID/BN.MOVR |
| 240 | // instructions. |
Greg Chadwick | 496fd34 | 2021-03-05 18:08:39 +0000 | [diff] [blame] | 241 | logic [5:0] rf_base_rd_data_a_inc; |
| 242 | logic [5:0] rf_base_rd_data_b_inc; |
Rupert Swarbrick | 9a4f47b | 2021-01-04 15:48:24 +0000 | [diff] [blame] | 243 | logic [26:0] rf_base_rd_data_a_wlen_word_inc; |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 244 | |
Greg Chadwick | 1a1c011 | 2021-09-14 16:27:31 +0100 | [diff] [blame] | 245 | // Read/Write enables for base register file before illegal instruction encoding are factored in |
| 246 | logic rf_base_rd_en_a_raw, rf_base_rd_en_b_raw, rf_base_wr_en_raw; |
| 247 | |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 248 | // Output of mux taking the above increments as inputs and choosing one to write back to base |
| 249 | // register file with appropriate zero extension and padding to give a 32-bit result. |
| 250 | logic [31:0] increment_out; |
| 251 | |
Greg Chadwick | 53c9586 | 2020-10-14 17:58:38 +0100 | [diff] [blame] | 252 | // Loop control, used to start a new loop |
Greg Chadwick | 42a9f3b | 2021-01-28 13:54:14 +0000 | [diff] [blame] | 253 | logic loop_start_req; |
| 254 | logic loop_start_commit; |
Vladimir Rozic | 37c78bd | 2021-10-04 13:17:49 +0100 | [diff] [blame] | 255 | logic loop_reset; |
Greg Chadwick | 53c9586 | 2020-10-14 17:58:38 +0100 | [diff] [blame] | 256 | logic [11:0] loop_bodysize; |
| 257 | logic [31:0] loop_iterations; |
| 258 | |
| 259 | // Loop generated jumps. The loop controller asks to jump when execution reaches the end of a loop |
| 260 | // body that hasn't completed all of its iterations. |
| 261 | logic loop_jump; |
| 262 | logic [ImemAddrWidth-1:0] loop_jump_addr; |
| 263 | |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 264 | logic [WLEN-1:0] mac_bignum_rf_wr_data; |
| 265 | |
Andreas Kurth | f51612b | 2022-05-04 11:37:18 +0200 | [diff] [blame] | 266 | logic loop_hw_err; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 267 | logic csr_illegal_addr, wsr_illegal_addr, ispr_illegal_addr; |
Andreas Kurth | 264e672 | 2022-05-06 16:57:48 +0200 | [diff] [blame] | 268 | logic imem_addr_err, loop_sw_err, ispr_err; |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 269 | logic dmem_addr_err_check, dmem_addr_err; |
| 270 | logic dmem_addr_unaligned_base, dmem_addr_unaligned_bignum, dmem_addr_overflow; |
Greg Chadwick | 1a1c011 | 2021-09-14 16:27:31 +0100 | [diff] [blame] | 271 | logic illegal_insn_static; |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 272 | logic key_invalid; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 273 | |
Greg Chadwick | 496fd34 | 2021-03-05 18:08:39 +0000 | [diff] [blame] | 274 | logic rf_a_indirect_err, rf_b_indirect_err, rf_d_indirect_err, rf_indirect_err; |
| 275 | |
Rupert Swarbrick | db4b494 | 2022-01-10 12:35:14 +0000 | [diff] [blame] | 276 | // If we are doing an indirect lookup from the bignum register file, it's possible that the |
| 277 | // address that we use for the lookup is architecturally unknown. This happens if it came from x1 |
| 278 | // and we've underflowed the call stack. When this happens, we want to ignore any read data |
| 279 | // integrity errors since the read from the bignum register file didn't happen architecturally |
| 280 | // anyway. |
Michael Schaffner | 9a4ac7b | 2022-04-14 11:56:53 -0700 | [diff] [blame] | 281 | logic ignore_bignum_rf_errs; |
| 282 | logic rf_bignum_rf_err; |
Rupert Swarbrick | db4b494 | 2022-01-10 12:35:14 +0000 | [diff] [blame] | 283 | |
Andreas Kurth | 7fd22ae | 2022-04-28 14:52:14 +0200 | [diff] [blame] | 284 | logic ispr_rdata_intg_err; |
| 285 | |
Vladimir Rozic | b8db07a | 2021-05-24 14:15:04 +0100 | [diff] [blame] | 286 | logic [31:0] insn_cnt_d, insn_cnt_q; |
Vladimir Rozic | 09e25ac | 2021-11-25 00:19:38 +0000 | [diff] [blame] | 287 | logic insn_cnt_clear; |
Vladimir Rozic | b8db07a | 2021-05-24 14:15:04 +0100 | [diff] [blame] | 288 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 289 | logic [4:0] insn_bignum_rd_addr_a_q, insn_bignum_rd_addr_b_q, insn_bignum_wr_addr_q; |
Greg Chadwick | 9a6fc46 | 2021-08-10 15:42:16 +0100 | [diff] [blame] | 290 | |
Rupert Swarbrick | adb81c8 | 2022-05-12 14:51:42 +0100 | [diff] [blame^] | 291 | logic secure_wipe_running_q, secure_wipe_running_d; |
| 292 | assign secure_wipe_running_d = (start_secure_wipe_o | |
| 293 | (secure_wipe_running_q & ~secure_wipe_done_i)); |
Rupert Swarbrick | 2aa877f | 2022-05-09 16:34:04 +0100 | [diff] [blame] | 294 | always_ff @(posedge clk_i or negedge rst_ni) begin |
| 295 | if (!rst_ni) begin |
| 296 | secure_wipe_running_q <= 1'b0; |
| 297 | end else begin |
Rupert Swarbrick | adb81c8 | 2022-05-12 14:51:42 +0100 | [diff] [blame^] | 298 | secure_wipe_running_q <= secure_wipe_running_d; |
Rupert Swarbrick | 2aa877f | 2022-05-09 16:34:04 +0100 | [diff] [blame] | 299 | end |
| 300 | end |
| 301 | |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 302 | // Stall a cycle on loads to allow load data writeback to happen the following cycle. Stall not |
| 303 | // required on stores as there is no response to deal with. |
Greg Chadwick | 42a9f3b | 2021-01-28 13:54:14 +0000 | [diff] [blame] | 304 | assign mem_stall = lsu_load_req_raw; |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 305 | |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 306 | // Reads to RND must stall until data is available |
Rupert Swarbrick | 20429db | 2022-02-10 17:34:00 +0000 | [diff] [blame] | 307 | assign ispr_stall = rnd_req_raw & ~rnd_valid_i; |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 308 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 309 | assign rf_indirect_stall = insn_valid_i & |
| 310 | (state_q != OtbnStateStall) & |
| 311 | (insn_dec_shared_i.subset == InsnSubsetBignum) & |
| 312 | (insn_dec_bignum_i.rf_a_indirect | |
| 313 | insn_dec_bignum_i.rf_b_indirect | |
| 314 | insn_dec_bignum_i.rf_d_indirect); |
| 315 | |
| 316 | assign stall = mem_stall | ispr_stall | rf_indirect_stall; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 317 | |
Prajwala Puttappa | 98636ad | 2022-04-12 12:35:18 +0100 | [diff] [blame] | 318 | // OTBN is done when it was executing something (in state OtbnStateRun or OtbnStateStall) |
| 319 | // and either it executes an ecall or an error occurs. A pulse on the done signal raises the |
| 320 | // 'done' interrupt and also tells the top-level to update its ERR_BITS status |
| 321 | // register. The calculation that ecall triggered done is factored out as `done_complete` to |
| 322 | // avoid logic loops in the error handling logic. |
Vladimir Rozic | 37c78bd | 2021-10-04 13:17:49 +0100 | [diff] [blame] | 323 | assign done_complete = (insn_valid_i & insn_dec_shared_i.ecall_insn); |
Prajwala Puttappa | 98636ad | 2022-04-12 12:35:18 +0100 | [diff] [blame] | 324 | assign executing = (state_q == OtbnStateRun) || |
Rupert Swarbrick | 692db55 | 2021-09-24 16:26:31 +0100 | [diff] [blame] | 325 | (state_q == OtbnStateStall); |
Vladimir Rozic | 37c78bd | 2021-10-04 13:17:49 +0100 | [diff] [blame] | 326 | |
Rupert Swarbrick | adb81c8 | 2022-05-12 14:51:42 +0100 | [diff] [blame^] | 327 | assign locking_o = (state_d == OtbnStateLocked) & ~secure_wipe_running_d; |
Rupert Swarbrick | 2aa877f | 2022-05-09 16:34:04 +0100 | [diff] [blame] | 328 | assign start_secure_wipe_o = executing & (done_complete | err) & ~secure_wipe_running_q; |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 329 | |
Rupert Swarbrick | b2b784d | 2021-08-03 14:21:51 +0100 | [diff] [blame] | 330 | assign jump_or_branch = (insn_valid_i & |
| 331 | (insn_dec_shared_i.branch_insn | insn_dec_shared_i.jump_insn)); |
| 332 | |
Greg Chadwick | 51f3623 | 2020-09-02 15:37:23 +0100 | [diff] [blame] | 333 | // Branch taken when there is a valid branch instruction and comparison passes or a valid jump |
| 334 | // instruction (which is always taken) |
Philipp Wagner | dc94652 | 2020-12-03 10:52:58 +0000 | [diff] [blame] | 335 | assign branch_taken = insn_valid_i & |
| 336 | ((insn_dec_shared_i.branch_insn & alu_base_comparison_result_i) | |
| 337 | insn_dec_shared_i.jump_insn); |
Greg Chadwick | 51f3623 | 2020-09-02 15:37:23 +0100 | [diff] [blame] | 338 | // Branch target computed by base ALU (PC + imm) |
Greg Chadwick | 51f3623 | 2020-09-02 15:37:23 +0100 | [diff] [blame] | 339 | assign branch_target = alu_base_operation_result_i[ImemAddrWidth-1:0]; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 340 | assign branch_target_overflow = |alu_base_operation_result_i[31:ImemAddrWidth]; |
Greg Chadwick | 51f3623 | 2020-09-02 15:37:23 +0100 | [diff] [blame] | 341 | |
Rupert Swarbrick | e9ff47f | 2021-01-04 13:20:36 +0000 | [diff] [blame] | 342 | assign next_insn_addr_wide = {1'b0, insn_addr_i} + 'd4; |
| 343 | assign next_insn_addr = next_insn_addr_wide[ImemAddrWidth-1:0]; |
Greg Chadwick | 51f3623 | 2020-09-02 15:37:23 +0100 | [diff] [blame] | 344 | |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 345 | // Record address for fetch request so it can be retried when an invalid response is received |
| 346 | always_ff @(posedge clk_i) begin |
| 347 | if (insn_fetch_req_valid_raw) begin |
| 348 | insn_fetch_req_addr_last <= insn_fetch_req_addr_o; |
| 349 | end |
| 350 | end |
| 351 | |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 352 | always_comb begin |
Greg Chadwick | 529738c | 2021-09-29 18:08:11 +0100 | [diff] [blame] | 353 | state_d = state_q; |
| 354 | // `insn_fetch_req_valid_raw` is the value `insn_fetch_req_valid_o` before any errors are |
| 355 | // considered. |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 356 | insn_fetch_req_valid_raw = 1'b0; |
Rupert Swarbrick | 2fb857a | 2021-09-03 17:14:50 +0100 | [diff] [blame] | 357 | insn_fetch_req_addr_o = '0; |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 358 | insn_fetch_resp_clear_o = 1'b1; |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 359 | prefetch_en_o = 1'b0; |
Greg Chadwick | 28836af | 2020-07-23 14:35:52 +0100 | [diff] [blame] | 360 | |
Vladimir Rozic | 76376ba | 2022-01-06 08:47:11 +0000 | [diff] [blame] | 361 | state_error = 1'b0; |
| 362 | |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 363 | unique case (state_q) |
| 364 | OtbnStateHalt: begin |
| 365 | if (start_i) begin |
Greg Chadwick | 529738c | 2021-09-29 18:08:11 +0100 | [diff] [blame] | 366 | state_d = OtbnStateRun; |
Greg Chadwick | b5b8686 | 2021-04-09 15:49:43 +0100 | [diff] [blame] | 367 | |
Rupert Swarbrick | 2fb857a | 2021-09-03 17:14:50 +0100 | [diff] [blame] | 368 | insn_fetch_req_addr_o = '0; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 369 | insn_fetch_req_valid_raw = 1'b1; |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 370 | prefetch_en_o = 1'b1; |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 371 | end |
| 372 | end |
| 373 | OtbnStateRun: begin |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 374 | insn_fetch_req_valid_raw = 1'b1; |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 375 | prefetch_en_o = 1'b1; |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 376 | |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 377 | if (!insn_valid_i) begin |
| 378 | insn_fetch_req_addr_o = insn_fetch_req_addr_last; |
| 379 | end else if (done_complete) begin |
Greg Chadwick | 529738c | 2021-09-29 18:08:11 +0100 | [diff] [blame] | 380 | state_d = OtbnStateHalt; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 381 | insn_fetch_req_valid_raw = 1'b0; |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 382 | prefetch_en_o = 1'b0; |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 383 | end else begin |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 384 | if (stall) begin |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 385 | // When stalling don't request a new fetch and don't clear response either to keep |
| 386 | // current instruction. |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 387 | state_d = OtbnStateStall; |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 388 | insn_fetch_req_valid_raw = 1'b0; |
| 389 | insn_fetch_resp_clear_o = 1'b0; |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 390 | end else begin |
Greg Chadwick | 51f3623 | 2020-09-02 15:37:23 +0100 | [diff] [blame] | 391 | if (branch_taken) begin |
| 392 | insn_fetch_req_addr_o = branch_target; |
Greg Chadwick | 53c9586 | 2020-10-14 17:58:38 +0100 | [diff] [blame] | 393 | end else if (loop_jump) begin |
| 394 | insn_fetch_req_addr_o = loop_jump_addr; |
Greg Chadwick | 51f3623 | 2020-09-02 15:37:23 +0100 | [diff] [blame] | 395 | end else begin |
| 396 | insn_fetch_req_addr_o = next_insn_addr; |
| 397 | end |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 398 | end |
| 399 | end |
| 400 | end |
| 401 | OtbnStateStall: begin |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 402 | prefetch_en_o = 1'b1; |
Greg Chadwick | e6e8f15 | 2021-03-05 13:35:36 +0000 | [diff] [blame] | 403 | // When stalling refetch the same instruction to keep decode inputs constant |
| 404 | if (stall) begin |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 405 | state_d = OtbnStateStall; |
| 406 | //insn_fetch_req_addr_o = insn_addr_i; |
| 407 | insn_fetch_req_valid_raw = 1'b0; |
| 408 | insn_fetch_resp_clear_o = 1'b0; |
Greg Chadwick | 9aef1c9 | 2021-01-25 18:24:58 +0000 | [diff] [blame] | 409 | end else begin |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 410 | insn_fetch_req_valid_raw = 1'b1; |
| 411 | |
Greg Chadwick | e6e8f15 | 2021-03-05 13:35:36 +0000 | [diff] [blame] | 412 | if (loop_jump) begin |
| 413 | insn_fetch_req_addr_o = loop_jump_addr; |
| 414 | end else begin |
| 415 | insn_fetch_req_addr_o = next_insn_addr; |
| 416 | end |
Greg Chadwick | 9aef1c9 | 2021-01-25 18:24:58 +0000 | [diff] [blame] | 417 | |
Greg Chadwick | 529738c | 2021-09-29 18:08:11 +0100 | [diff] [blame] | 418 | state_d = OtbnStateRun; |
Greg Chadwick | e6e8f15 | 2021-03-05 13:35:36 +0000 | [diff] [blame] | 419 | end |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 420 | end |
Greg Chadwick | 7973806 | 2021-09-15 18:09:14 +0100 | [diff] [blame] | 421 | OtbnStateLocked: begin |
| 422 | insn_fetch_req_valid_raw = 1'b0; |
Greg Chadwick | 529738c | 2021-09-29 18:08:11 +0100 | [diff] [blame] | 423 | state_d = OtbnStateLocked; |
Greg Chadwick | 7973806 | 2021-09-15 18:09:14 +0100 | [diff] [blame] | 424 | end |
Vladimir Rozic | 76376ba | 2022-01-06 08:47:11 +0000 | [diff] [blame] | 425 | default: begin |
Andreas Kurth | 9a1f6d3 | 2022-04-01 08:07:33 +0200 | [diff] [blame] | 426 | // We should never get here. If we do (e.g. via a malicious glitch), error out immediately. |
Andreas Kurth | e8be89f | 2022-04-01 08:38:07 +0200 | [diff] [blame] | 427 | // SEC_CM: CONTROLLER.FSM.LOCAL_ESC |
Andreas Kurth | 9a1f6d3 | 2022-04-01 08:07:33 +0200 | [diff] [blame] | 428 | state_d = OtbnStateLocked; |
Vladimir Rozic | 76376ba | 2022-01-06 08:47:11 +0000 | [diff] [blame] | 429 | state_error = 1'b1; |
| 430 | end |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 431 | endcase |
Greg Chadwick | 529738c | 2021-09-29 18:08:11 +0100 | [diff] [blame] | 432 | |
| 433 | // On any error immediately halt, either going to OtbnStateLocked or OtbnStateHalt depending on |
| 434 | // whether it was a fatal error. |
Greg Chadwick | 987ee5a | 2021-10-25 11:59:57 +0100 | [diff] [blame] | 435 | if (err) begin |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 436 | prefetch_en_o = 1'b0; |
| 437 | insn_fetch_resp_clear_o = 1'b1; |
| 438 | |
Greg Chadwick | 987ee5a | 2021-10-25 11:59:57 +0100 | [diff] [blame] | 439 | if (fatal_err) begin |
Andreas Kurth | e8be89f | 2022-04-01 08:38:07 +0200 | [diff] [blame] | 440 | // SEC_CM: CONTROLLER.FSM.GLOBAL_ESC |
Greg Chadwick | 987ee5a | 2021-10-25 11:59:57 +0100 | [diff] [blame] | 441 | state_d = OtbnStateLocked; |
| 442 | end else begin |
| 443 | state_d = OtbnStateHalt; |
| 444 | end |
Greg Chadwick | 529738c | 2021-09-29 18:08:11 +0100 | [diff] [blame] | 445 | end |
| 446 | |
| 447 | // Regardless of what happens above enforce staying in OtnbStateLocked. |
| 448 | if (state_q == OtbnStateLocked) begin |
| 449 | state_d = OtbnStateLocked; |
| 450 | end |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 451 | end |
| 452 | |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 453 | `ASSERT(InsnAlwaysValidInStall, state_q == OtbnStateStall |-> insn_valid_i) |
| 454 | |
Greg Chadwick | 9aef1c9 | 2021-01-25 18:24:58 +0000 | [diff] [blame] | 455 | // Anything that moves us or keeps us in the stall state should cause `stall` to be asserted |
Philipp Wagner | efa0901 | 2021-01-27 14:42:16 +0000 | [diff] [blame] | 456 | `ASSERT(StallIfNextStateStall, insn_valid_i & (state_d == OtbnStateStall) |-> stall) |
Greg Chadwick | 9aef1c9 | 2021-01-25 18:24:58 +0000 | [diff] [blame] | 457 | |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 458 | assign insn_fetch_req_valid_o = err ? 1'b0 : insn_fetch_req_valid_raw; |
| 459 | |
| 460 | // Determine if there are any errors related to the Imem fetch address. |
| 461 | always_comb begin |
| 462 | imem_addr_err = 1'b0; |
| 463 | |
| 464 | if (insn_fetch_req_valid_raw) begin |
| 465 | if (|insn_fetch_req_addr_o[1:0]) begin |
| 466 | // Imem address is unaligned |
| 467 | imem_addr_err = 1'b1; |
| 468 | end else if (branch_taken) begin |
| 469 | imem_addr_err = branch_target_overflow; |
| 470 | end else begin |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 471 | imem_addr_err = next_insn_addr_wide[ImemAddrWidth] & insn_valid_i; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 472 | end |
| 473 | end |
| 474 | end |
| 475 | |
Greg Chadwick | 1a1c011 | 2021-09-14 16:27:31 +0100 | [diff] [blame] | 476 | // Instruction is illegal based on the static properties of the instruction bits (illegal encoding |
| 477 | // or illegal WSR/CSR referenced). |
| 478 | assign illegal_insn_static = insn_illegal_i | ispr_err; |
| 479 | |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 480 | assign fatal_software_err = software_err & software_errs_fatal_i; |
Andreas Kurth | f51612b | 2022-05-04 11:37:18 +0200 | [diff] [blame] | 481 | assign bad_internal_state_err = state_error | loop_hw_err | rf_base_call_stack_hw_err_i; |
Andreas Kurth | 7fd22ae | 2022-04-28 14:52:14 +0200 | [diff] [blame] | 482 | assign reg_intg_violation_err = rf_bignum_rf_err | ispr_rdata_intg_err; |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 483 | assign key_invalid_err = ispr_rd_bignum_insn & insn_valid_i & key_invalid; |
| 484 | assign illegal_insn_err = illegal_insn_static | rf_indirect_err; |
| 485 | assign bad_data_addr_err = dmem_addr_err; |
Andreas Kurth | 7100437 | 2022-05-07 16:59:42 +0200 | [diff] [blame] | 486 | assign call_stack_sw_err = rf_base_call_stack_sw_err_i; |
Greg Chadwick | 3e25bcd | 2021-10-25 12:05:36 +0100 | [diff] [blame] | 487 | |
| 488 | // All software errors that aren't bad_insn_addr. Factored into bad_insn_addr so it is only raised |
| 489 | // if other software errors haven't ocurred. As bad_insn_addr relates to the next instruction |
| 490 | // begin fetched it cannot occur if the current instruction has seen an error and failed to |
| 491 | // execute. |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 492 | assign non_insn_addr_software_err = |{key_invalid_err, |
Andreas Kurth | 264e672 | 2022-05-06 16:57:48 +0200 | [diff] [blame] | 493 | loop_sw_err, |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 494 | illegal_insn_err, |
Andreas Kurth | 7100437 | 2022-05-07 16:59:42 +0200 | [diff] [blame] | 495 | call_stack_sw_err, |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 496 | bad_data_addr_err}; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 497 | |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 498 | assign bad_insn_addr_err = imem_addr_err & ~non_insn_addr_software_err; |
Greg Chadwick | 84e01ac | 2021-10-04 17:51:07 +0100 | [diff] [blame] | 499 | |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 500 | assign err_bits_d = '{ |
| 501 | fatal_software: fatal_software_err, |
| 502 | bad_internal_state: bad_internal_state_err, |
| 503 | reg_intg_violation: reg_intg_violation_err, |
Pirmin Vogel | 496e16c | 2022-04-05 22:19:33 +0200 | [diff] [blame] | 504 | rnd_fips_chk_fail: rnd_fips_err_i, |
| 505 | rnd_rep_chk_fail: rnd_rep_err_i, |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 506 | key_invalid: key_invalid_err, |
Andreas Kurth | 264e672 | 2022-05-06 16:57:48 +0200 | [diff] [blame] | 507 | loop: loop_sw_err, |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 508 | illegal_insn: illegal_insn_err, |
Andreas Kurth | 7100437 | 2022-05-07 16:59:42 +0200 | [diff] [blame] | 509 | call_stack: call_stack_sw_err, |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 510 | bad_data_addr: bad_data_addr_err, |
| 511 | bad_insn_addr: bad_insn_addr_err |
| 512 | }; |
Vladimir Rozic | 37c78bd | 2021-10-04 13:17:49 +0100 | [diff] [blame] | 513 | |
Michael Schaffner | 9a49f39 | 2022-04-14 16:16:43 -0700 | [diff] [blame] | 514 | always_ff @(posedge clk_i or negedge rst_ni) begin |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 515 | if (!rst_ni) begin |
| 516 | err_bits_q <= '0; |
| 517 | end else begin |
Rupert Swarbrick | 48e4bb6 | 2022-04-22 17:14:54 +0100 | [diff] [blame] | 518 | if (start_i && !locking_o) begin |
Vladimir Rozic | 09e25ac | 2021-11-25 00:19:38 +0000 | [diff] [blame] | 519 | err_bits_q <= '0; |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 520 | end else begin |
| 521 | err_bits_q <= err_bits_q | err_bits_d; |
Vladimir Rozic | 37c78bd | 2021-10-04 13:17:49 +0100 | [diff] [blame] | 522 | end |
| 523 | end |
Vladimir Rozic | 37c78bd | 2021-10-04 13:17:49 +0100 | [diff] [blame] | 524 | end |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 525 | assign err_bits_o = err_bits_q; |
| 526 | |
| 527 | assign software_err = non_insn_addr_software_err | bad_insn_addr_err; |
| 528 | |
Pirmin Vogel | 496e16c | 2022-04-05 22:19:33 +0200 | [diff] [blame] | 529 | assign recoverable_err = rnd_rep_err_i | rnd_fips_err_i; |
| 530 | |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 531 | assign fatal_err = |{fatal_software_err, |
| 532 | bad_internal_state_err, |
| 533 | reg_intg_violation_err, |
Andreas Kurth | 13d7685 | 2022-04-04 14:55:54 +0200 | [diff] [blame] | 534 | mubi4_test_true_loose(escalate_en_i)}; |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 535 | |
Pirmin Vogel | 496e16c | 2022-04-05 22:19:33 +0200 | [diff] [blame] | 536 | assign recoverable_err_o = recoverable_err | (software_err & ~software_errs_fatal_i); |
Rupert Swarbrick | 75885e6 | 2022-03-07 14:54:45 +0000 | [diff] [blame] | 537 | assign mems_sec_wipe_o = (state_d == OtbnStateLocked) & (state_q != OtbnStateLocked); |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 538 | |
Pirmin Vogel | 496e16c | 2022-04-05 22:19:33 +0200 | [diff] [blame] | 539 | assign err = software_err | recoverable_err | fatal_err; |
Greg Chadwick | 84e01ac | 2021-10-04 17:51:07 +0100 | [diff] [blame] | 540 | |
Greg Chadwick | 42a9f3b | 2021-01-28 13:54:14 +0000 | [diff] [blame] | 541 | // Instructions must not execute if there is an error |
| 542 | assign insn_executing = insn_valid_i & ~err; |
| 543 | |
Andreas Kurth | 81f0c12 | 2022-04-01 19:19:47 +0200 | [diff] [blame] | 544 | `ASSERT(ErrBitSetOnErr, |
Andreas Kurth | 27e2b13 | 2022-04-04 15:03:27 +0200 | [diff] [blame] | 545 | err & mubi4_test_false_strict(escalate_en_i) |=> err_bits_o) |
Greg Chadwick | 7973806 | 2021-09-15 18:09:14 +0100 | [diff] [blame] | 546 | `ASSERT(ErrSetOnFatalErr, fatal_err |-> err) |
Greg Chadwick | 3e25bcd | 2021-10-25 12:05:36 +0100 | [diff] [blame] | 547 | `ASSERT(SoftwareErrIfNonInsnAddrSoftwareErr, non_insn_addr_software_err |-> software_err) |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 548 | |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 549 | `ASSERT(ControllerStateValid, |
| 550 | state_q inside {OtbnStateHalt, OtbnStateRun, OtbnStateStall, OtbnStateLocked}) |
Greg Chadwick | 51f3623 | 2020-09-02 15:37:23 +0100 | [diff] [blame] | 551 | // Branch only takes effect in OtbnStateRun so must not go into stall state for branch |
| 552 | // instructions. |
Philipp Wagner | dc94652 | 2020-12-03 10:52:58 +0000 | [diff] [blame] | 553 | `ASSERT(NoStallOnBranch, |
| 554 | insn_valid_i & insn_dec_shared_i.branch_insn |-> state_q != OtbnStateStall) |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 555 | |
Andreas Kurth | e8be89f | 2022-04-01 08:38:07 +0200 | [diff] [blame] | 556 | // SEC_CM: CONTROLLER.FSM.SPARSE |
Michael Schaffner | c591574 | 2022-03-22 21:15:58 -0700 | [diff] [blame] | 557 | `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, otbn_state_e, OtbnStateHalt) |
Greg Chadwick | 28836af | 2020-07-23 14:35:52 +0100 | [diff] [blame] | 558 | |
Vladimir Rozic | 09e25ac | 2021-11-25 00:19:38 +0000 | [diff] [blame] | 559 | assign insn_cnt_clear = state_reset_i | (state_q == OtbnStateLocked) | insn_cnt_clear_i; |
| 560 | |
Rupert Swarbrick | 3d1ca9e | 2021-10-25 11:18:02 +0100 | [diff] [blame] | 561 | always_comb begin |
Vladimir Rozic | 09e25ac | 2021-11-25 00:19:38 +0000 | [diff] [blame] | 562 | if (insn_cnt_clear) begin |
Rupert Swarbrick | 3d1ca9e | 2021-10-25 11:18:02 +0100 | [diff] [blame] | 563 | insn_cnt_d = 32'd0; |
| 564 | end else if (insn_executing & ~stall & (insn_cnt_q != 32'hffffffff)) begin |
| 565 | insn_cnt_d = insn_cnt_q + 32'd1; |
| 566 | end else begin |
| 567 | insn_cnt_d = insn_cnt_q; |
| 568 | end |
| 569 | end |
Vladimir Rozic | b8db07a | 2021-05-24 14:15:04 +0100 | [diff] [blame] | 570 | |
| 571 | always_ff @(posedge clk_i or negedge rst_ni) begin |
| 572 | if (!rst_ni) begin |
| 573 | insn_cnt_q <= 32'd0; |
Rupert Swarbrick | 3d1ca9e | 2021-10-25 11:18:02 +0100 | [diff] [blame] | 574 | end else begin |
Vladimir Rozic | b8db07a | 2021-05-24 14:15:04 +0100 | [diff] [blame] | 575 | insn_cnt_q <= insn_cnt_d; |
| 576 | end |
| 577 | end |
| 578 | |
Rupert Swarbrick | 3d1ca9e | 2021-10-25 11:18:02 +0100 | [diff] [blame] | 579 | assign insn_cnt_o = insn_cnt_q; |
| 580 | |
Vladimir Rozic | 37c78bd | 2021-10-04 13:17:49 +0100 | [diff] [blame] | 581 | assign loop_reset = state_reset_i | sec_wipe_zero_i; |
| 582 | |
Greg Chadwick | 53c9586 | 2020-10-14 17:58:38 +0100 | [diff] [blame] | 583 | otbn_loop_controller #( |
| 584 | .ImemAddrWidth(ImemAddrWidth) |
| 585 | ) u_otbn_loop_controller ( |
| 586 | .clk_i, |
| 587 | .rst_ni, |
| 588 | |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 589 | .state_reset_i(loop_reset), |
Rupert Swarbrick | 13d5008 | 2021-07-13 14:14:03 +0100 | [diff] [blame] | 590 | |
Greg Chadwick | b5163fd | 2020-11-26 16:48:55 +0000 | [diff] [blame] | 591 | .insn_valid_i, |
Greg Chadwick | 53c9586 | 2020-10-14 17:58:38 +0100 | [diff] [blame] | 592 | .insn_addr_i, |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 593 | .next_insn_addr_i(next_insn_addr), |
Greg Chadwick | 53c9586 | 2020-10-14 17:58:38 +0100 | [diff] [blame] | 594 | |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 595 | .loop_start_req_i (loop_start_req), |
| 596 | .loop_start_commit_i(loop_start_commit), |
| 597 | .loop_bodysize_i (loop_bodysize), |
| 598 | .loop_iterations_i (loop_iterations), |
Greg Chadwick | 53c9586 | 2020-10-14 17:58:38 +0100 | [diff] [blame] | 599 | |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 600 | .loop_jump_o (loop_jump), |
| 601 | .loop_jump_addr_o(loop_jump_addr), |
Andreas Kurth | 264e672 | 2022-05-06 16:57:48 +0200 | [diff] [blame] | 602 | |
| 603 | .sw_err_o (loop_sw_err), |
Andreas Kurth | f51612b | 2022-05-04 11:37:18 +0200 | [diff] [blame] | 604 | .hw_err_o (loop_hw_err), |
Greg Chadwick | b5163fd | 2020-11-26 16:48:55 +0000 | [diff] [blame] | 605 | |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 606 | .jump_or_branch_i(jump_or_branch), |
| 607 | .otbn_stall_i (stall), |
Greg Chadwick | 0ac448a | 2021-11-18 17:10:58 +0000 | [diff] [blame] | 608 | |
| 609 | .prefetch_loop_active_o, |
| 610 | .prefetch_loop_iterations_o, |
| 611 | .prefetch_loop_end_addr_o, |
| 612 | .prefetch_loop_jump_addr_o |
Greg Chadwick | 53c9586 | 2020-10-14 17:58:38 +0100 | [diff] [blame] | 613 | ); |
| 614 | |
Greg Chadwick | 42a9f3b | 2021-01-28 13:54:14 +0000 | [diff] [blame] | 615 | // loop_start_req indicates the instruction wishes to start a loop, loop_start_commit confirms it |
| 616 | // should occur. |
| 617 | assign loop_start_req = insn_valid_i & insn_dec_shared_i.loop_insn; |
| 618 | assign loop_start_commit = insn_executing; |
| 619 | assign loop_bodysize = insn_dec_base_i.loop_bodysize; |
Rupert Swarbrick | 514348e | 2021-02-03 09:04:59 +0000 | [diff] [blame] | 620 | assign loop_iterations = insn_dec_base_i.loop_immediate ? insn_dec_base_i.i : |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 621 | rf_base_rd_data_a_no_intg; |
Greg Chadwick | 53c9586 | 2020-10-14 17:58:38 +0100 | [diff] [blame] | 622 | |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 623 | // Compute increments which can be optionally applied to indirect register accesses and memory |
| 624 | // addresses in BN.LID/BN.SID/BN.MOVR instructions. |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 625 | assign rf_base_rd_data_a_inc = rf_base_rd_data_a_no_intg[4:0] + 1'b1; |
| 626 | assign rf_base_rd_data_b_inc = rf_base_rd_data_b_no_intg[4:0] + 1'b1; |
Rupert Swarbrick | 9a4f47b | 2021-01-04 15:48:24 +0000 | [diff] [blame] | 627 | // We can avoid a full 32-bit adder here because the offset is 32-bit aligned, so we know the |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 628 | // load/store address will only be valid if rf_base_rd_data_a_no_intg[4:0] is zero. |
| 629 | assign rf_base_rd_data_a_wlen_word_inc = rf_base_rd_data_a_no_intg[31:5] + 27'h1; |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 630 | |
| 631 | // Choose increment to write back to base register file, only one increment can be written as |
| 632 | // there is only one write port. Note that where an instruction is incrementing the indirect |
| 633 | // reference to its destination register (insn_dec_bignum_i.d_inc) that reference is read on the |
| 634 | // B read port so the B increment is written back. |
| 635 | always_comb begin |
| 636 | unique case (1'b1) |
| 637 | insn_dec_bignum_i.a_inc: begin |
Greg Chadwick | 496fd34 | 2021-03-05 18:08:39 +0000 | [diff] [blame] | 638 | increment_out = {26'b0, rf_base_rd_data_a_inc}; |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 639 | end |
| 640 | insn_dec_bignum_i.b_inc: begin |
Greg Chadwick | 496fd34 | 2021-03-05 18:08:39 +0000 | [diff] [blame] | 641 | increment_out = {26'b0, rf_base_rd_data_b_inc}; |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 642 | end |
| 643 | insn_dec_bignum_i.d_inc: begin |
Greg Chadwick | 496fd34 | 2021-03-05 18:08:39 +0000 | [diff] [blame] | 644 | increment_out = {26'b0, rf_base_rd_data_b_inc}; |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 645 | end |
| 646 | insn_dec_bignum_i.a_wlen_word_inc: begin |
Rupert Swarbrick | 9a4f47b | 2021-01-04 15:48:24 +0000 | [diff] [blame] | 647 | increment_out = {rf_base_rd_data_a_wlen_word_inc, 5'b0}; |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 648 | end |
Pirmin Vogel | e97cac0 | 2020-11-02 12:28:50 +0100 | [diff] [blame] | 649 | default: begin |
| 650 | // Whenever increment_out is written back to the register file, exactly one of the |
| 651 | // increment selector signals is high. To prevent the automatic inference of latches in |
| 652 | // case nothing is written back (rf_wdata_sel != RfWdSelIncr) and to save logic, we choose |
| 653 | // a valid output as default. |
Greg Chadwick | 496fd34 | 2021-03-05 18:08:39 +0000 | [diff] [blame] | 654 | increment_out = {26'b0, rf_base_rd_data_a_inc}; |
Pirmin Vogel | e97cac0 | 2020-11-02 12:28:50 +0100 | [diff] [blame] | 655 | end |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 656 | endcase |
| 657 | end |
| 658 | |
Greg Chadwick | 5c8579c | 2021-08-04 14:38:33 +0100 | [diff] [blame] | 659 | // Base RF read/write address, enable and commit control |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 660 | always_comb begin |
| 661 | rf_base_rd_addr_a_o = insn_dec_base_i.a; |
| 662 | rf_base_rd_addr_b_o = insn_dec_base_i.b; |
| 663 | rf_base_wr_addr_o = insn_dec_base_i.d; |
Greg Chadwick | e6e8f15 | 2021-03-05 13:35:36 +0000 | [diff] [blame] | 664 | |
Greg Chadwick | 5c8579c | 2021-08-04 14:38:33 +0100 | [diff] [blame] | 665 | // Only commit read or write if the instruction is executing (in particular a read commit pops |
| 666 | // the call stack so must not occur where a valid instruction sees an error and doesn't |
| 667 | // execute). |
| 668 | rf_base_rd_commit_o = insn_executing; |
| 669 | rf_base_wr_commit_o = insn_executing; |
Greg Chadwick | 9f5b638 | 2021-05-10 17:53:46 +0100 | [diff] [blame] | 670 | |
Greg Chadwick | 1a1c011 | 2021-09-14 16:27:31 +0100 | [diff] [blame] | 671 | rf_base_rd_en_a_raw = 1'b0; |
| 672 | rf_base_rd_en_b_raw = 1'b0; |
| 673 | rf_base_wr_en_raw = 1'b0; |
Greg Chadwick | 9f5b638 | 2021-05-10 17:53:46 +0100 | [diff] [blame] | 674 | |
| 675 | if (insn_valid_i) begin |
Rupert Swarbrick | 46e11ba | 2021-07-13 12:11:43 +0100 | [diff] [blame] | 676 | if (insn_dec_shared_i.st_insn) begin |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 677 | // For stores, both base reads happen in the first cycle of the store instruction. For base |
| 678 | // stores this is the same cycle as the request. For bignum stores this is the cycle before |
| 679 | // the request (as the indirect register read to get the store data occurs the following |
| 680 | // cycle). |
| 681 | rf_base_rd_en_a_raw = insn_dec_base_i.rf_ren_a & |
| 682 | (rf_indirect_stall | (insn_dec_shared_i.subset == InsnSubsetBase)); |
| 683 | rf_base_rd_en_b_raw = insn_dec_base_i.rf_ren_b & |
| 684 | (rf_indirect_stall | (insn_dec_shared_i.subset == InsnSubsetBase)); |
Greg Chadwick | 5c8579c | 2021-08-04 14:38:33 +0100 | [diff] [blame] | 685 | |
| 686 | // Bignum stores can update the base register file where an increment is used. |
Greg Chadwick | 1a1c011 | 2021-09-14 16:27:31 +0100 | [diff] [blame] | 687 | rf_base_wr_en_raw = (insn_dec_shared_i.subset == InsnSubsetBignum) & |
Greg Chadwick | 5c8579c | 2021-08-04 14:38:33 +0100 | [diff] [blame] | 688 | insn_dec_base_i.rf_we & |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 689 | rf_indirect_stall; |
Rupert Swarbrick | 46e11ba | 2021-07-13 12:11:43 +0100 | [diff] [blame] | 690 | end else if (insn_dec_shared_i.ld_insn) begin |
Greg Chadwick | 9a6fc46 | 2021-08-10 15:42:16 +0100 | [diff] [blame] | 691 | // For loads, both base reads happen in the same cycle as the request. The address is |
| 692 | // required for the request and the indirect destination register (only used for Bignum |
| 693 | // loads) is flopped in ld_insn_bignum_wr_addr_q to correctly deal with the case where it's |
| 694 | // updated by an increment. |
Greg Chadwick | 1a1c011 | 2021-09-14 16:27:31 +0100 | [diff] [blame] | 695 | rf_base_rd_en_a_raw = insn_dec_base_i.rf_ren_a & lsu_load_req_raw; |
| 696 | rf_base_rd_en_b_raw = insn_dec_base_i.rf_ren_b & lsu_load_req_raw; |
Greg Chadwick | 5c8579c | 2021-08-04 14:38:33 +0100 | [diff] [blame] | 697 | |
| 698 | if (insn_dec_shared_i.subset == InsnSubsetBignum) begin |
Greg Chadwick | 9a6fc46 | 2021-08-10 15:42:16 +0100 | [diff] [blame] | 699 | // Bignum loads can update the base register file where an increment is used. This must |
| 700 | // always happen in the same cycle as the request as this is where both registers are |
| 701 | // read. |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 702 | rf_base_wr_en_raw = insn_dec_base_i.rf_we & lsu_load_req_raw & rf_indirect_stall; |
Greg Chadwick | 5c8579c | 2021-08-04 14:38:33 +0100 | [diff] [blame] | 703 | end else begin |
| 704 | // For Base loads write the base register file when the instruction is unstalled (meaning |
| 705 | // the load data is available). |
Greg Chadwick | 1a1c011 | 2021-09-14 16:27:31 +0100 | [diff] [blame] | 706 | rf_base_wr_en_raw = insn_dec_base_i.rf_we & ~stall; |
Greg Chadwick | 5c8579c | 2021-08-04 14:38:33 +0100 | [diff] [blame] | 707 | end |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 708 | end else if (insn_dec_bignum_i.rf_wdata_sel == RfWdSelMovSel) begin |
| 709 | // For MOVR base register reads occur in the first cycle of the instruction. The indirect |
| 710 | // register read for the bignum data occurs in the following cycle. |
| 711 | rf_base_rd_en_a_raw = insn_dec_base_i.rf_ren_a & rf_indirect_stall; |
| 712 | rf_base_rd_en_b_raw = insn_dec_base_i.rf_ren_b & rf_indirect_stall; |
| 713 | rf_base_wr_en_raw = insn_dec_base_i.rf_we & rf_indirect_stall; |
Greg Chadwick | 9f5b638 | 2021-05-10 17:53:46 +0100 | [diff] [blame] | 714 | end else begin |
Greg Chadwick | 5c8579c | 2021-08-04 14:38:33 +0100 | [diff] [blame] | 715 | // For all other instructions the read and write happen when the instruction is unstalled. |
Greg Chadwick | 1a1c011 | 2021-09-14 16:27:31 +0100 | [diff] [blame] | 716 | rf_base_rd_en_a_raw = insn_dec_base_i.rf_ren_a & ~stall; |
| 717 | rf_base_rd_en_b_raw = insn_dec_base_i.rf_ren_b & ~stall; |
| 718 | rf_base_wr_en_raw = insn_dec_base_i.rf_we & ~stall; |
Greg Chadwick | 9f5b638 | 2021-05-10 17:53:46 +0100 | [diff] [blame] | 719 | end |
Greg Chadwick | e6e8f15 | 2021-03-05 13:35:36 +0000 | [diff] [blame] | 720 | end |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 721 | |
| 722 | if (insn_dec_shared_i.subset == InsnSubsetBignum) begin |
| 723 | unique case (1'b1) |
| 724 | insn_dec_bignum_i.a_inc, |
| 725 | insn_dec_bignum_i.a_wlen_word_inc: begin |
| 726 | rf_base_wr_addr_o = insn_dec_base_i.a; |
| 727 | end |
| 728 | |
| 729 | insn_dec_bignum_i.b_inc, |
| 730 | insn_dec_bignum_i.d_inc: begin |
| 731 | rf_base_wr_addr_o = insn_dec_base_i.b; |
| 732 | end |
| 733 | default: ; |
| 734 | endcase |
| 735 | end |
Greg Chadwick | 1a1c011 | 2021-09-14 16:27:31 +0100 | [diff] [blame] | 736 | |
| 737 | rf_base_rd_en_a_o = rf_base_rd_en_a_raw & ~illegal_insn_static; |
| 738 | rf_base_rd_en_b_o = rf_base_rd_en_b_raw & ~illegal_insn_static; |
| 739 | rf_base_wr_en_o = rf_base_wr_en_raw & ~illegal_insn_static; |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 740 | end |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 741 | |
| 742 | // Base ALU Operand A MUX |
| 743 | always_comb begin |
Greg Chadwick | cf04824 | 2020-10-02 15:28:42 +0100 | [diff] [blame] | 744 | unique case (insn_dec_base_i.op_a_sel) |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 745 | OpASelRegister: alu_base_operation_o.operand_a = rf_base_rd_data_a_no_intg; |
Greg Chadwick | 9e858fb | 2020-10-12 17:39:16 +0100 | [diff] [blame] | 746 | OpASelZero: alu_base_operation_o.operand_a = '0; |
| 747 | OpASelCurrPc: alu_base_operation_o.operand_a = {{(32 - ImemAddrWidth){1'b0}}, insn_addr_i}; |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 748 | default: alu_base_operation_o.operand_a = rf_base_rd_data_a_no_intg; |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 749 | endcase |
| 750 | end |
| 751 | |
| 752 | // Base ALU Operand B MUX |
| 753 | always_comb begin |
Greg Chadwick | cf04824 | 2020-10-02 15:28:42 +0100 | [diff] [blame] | 754 | unique case (insn_dec_base_i.op_b_sel) |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 755 | OpBSelRegister: alu_base_operation_o.operand_b = rf_base_rd_data_b_no_intg; |
Greg Chadwick | 9e858fb | 2020-10-12 17:39:16 +0100 | [diff] [blame] | 756 | OpBSelImmediate: alu_base_operation_o.operand_b = insn_dec_base_i.i; |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 757 | default: alu_base_operation_o.operand_b = rf_base_rd_data_b_no_intg; |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 758 | endcase |
| 759 | end |
| 760 | |
Greg Chadwick | e177f17 | 2020-09-09 14:46:03 +0100 | [diff] [blame] | 761 | assign alu_base_operation_o.op = insn_dec_base_i.alu_op; |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 762 | |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 763 | assign alu_base_comparison_o.operand_a = rf_base_rd_data_a_no_intg; |
| 764 | assign alu_base_comparison_o.operand_b = rf_base_rd_data_b_no_intg; |
Greg Chadwick | e177f17 | 2020-09-09 14:46:03 +0100 | [diff] [blame] | 765 | assign alu_base_comparison_o.op = insn_dec_base_i.comparison_op; |
Greg Chadwick | 9791eed | 2020-07-22 18:08:28 +0100 | [diff] [blame] | 766 | |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 767 | assign rf_base_rd_data_a_no_intg = rf_base_rd_data_a_intg_i[31:0]; |
| 768 | assign rf_base_rd_data_b_no_intg = rf_base_rd_data_b_intg_i[31:0]; |
| 769 | |
| 770 | // TODO: For now integrity bits from RF base are ignored in the controller, remove this when end |
| 771 | // to end integrity features that use them are implemented |
| 772 | logic unused_rf_base_rd_a_intg_bits; |
| 773 | logic unused_rf_base_rd_b_intg_bits; |
| 774 | |
| 775 | assign unused_rf_base_rd_a_intg_bits = |rf_base_rd_data_a_intg_i[38:32]; |
| 776 | assign unused_rf_base_rd_b_intg_bits = |rf_base_rd_data_b_intg_i[38:32]; |
| 777 | |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 778 | // Register file write MUX |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 779 | always_comb begin |
Greg Chadwick | ee060bd | 2021-05-15 17:33:22 +0100 | [diff] [blame] | 780 | // Write data mux for anything that needs integrity computing during register write |
Greg Chadwick | cf04824 | 2020-10-02 15:28:42 +0100 | [diff] [blame] | 781 | unique case (insn_dec_base_i.rf_wdata_sel) |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 782 | RfWdSelEx: rf_base_wr_data_no_intg_o = alu_base_operation_result_i; |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 783 | RfWdSelNextPc: rf_base_wr_data_no_intg_o = {{(32-(ImemAddrWidth+1)){1'b0}}, |
| 784 | next_insn_addr_wide}; |
| 785 | RfWdSelIspr: rf_base_wr_data_no_intg_o = csr_rdata; |
| 786 | RfWdSelIncr: rf_base_wr_data_no_intg_o = increment_out; |
| 787 | default: rf_base_wr_data_no_intg_o = alu_base_operation_result_i; |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 788 | endcase |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 789 | |
Greg Chadwick | ee060bd | 2021-05-15 17:33:22 +0100 | [diff] [blame] | 790 | // Write data mux for anything that provides its own integrity |
| 791 | unique case (insn_dec_base_i.rf_wdata_sel) |
| 792 | RfWdSelLsu: begin |
| 793 | rf_base_wr_data_intg_sel_o = 1'b1; |
| 794 | rf_base_wr_data_intg_o = lsu_base_rdata_i; |
| 795 | end |
| 796 | default: begin |
| 797 | rf_base_wr_data_intg_sel_o = 1'b0; |
| 798 | rf_base_wr_data_intg_o = '0; |
| 799 | end |
| 800 | endcase |
| 801 | end |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 802 | |
| 803 | for (genvar i = 0; i < BaseWordsPerWLEN; ++i) begin : g_rf_bignum_rd_data |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 804 | assign rf_bignum_rd_data_a_no_intg[i*32+:32] = rf_bignum_rd_data_a_intg_i[i*39+:32]; |
| 805 | assign rf_bignum_rd_data_b_no_intg[i*32+:32] = rf_bignum_rd_data_b_intg_i[i*39+:32]; |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 806 | end |
| 807 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 808 | assign rf_bignum_rd_addr_a_o = insn_dec_bignum_i.rf_a_indirect ? insn_bignum_rd_addr_a_q : |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 809 | insn_dec_bignum_i.a; |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 810 | assign rf_bignum_rd_en_a_o = insn_dec_bignum_i.rf_ren_a & insn_valid_i & ~stall; |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 811 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 812 | assign rf_bignum_rd_addr_b_o = insn_dec_bignum_i.rf_b_indirect ? insn_bignum_rd_addr_b_q : |
Greg Chadwick | ae8e645 | 2020-10-02 12:04:15 +0100 | [diff] [blame] | 813 | insn_dec_bignum_i.b; |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 814 | assign rf_bignum_rd_en_b_o = insn_dec_bignum_i.rf_ren_b & insn_valid_i & ~stall; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 815 | |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 816 | assign alu_bignum_operation_o.operand_a = rf_bignum_rd_data_a_no_intg; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 817 | |
| 818 | // Base ALU Operand B MUX |
| 819 | always_comb begin |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 820 | unique case (insn_dec_bignum_i.alu_op_b_sel) |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 821 | OpBSelRegister: alu_bignum_operation_o.operand_b = rf_bignum_rd_data_b_no_intg; |
Greg Chadwick | 9e858fb | 2020-10-12 17:39:16 +0100 | [diff] [blame] | 822 | OpBSelImmediate: alu_bignum_operation_o.operand_b = insn_dec_bignum_i.i; |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 823 | default: alu_bignum_operation_o.operand_b = rf_bignum_rd_data_b_no_intg; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 824 | endcase |
| 825 | end |
| 826 | |
| 827 | assign alu_bignum_operation_o.op = insn_dec_bignum_i.alu_op; |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 828 | assign alu_bignum_operation_o.shift_right = insn_dec_bignum_i.alu_shift_right; |
| 829 | assign alu_bignum_operation_o.shift_amt = insn_dec_bignum_i.alu_shift_amt; |
| 830 | assign alu_bignum_operation_o.flag_group = insn_dec_bignum_i.alu_flag_group; |
| 831 | assign alu_bignum_operation_o.sel_flag = insn_dec_bignum_i.alu_sel_flag; |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 832 | assign alu_bignum_operation_o.alu_flag_en = insn_dec_bignum_i.alu_flag_en & insn_valid_i; |
| 833 | assign alu_bignum_operation_o.mac_flag_en = insn_dec_bignum_i.mac_flag_en & insn_valid_i; |
| 834 | |
Andreas Kurth | aaae846 | 2022-05-02 17:04:16 +0200 | [diff] [blame] | 835 | assign alu_bignum_operation_valid_o = insn_valid_i; |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 836 | assign alu_bignum_operation_commit_o = insn_executing; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 837 | |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 838 | assign mac_bignum_operation_o.operand_a = rf_bignum_rd_data_a_no_intg; |
| 839 | assign mac_bignum_operation_o.operand_b = rf_bignum_rd_data_b_no_intg; |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 840 | assign mac_bignum_operation_o.operand_a_qw_sel = insn_dec_bignum_i.mac_op_a_qw_sel; |
| 841 | assign mac_bignum_operation_o.operand_b_qw_sel = insn_dec_bignum_i.mac_op_b_qw_sel; |
Rupert Swarbrick | 8e01602 | 2020-11-19 16:59:02 +0000 | [diff] [blame] | 842 | assign mac_bignum_operation_o.wr_hw_sel_upper = insn_dec_bignum_i.mac_wr_hw_sel_upper; |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 843 | assign mac_bignum_operation_o.pre_acc_shift_imm = insn_dec_bignum_i.mac_pre_acc_shift; |
| 844 | assign mac_bignum_operation_o.zero_acc = insn_dec_bignum_i.mac_zero_acc; |
| 845 | assign mac_bignum_operation_o.shift_acc = insn_dec_bignum_i.mac_shift_out; |
| 846 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 847 | assign mac_bignum_en_o = insn_valid_i & insn_dec_bignum_i.mac_en; |
| 848 | assign mac_bignum_commit_o = insn_executing; |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 849 | |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 850 | // Move / Conditional Select. Only select B register data when a selection instruction is being |
| 851 | // executed and the selection flag isn't set. |
| 852 | |
| 853 | `ASSERT(SelFlagValid, insn_valid_i & insn_dec_bignum_i.sel_insn |-> |
| 854 | insn_dec_bignum_i.alu_sel_flag inside {FlagC, FlagL, FlagM, FlagZ}) |
| 855 | |
| 856 | assign selection_result = |
| 857 | ~insn_dec_bignum_i.sel_insn | alu_bignum_selection_flag_i ? rf_bignum_rd_data_a_intg_i : |
| 858 | rf_bignum_rd_data_b_intg_i; |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 859 | |
| 860 | // Bignum Register file write control |
| 861 | |
| 862 | always_comb begin |
| 863 | // By default write nothing |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 864 | rf_bignum_wr_en_o = 2'b00; |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 865 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 866 | // Only write if valid instruction wants a bignum rf write and it isn't stalled. If instruction |
| 867 | // doesn't execute (e.g. due to an error) the write won't commit. |
| 868 | if (insn_valid_i && insn_dec_bignum_i.rf_we && !rf_indirect_stall) begin |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 869 | if (insn_dec_bignum_i.mac_en && insn_dec_bignum_i.mac_shift_out) begin |
| 870 | // Special handling for BN.MULQACC.SO, only enable upper or lower half depending on |
Rupert Swarbrick | 8e01602 | 2020-11-19 16:59:02 +0000 | [diff] [blame] | 871 | // mac_wr_hw_sel_upper. |
| 872 | rf_bignum_wr_en_o = insn_dec_bignum_i.mac_wr_hw_sel_upper ? 2'b10 : 2'b01; |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 873 | end else begin |
| 874 | // For everything else write both halves immediately. |
| 875 | rf_bignum_wr_en_o = 2'b11; |
| 876 | end |
| 877 | end |
| 878 | end |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 879 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 880 | assign rf_bignum_wr_commit_o = |rf_bignum_wr_en_o & insn_executing & !stall; |
| 881 | |
| 882 | assign rf_bignum_indirect_en_o = insn_executing & rf_indirect_stall; |
| 883 | assign rf_bignum_rd_a_indirect_en = insn_executing & insn_dec_bignum_i.rf_a_indirect; |
| 884 | assign rf_bignum_rd_b_indirect_en = insn_executing & insn_dec_bignum_i.rf_b_indirect; |
| 885 | assign rf_bignum_wr_indirect_en = insn_executing & insn_dec_bignum_i.rf_d_indirect; |
| 886 | |
| 887 | prim_onehot_enc #( |
| 888 | .OneHotWidth(NWdr) |
| 889 | ) rf_bignum_rd_a_idirect_onehot__enc ( |
| 890 | .in_i (rf_base_rd_data_a_no_intg[4:0]), |
| 891 | .en_i (rf_bignum_rd_a_indirect_en), |
| 892 | .out_o (rf_bignum_rd_a_indirect_onehot_o) |
| 893 | ); |
| 894 | |
| 895 | prim_onehot_enc #( |
| 896 | .OneHotWidth(NWdr) |
| 897 | ) rf_bignum_rd_b_indirect_onehot_enc ( |
| 898 | .in_i (rf_base_rd_data_b_no_intg[4:0]), |
| 899 | .en_i (rf_bignum_rd_b_indirect_en), |
| 900 | .out_o (rf_bignum_rd_b_indirect_onehot_o) |
| 901 | ); |
| 902 | |
| 903 | prim_onehot_enc #( |
| 904 | .OneHotWidth(NWdr) |
| 905 | ) rf_bignum_wr_indirect_onehot_enc ( |
| 906 | .in_i (rf_base_rd_data_b_no_intg[4:0]), |
| 907 | .en_i (rf_bignum_wr_indirect_en), |
| 908 | .out_o (rf_bignum_wr_indirect_onehot_o) |
| 909 | ); |
| 910 | |
Greg Chadwick | 9a6fc46 | 2021-08-10 15:42:16 +0100 | [diff] [blame] | 911 | // For BN.LID sample the indirect destination register index in first cycle as an increment might |
| 912 | // change it for the second cycle where the load data is written to the bignum register file. |
| 913 | always_ff @(posedge clk_i) begin |
Greg Chadwick | 9a6fc46 | 2021-08-10 15:42:16 +0100 | [diff] [blame] | 914 | if (insn_dec_bignum_i.rf_d_indirect) begin |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 915 | insn_bignum_wr_addr_q <= rf_base_rd_data_b_no_intg[4:0]; |
| 916 | end |
| 917 | |
| 918 | if (insn_dec_bignum_i.rf_a_indirect) begin |
| 919 | insn_bignum_rd_addr_a_q <= rf_base_rd_data_a_no_intg[4:0]; |
| 920 | end |
| 921 | |
| 922 | if (insn_dec_bignum_i.rf_b_indirect) begin |
| 923 | insn_bignum_rd_addr_b_q <= rf_base_rd_data_b_no_intg[4:0]; |
Greg Chadwick | 9a6fc46 | 2021-08-10 15:42:16 +0100 | [diff] [blame] | 924 | end |
| 925 | end |
Greg Chadwick | 496fd34 | 2021-03-05 18:08:39 +0000 | [diff] [blame] | 926 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 927 | assign rf_bignum_wr_addr_o = insn_dec_bignum_i.rf_d_indirect ? insn_bignum_wr_addr_q : |
| 928 | insn_dec_bignum_i.d; |
| 929 | |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 930 | // For the shift-out variant of BN.MULQACC the bottom half of the MAC result is written to one |
Philipp Wagner | dc94652 | 2020-12-03 10:52:58 +0000 | [diff] [blame] | 931 | // half of a desintation register specified by the instruction (mac_wr_hw_sel_upper). The bottom |
| 932 | // half of the MAC result must be placed in the appropriate half of the write data (the RF only |
| 933 | // accepts write data for the top half in the top half of the write data input). Otherwise |
| 934 | // (shift-out to bottom half and all other BN.MULQACC instructions) simply pass the MAC result |
| 935 | // through unchanged as write data. |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 936 | assign mac_bignum_rf_wr_data[WLEN-1:WLEN/2] = |
Philipp Wagner | dc94652 | 2020-12-03 10:52:58 +0000 | [diff] [blame] | 937 | insn_dec_bignum_i.mac_wr_hw_sel_upper && |
| 938 | insn_dec_bignum_i.mac_shift_out ? mac_bignum_operation_result_i[WLEN/2-1:0] : |
| 939 | mac_bignum_operation_result_i[WLEN-1:WLEN/2]; |
Greg Chadwick | 9478645 | 2020-10-28 18:19:51 +0000 | [diff] [blame] | 940 | |
| 941 | assign mac_bignum_rf_wr_data[WLEN/2-1:0] = mac_bignum_operation_result_i[WLEN/2-1:0]; |
| 942 | |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 943 | always_comb begin |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 944 | // Write data mux for anything that needs integrity computing during register write |
Greg Chadwick | cf04824 | 2020-10-02 15:28:42 +0100 | [diff] [blame] | 945 | unique case (insn_dec_bignum_i.rf_wdata_sel) |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 946 | RfWdSelEx: rf_bignum_wr_data_no_intg_o = alu_bignum_operation_result_i; |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 947 | RfWdSelMac: rf_bignum_wr_data_no_intg_o = mac_bignum_rf_wr_data; |
| 948 | default: rf_bignum_wr_data_no_intg_o = alu_bignum_operation_result_i; |
| 949 | endcase |
| 950 | |
| 951 | // Write data mux for anything that provides its own integrity |
| 952 | unique case (insn_dec_bignum_i.rf_wdata_sel) |
Andreas Kurth | 7fd22ae | 2022-04-28 14:52:14 +0200 | [diff] [blame] | 953 | RfWdSelIspr: begin |
| 954 | rf_bignum_wr_data_intg_sel_o = 1'b1; |
| 955 | rf_bignum_wr_data_intg_o = ispr_rdata_intg_i; |
| 956 | end |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 957 | RfWdSelMovSel: begin |
| 958 | rf_bignum_wr_data_intg_sel_o = 1'b1; |
| 959 | rf_bignum_wr_data_intg_o = selection_result; |
| 960 | end |
Greg Chadwick | ee060bd | 2021-05-15 17:33:22 +0100 | [diff] [blame] | 961 | RfWdSelLsu: begin |
| 962 | rf_bignum_wr_data_intg_sel_o = 1'b1; |
| 963 | rf_bignum_wr_data_intg_o = lsu_bignum_rdata_i; |
| 964 | end |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 965 | default: begin |
| 966 | rf_bignum_wr_data_intg_sel_o = 1'b0; |
| 967 | rf_bignum_wr_data_intg_o = '0; |
| 968 | end |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 969 | endcase |
| 970 | end |
| 971 | |
Greg Chadwick | 9a6fc46 | 2021-08-10 15:42:16 +0100 | [diff] [blame] | 972 | assign rf_a_indirect_err = insn_dec_bignum_i.rf_a_indirect & |
| 973 | (|rf_base_rd_data_a_no_intg[31:5]) & |
Andreas Kurth | 7100437 | 2022-05-07 16:59:42 +0200 | [diff] [blame] | 974 | ~rf_base_call_stack_sw_err_i & |
Greg Chadwick | 9a6fc46 | 2021-08-10 15:42:16 +0100 | [diff] [blame] | 975 | rf_base_rd_en_a_o; |
| 976 | |
| 977 | assign rf_b_indirect_err = insn_dec_bignum_i.rf_b_indirect & |
| 978 | (|rf_base_rd_data_b_no_intg[31:5]) & |
Andreas Kurth | 7100437 | 2022-05-07 16:59:42 +0200 | [diff] [blame] | 979 | ~rf_base_call_stack_sw_err_i & |
Greg Chadwick | 9a6fc46 | 2021-08-10 15:42:16 +0100 | [diff] [blame] | 980 | rf_base_rd_en_b_o; |
| 981 | |
| 982 | assign rf_d_indirect_err = insn_dec_bignum_i.rf_d_indirect & |
| 983 | (|rf_base_rd_data_b_no_intg[31:5]) & |
| 984 | rf_base_rd_en_b_o; |
Greg Chadwick | 496fd34 | 2021-03-05 18:08:39 +0000 | [diff] [blame] | 985 | |
| 986 | assign rf_indirect_err = |
| 987 | insn_valid_i & (rf_a_indirect_err | rf_b_indirect_err | rf_d_indirect_err); |
| 988 | |
Michael Schaffner | 9a4ac7b | 2022-04-14 11:56:53 -0700 | [diff] [blame] | 989 | assign ignore_bignum_rf_errs = (insn_dec_bignum_i.rf_a_indirect | |
Rupert Swarbrick | db4b494 | 2022-01-10 12:35:14 +0000 | [diff] [blame] | 990 | insn_dec_bignum_i.rf_b_indirect) & |
Andreas Kurth | 7100437 | 2022-05-07 16:59:42 +0200 | [diff] [blame] | 991 | rf_base_call_stack_sw_err_i; |
Rupert Swarbrick | db4b494 | 2022-01-10 12:35:14 +0000 | [diff] [blame] | 992 | |
Michael Schaffner | 9a4ac7b | 2022-04-14 11:56:53 -0700 | [diff] [blame] | 993 | assign rf_bignum_rf_err = rf_bignum_rf_err_i & ~ignore_bignum_rf_errs; |
Rupert Swarbrick | db4b494 | 2022-01-10 12:35:14 +0000 | [diff] [blame] | 994 | |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 995 | // CSR/WSR/ISPR handling |
| 996 | // ISPRs (Internal Special Purpose Registers) are the internal registers. CSRs and WSRs are the |
| 997 | // ISA visible versions of those registers in the base and bignum ISAs respectively. |
| 998 | |
Philipp Wagner | 711d226 | 2021-01-21 18:17:42 +0000 | [diff] [blame] | 999 | assign csr_addr = csr_e'(insn_dec_base_i.i[11:0]); |
| 1000 | assign csr_sub_addr = insn_dec_base_i.i[$clog2(BaseWordsPerWLEN)-1:0]; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1001 | |
| 1002 | always_comb begin |
| 1003 | ispr_addr_base = IsprMod; |
| 1004 | ispr_word_addr_base = '0; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 1005 | csr_illegal_addr = 1'b0; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1006 | |
| 1007 | unique case (csr_addr) |
Rupert Swarbrick | b9a1d31 | 2021-12-16 10:51:07 +0000 | [diff] [blame] | 1008 | CsrFlags, CsrFg0, CsrFg1: begin |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1009 | ispr_addr_base = IsprFlags; |
| 1010 | ispr_word_addr_base = '0; |
| 1011 | end |
Philipp Wagner | 711d226 | 2021-01-21 18:17:42 +0000 | [diff] [blame] | 1012 | CsrMod0, CsrMod1, CsrMod2, CsrMod3, CsrMod4, CsrMod5, CsrMod6, CsrMod7: begin |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1013 | ispr_addr_base = IsprMod; |
Philipp Wagner | 711d226 | 2021-01-21 18:17:42 +0000 | [diff] [blame] | 1014 | ispr_word_addr_base = csr_sub_addr; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1015 | end |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 1016 | CsrRndPrefetch: begin |
| 1017 | // Reading from RND_PREFETCH results in 0, there is no ISPR to read so no address is set. |
| 1018 | // The csr_rdata mux logic takes care of producing the 0. |
| 1019 | end |
Philipp Wagner | 9387752 | 2021-07-16 10:49:25 +0100 | [diff] [blame] | 1020 | CsrRnd: begin |
| 1021 | ispr_addr_base = IsprRnd; |
| 1022 | ispr_word_addr_base = '0; |
| 1023 | end |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 1024 | CsrUrnd: begin |
| 1025 | ispr_addr_base = IsprUrnd; |
| 1026 | ispr_word_addr_base = '0; |
| 1027 | end |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 1028 | default: csr_illegal_addr = 1'b1; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1029 | endcase |
| 1030 | end |
| 1031 | |
| 1032 | for (genvar i_word = 0; i_word < BaseWordsPerWLEN; i_word++) begin : g_ispr_word_sel_base |
| 1033 | assign ispr_word_sel_base[i_word] = ispr_word_addr_base == i_word; |
| 1034 | end |
| 1035 | |
Andreas Kurth | 7fd22ae | 2022-04-28 14:52:14 +0200 | [diff] [blame] | 1036 | // Decode wide ISPR read data. |
| 1037 | logic [WLEN-1:0] ispr_rdata; |
| 1038 | logic [2*BaseWordsPerWLEN-1:0] ispr_rdata_intg_err_wide; |
| 1039 | for (genvar i_word = 0; i_word < BaseWordsPerWLEN; i_word++) begin : g_ispr_rdata_dec |
| 1040 | prim_secded_inv_39_32_dec i_secded_dec ( |
| 1041 | .data_i (ispr_rdata_intg_i[i_word*39+:39]), |
| 1042 | .data_o (/* unused because we abort on any integrity error */), |
| 1043 | .syndrome_o (/* unused */), |
| 1044 | .err_o (ispr_rdata_intg_err_wide[i_word*2+:2]) |
| 1045 | ); |
| 1046 | assign ispr_rdata[i_word*32+:32] = ispr_rdata_intg_i[i_word*39+:32]; |
| 1047 | end |
| 1048 | |
| 1049 | // Propagate integrity error only if wide ISPR is used. |
| 1050 | |
| 1051 | // `ispr_rdata` conditionally flows into `ispr_bignum_wdata_intg_o`. |
| 1052 | logic ispr_rdata_into_ispr_bignum_wdata; |
| 1053 | assign ispr_rdata_into_ispr_bignum_wdata = insn_dec_shared_i.ispr_rs_insn; |
| 1054 | |
| 1055 | logic csr_rdata_mux_into_ispr_base_wdata; |
| 1056 | assign csr_rdata_mux_into_ispr_base_wdata = |
| 1057 | // `csr_rdata_mux` flows into `csr_rdata_raw`, which flows into `csr_rdata` except in one |
| 1058 | // case. |
| 1059 | (csr_addr != CsrRndPrefetch) |
| 1060 | // `csr_rdata` conditionally flows into `csr_wdata_raw`. `csr_wdata_raw` then flows into |
| 1061 | // `csr_wdata`, which flows into `ispr_base_wdata_o`. |
| 1062 | & insn_dec_shared_i.ispr_rs_insn; |
| 1063 | |
| 1064 | // Words of `ispr_rdata` flow into `ispr_base_wdata_o` through `csr_rdata_mux` conditional to the |
| 1065 | // word-wise selection by `ispr_word_sel_base`. |
| 1066 | logic [BaseWordsPerWLEN-1:0] ispr_rdata_into_ispr_base_wdata; |
| 1067 | assign ispr_rdata_into_ispr_base_wdata = csr_rdata_mux_into_ispr_base_wdata ? ispr_word_sel_base |
| 1068 | : '0; |
| 1069 | |
| 1070 | logic [BaseWordsPerWLEN-1:0] ispr_rdata_used; |
| 1071 | // `ispr_rdata_intg_i` is only valid for valid instructions that read from the ISPR. |
| 1072 | assign ispr_rdata_used = {BaseWordsPerWLEN{insn_valid_i & ispr_rd_insn & ~ispr_stall}} & ( |
| 1073 | // `ispr_rdata` then flows into `ispr_base_wdata_o` or into `ispr_bignum_wdata_intg_o` |
| 1074 | ispr_rdata_into_ispr_base_wdata | {BaseWordsPerWLEN{ispr_rdata_into_ispr_bignum_wdata}} |
| 1075 | ); |
| 1076 | |
| 1077 | `ASSERT_KNOWN(IsprRdataUsed_A, ispr_rdata_used) |
| 1078 | |
| 1079 | // Determine if a used word had an integrity error. |
| 1080 | logic [BaseWordsPerWLEN-1:0] ispr_rdata_intg_err_narrow; |
| 1081 | for (genvar i_word = 0; i_word < BaseWordsPerWLEN; i_word++) begin : g_ispr_rdata_err |
| 1082 | assign ispr_rdata_intg_err_narrow[i_word] = |(ispr_rdata_intg_err_wide[i_word*2+:2]); |
| 1083 | end |
| 1084 | |
| 1085 | logic [BaseWordsPerWLEN-1:0] ispr_rdata_used_intg_err; |
| 1086 | assign ispr_rdata_used_intg_err = ispr_rdata_used & ispr_rdata_intg_err_narrow; |
| 1087 | assign ispr_rdata_intg_err = |(ispr_rdata_used_intg_err); |
| 1088 | |
| 1089 | `ASSERT_KNOWN(IsprRdataIntgErrKnown_A, ispr_rdata_intg_err) |
| 1090 | |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1091 | for (genvar i_bit = 0; i_bit < 32; i_bit++) begin : g_csr_rdata_mux |
| 1092 | for (genvar i_word = 0; i_word < BaseWordsPerWLEN; i_word++) begin : g_csr_rdata_mux_inner |
Philipp Wagner | dc94652 | 2020-12-03 10:52:58 +0000 | [diff] [blame] | 1093 | assign csr_rdata_mux[i_bit][i_word] = |
Andreas Kurth | 7fd22ae | 2022-04-28 14:52:14 +0200 | [diff] [blame] | 1094 | ispr_rdata[i_word*32 + i_bit] & ispr_word_sel_base[i_word]; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1095 | end |
| 1096 | |
Greg Chadwick | dbd655a | 2020-11-24 16:42:06 +0000 | [diff] [blame] | 1097 | assign csr_rdata_raw[i_bit] = |csr_rdata_mux[i_bit]; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1098 | end |
| 1099 | |
Greg Chadwick | dbd655a | 2020-11-24 16:42:06 +0000 | [diff] [blame] | 1100 | // Specialised read data handling for CSR reads where raw read data needs modification. |
| 1101 | always_comb begin |
| 1102 | csr_rdata = csr_rdata_raw; |
| 1103 | |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 1104 | unique case (csr_addr) |
Greg Chadwick | dbd655a | 2020-11-24 16:42:06 +0000 | [diff] [blame] | 1105 | // For FG0/FG1 select out appropriate bits from FLAGS ISPR and pad the rest with zeros. |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 1106 | CsrFg0: csr_rdata = {28'b0, csr_rdata_raw[3:0]}; |
| 1107 | CsrFg1: csr_rdata = {28'b0, csr_rdata_raw[7:4]}; |
| 1108 | CsrRndPrefetch: csr_rdata = '0; |
Greg Chadwick | dbd655a | 2020-11-24 16:42:06 +0000 | [diff] [blame] | 1109 | default: ; |
| 1110 | endcase |
| 1111 | end |
| 1112 | |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 1113 | assign csr_wdata_raw = insn_dec_shared_i.ispr_rs_insn ? csr_rdata | rf_base_rd_data_a_no_intg : |
| 1114 | rf_base_rd_data_a_no_intg; |
Greg Chadwick | dbd655a | 2020-11-24 16:42:06 +0000 | [diff] [blame] | 1115 | |
| 1116 | // Specialised write data handling for CSR writes where raw write data needs modification. |
| 1117 | always_comb begin |
| 1118 | csr_wdata = csr_wdata_raw; |
| 1119 | |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 1120 | unique case (csr_addr) |
Greg Chadwick | dbd655a | 2020-11-24 16:42:06 +0000 | [diff] [blame] | 1121 | // For FG0/FG1 only modify relevant part of FLAGS ISPR. |
| 1122 | CsrFg0: csr_wdata = {24'b0, csr_rdata_raw[7:4], csr_wdata_raw[3:0]}; |
| 1123 | CsrFg1: csr_wdata = {24'b0, csr_wdata_raw[3:0], csr_rdata_raw[3:0]}; |
| 1124 | default: ; |
| 1125 | endcase |
| 1126 | end |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1127 | |
Rupert Swarbrick | a2c05e7 | 2020-11-20 08:46:25 +0000 | [diff] [blame] | 1128 | // ISPR RS (read and set) must not be combined with ISPR RD or WR (read or write). ISPR RD and |
| 1129 | // WR (read and write) is allowed. |
| 1130 | `ASSERT(NoIsprRorWAndRs, insn_valid_i |-> ~(insn_dec_shared_i.ispr_rs_insn & |
| 1131 | (insn_dec_shared_i.ispr_rd_insn | |
| 1132 | insn_dec_shared_i.ispr_wr_insn))) |
| 1133 | |
| 1134 | |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1135 | assign wsr_addr = wsr_e'(insn_dec_bignum_i.i[WsrNumWidth-1:0]); |
| 1136 | |
| 1137 | always_comb begin |
| 1138 | ispr_addr_bignum = IsprMod; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 1139 | wsr_illegal_addr = 1'b0; |
Greg Chadwick | 4ca1caa | 2021-11-23 10:56:01 +0000 | [diff] [blame] | 1140 | key_invalid = 1'b0; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1141 | |
| 1142 | unique case (wsr_addr) |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 1143 | WsrMod: ispr_addr_bignum = IsprMod; |
| 1144 | WsrRnd: ispr_addr_bignum = IsprRnd; |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 1145 | WsrUrnd: ispr_addr_bignum = IsprUrnd; |
Philipp Wagner | 19afa99 | 2021-07-16 10:56:23 +0100 | [diff] [blame] | 1146 | WsrAcc: ispr_addr_bignum = IsprAcc; |
Greg Chadwick | 4ca1caa | 2021-11-23 10:56:01 +0000 | [diff] [blame] | 1147 | WsrKeyS0L: begin |
| 1148 | ispr_addr_bignum = IsprKeyS0L; |
| 1149 | key_invalid = ~sideload_key_shares_valid_i[0]; |
| 1150 | end |
| 1151 | WsrKeyS0H: begin |
| 1152 | ispr_addr_bignum = IsprKeyS0H; |
| 1153 | key_invalid = ~sideload_key_shares_valid_i[0]; |
| 1154 | end |
| 1155 | WsrKeyS1L: begin |
| 1156 | ispr_addr_bignum = IsprKeyS1L; |
| 1157 | key_invalid = ~sideload_key_shares_valid_i[1]; |
| 1158 | end |
| 1159 | WsrKeyS1H: begin |
| 1160 | ispr_addr_bignum = IsprKeyS1H; |
| 1161 | key_invalid = ~sideload_key_shares_valid_i[1]; |
| 1162 | end |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 1163 | default: wsr_illegal_addr = 1'b1; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1164 | endcase |
| 1165 | end |
| 1166 | |
Andreas Kurth | 7fd22ae | 2022-04-28 14:52:14 +0200 | [diff] [blame] | 1167 | assign wsr_wdata = insn_dec_shared_i.ispr_rs_insn ? ispr_rdata | rf_bignum_rd_data_a_no_intg : |
Greg Chadwick | 009d9ee | 2021-04-26 16:25:51 +0100 | [diff] [blame] | 1168 | rf_bignum_rd_data_a_no_intg; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1169 | |
Philipp Wagner | 711d226 | 2021-01-21 18:17:42 +0000 | [diff] [blame] | 1170 | assign ispr_illegal_addr = insn_dec_shared_i.subset == InsnSubsetBase ? csr_illegal_addr : |
| 1171 | wsr_illegal_addr; |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 1172 | |
| 1173 | assign ispr_err = ispr_illegal_addr & insn_valid_i & (insn_dec_shared_i.ispr_rd_insn | |
| 1174 | insn_dec_shared_i.ispr_wr_insn | |
| 1175 | insn_dec_shared_i.ispr_rs_insn); |
| 1176 | |
Rupert Swarbrick | a2c05e7 | 2020-11-20 08:46:25 +0000 | [diff] [blame] | 1177 | assign ispr_wr_insn = insn_dec_shared_i.ispr_wr_insn | insn_dec_shared_i.ispr_rs_insn; |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 1178 | assign ispr_rd_insn = insn_dec_shared_i.ispr_rd_insn | insn_dec_shared_i.ispr_rs_insn; |
| 1179 | |
| 1180 | // Write to RND_PREFETCH must not produce ISR write |
| 1181 | assign ispr_wr_base_insn = |
| 1182 | ispr_wr_insn & (insn_dec_shared_i.subset == InsnSubsetBase) & (csr_addr != CsrRndPrefetch); |
| 1183 | |
Rupert Swarbrick | 514348e | 2021-02-03 09:04:59 +0000 | [diff] [blame] | 1184 | assign ispr_wr_bignum_insn = ispr_wr_insn & (insn_dec_shared_i.subset == InsnSubsetBignum); |
Prajwala Puttappa | 175c0d8 | 2021-12-17 11:23:16 +0000 | [diff] [blame] | 1185 | assign ispr_rd_bignum_insn = ispr_rd_insn & (insn_dec_shared_i.subset == InsnSubsetBignum); |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1186 | |
Philipp Wagner | dc94652 | 2020-12-03 10:52:58 +0000 | [diff] [blame] | 1187 | assign ispr_addr_o = insn_dec_shared_i.subset == InsnSubsetBase ? ispr_addr_base : |
| 1188 | ispr_addr_bignum; |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1189 | assign ispr_base_wdata_o = csr_wdata; |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 1190 | assign ispr_base_wr_en_o = {BaseWordsPerWLEN{ispr_wr_base_insn & insn_valid_i}} & |
Rupert Swarbrick | 514348e | 2021-02-03 09:04:59 +0000 | [diff] [blame] | 1191 | ispr_word_sel_base; |
Greg Chadwick | f6f3596 | 2020-11-02 17:32:08 +0000 | [diff] [blame] | 1192 | |
Andreas Kurth | 7fd22ae | 2022-04-28 14:52:14 +0200 | [diff] [blame] | 1193 | for (genvar i_word = 0; i_word < BaseWordsPerWLEN; i_word++) begin : g_ispr_bignum_wdata_enc |
| 1194 | prim_secded_inv_39_32_enc i_secded_enc ( |
| 1195 | .data_i(wsr_wdata[i_word*32+:32]), |
| 1196 | .data_o(ispr_bignum_wdata_intg_o[i_word*39+:39]) |
| 1197 | ); |
| 1198 | end |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 1199 | assign ispr_bignum_wr_en_o = ispr_wr_bignum_insn & insn_valid_i; |
| 1200 | |
| 1201 | assign ispr_wr_commit_o = ispr_wr_insn & insn_executing; |
| 1202 | assign ispr_rd_en_o = ispr_rd_insn & insn_valid_i & |
| 1203 | ~((insn_dec_shared_i.subset == InsnSubsetBase) & (csr_addr == CsrRndPrefetch)); |
| 1204 | |
| 1205 | // For BN.SID the LSU address is computed in the first cycle by the base ALU. The store request |
| 1206 | // itself occurs in the second cycle when the store data is available (from the indirect register |
| 1207 | // read). The calculated address is saved in a flop here so it's available for use in the second |
| 1208 | // cycle. |
| 1209 | assign lsu_addr_saved_d = alu_base_operation_result_i[DmemAddrWidth-1:0]; |
| 1210 | always_ff @(posedge clk_i) begin |
| 1211 | lsu_addr_saved_q <= lsu_addr_saved_d; |
| 1212 | end |
| 1213 | |
| 1214 | //assign expected_lsu_addr_en_predec = insn_valid & insn_dec_shared_i.ld_insn |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1215 | |
Greg Chadwick | 42a9f3b | 2021-01-28 13:54:14 +0000 | [diff] [blame] | 1216 | // lsu_load_req_raw/lsu_store_req_raw indicate an instruction wishes to perform a store or a load. |
Rupert Swarbrick | 514348e | 2021-02-03 09:04:59 +0000 | [diff] [blame] | 1217 | // lsu_load_req_o/lsu_store_req_o factor in whether an instruction is actually executing (it may |
| 1218 | // be suppressed due an error) and command the load or store to happen when asserted. |
Greg Chadwick | 42a9f3b | 2021-01-28 13:54:14 +0000 | [diff] [blame] | 1219 | assign lsu_load_req_raw = insn_valid_i & insn_dec_shared_i.ld_insn & (state_q == OtbnStateRun); |
| 1220 | assign lsu_load_req_o = insn_executing & lsu_load_req_raw; |
| 1221 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 1222 | assign lsu_store_req_raw = insn_valid_i & insn_dec_shared_i.st_insn & ~rf_indirect_stall; |
Greg Chadwick | 42a9f3b | 2021-01-28 13:54:14 +0000 | [diff] [blame] | 1223 | assign lsu_store_req_o = insn_executing & lsu_store_req_raw; |
| 1224 | |
Greg Chadwick | f786344 | 2020-09-14 18:11:33 +0100 | [diff] [blame] | 1225 | assign lsu_req_subset_o = insn_dec_shared_i.subset; |
Greg Chadwick | c8cd435 | 2020-08-14 16:45:23 +0100 | [diff] [blame] | 1226 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 1227 | // To simplify blanking logic all two cycle memory operations (BN.LID, BN.SID, LW) present the |
| 1228 | // calculated address in their first cycle and the saved address in the second cycle. This results |
| 1229 | // in lsu_addr_o remaining stable for the entire instruction. Only SW is a single cycle |
| 1230 | // instruction so it only presents the calculated address. The stability property is checked by an |
| 1231 | // assertion. |
| 1232 | assign lsu_addr_saved_sel = |
| 1233 | insn_valid_i & ((insn_dec_shared_i.subset == InsnSubsetBignum) || |
| 1234 | insn_dec_shared_i.ld_insn ? ~stall : 1'b0); |
| 1235 | |
| 1236 | assign lsu_addr = lsu_addr_saved_sel ? lsu_addr_saved_q : |
| 1237 | alu_base_operation_result_i[DmemAddrWidth-1:0]; |
| 1238 | |
| 1239 | // SEC_CM: CTRL.REDUN |
| 1240 | assign expected_lsu_addr_en = |
| 1241 | insn_valid_i & (insn_dec_shared_i.ld_insn | insn_dec_shared_i.st_insn); |
| 1242 | |
| 1243 | assign predec_error_o = expected_lsu_addr_en != lsu_addr_en_predec_i; |
| 1244 | |
| 1245 | // SEC_CM: DATA_REG_SW.SCA |
| 1246 | prim_blanker #(.Width(DmemAddrWidth)) u_lsu_addr_blanker ( |
| 1247 | .in_i (lsu_addr), |
| 1248 | .en_i (lsu_addr_en_predec_i), |
| 1249 | .out_o(lsu_addr_blanked) |
| 1250 | ); |
| 1251 | |
| 1252 | // Check stability property described above (see the lsu_addr_saved_sel signal) holds. |
| 1253 | `ASSERT(LsuAddrBlankedStable_A, insn_valid_i & stall & ~err |=> $stable(lsu_addr_blanked)) |
| 1254 | |
| 1255 | assign lsu_addr_o = lsu_addr_blanked; |
| 1256 | |
Greg Chadwick | ee060bd | 2021-05-15 17:33:22 +0100 | [diff] [blame] | 1257 | assign lsu_base_wdata_o = rf_base_rd_data_b_intg_i; |
| 1258 | assign lsu_bignum_wdata_o = rf_bignum_rd_data_b_intg_i; |
Greg Chadwick | 6ab8d95 | 2020-10-30 12:13:34 +0000 | [diff] [blame] | 1259 | |
Philipp Wagner | 711d226 | 2021-01-21 18:17:42 +0000 | [diff] [blame] | 1260 | assign dmem_addr_unaligned_bignum = |
| 1261 | (lsu_req_subset_o == InsnSubsetBignum) & (|lsu_addr_o[$clog2(WLEN/8)-1:0]); |
| 1262 | assign dmem_addr_unaligned_base = |
| 1263 | (lsu_req_subset_o == InsnSubsetBase) & (|lsu_addr_o[1:0]); |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 1264 | assign dmem_addr_overflow = |alu_base_operation_result_i[31:DmemAddrWidth]; |
| 1265 | |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 1266 | // A dmem address is checked the cycle it is available. For bignum stores this is the first cycle |
| 1267 | // where the base register file read occurs, with the store request occurring the following cycle. |
| 1268 | // For all other loads and stores the dmem address is available the same cycle as the request. |
| 1269 | assign dmem_addr_err_check = |
| 1270 | (lsu_req_subset_o == InsnSubsetBignum) & |
| 1271 | insn_dec_shared_i.st_insn ? rf_indirect_stall : |
| 1272 | lsu_load_req_raw | lsu_store_req_raw; |
| 1273 | |
Greg Chadwick | 42a9f3b | 2021-01-28 13:54:14 +0000 | [diff] [blame] | 1274 | assign dmem_addr_err = |
Greg Chadwick | e150160 | 2022-02-10 17:16:06 +0000 | [diff] [blame] | 1275 | insn_valid_i & dmem_addr_err_check & (dmem_addr_overflow | |
| 1276 | dmem_addr_unaligned_bignum | |
| 1277 | dmem_addr_unaligned_base); |
Greg Chadwick | d3154ec | 2020-09-24 12:03:23 +0100 | [diff] [blame] | 1278 | |
Rupert Swarbrick | 20429db | 2022-02-10 17:34:00 +0000 | [diff] [blame] | 1279 | assign rnd_req_raw = insn_valid_i & ispr_rd_insn & (ispr_addr_o == IsprRnd); |
Pirmin Vogel | 496e16c | 2022-04-05 22:19:33 +0200 | [diff] [blame] | 1280 | // Don't factor rnd_rep/fips_err_i into rnd_req_o. This would lead to a combo loop. |
| 1281 | assign rnd_req_o = rnd_req_raw & insn_valid_i & ~(software_err | fatal_err); |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 1282 | |
Rupert Swarbrick | 20429db | 2022-02-10 17:34:00 +0000 | [diff] [blame] | 1283 | assign rnd_prefetch_req_o = insn_executing & ispr_wr_insn & |
Greg Chadwick | b168ae9 | 2021-04-14 16:04:03 +0100 | [diff] [blame] | 1284 | (insn_dec_shared_i.subset == InsnSubsetBase) & (csr_addr == CsrRndPrefetch); |
Philipp Wagner | 3144108 | 2020-07-14 11:17:21 +0100 | [diff] [blame] | 1285 | endmodule |