blob: 50c3bab1a339eaf23ab01b326a0a11aeb0d70bba [file] [log] [blame]
Philipp Wagner31441082020-07-14 11:17:21 +01001// Copyright lowRISC contributors.
2// Licensed under the Apache License, Version 2.0, see LICENSE for details.
3// SPDX-License-Identifier: Apache-2.0
4
5`include "prim_assert.sv"
6
7/**
8 * OTBN Controller
9 */
10module otbn_controller
11 import otbn_pkg::*;
12#(
13 // Size of the instruction memory, in bytes
14 parameter int ImemSizeByte = 4096,
15 // Size of the data memory, in bytes
16 parameter int DmemSizeByte = 4096,
Vladimir Rozic37c78bd2021-10-04 13:17:49 +010017 // Enable internal secure wipe
18 parameter bit SecWipeEn = 1'b0,
Philipp Wagner31441082020-07-14 11:17:21 +010019
20 localparam int ImemAddrWidth = prim_util_pkg::vbits(ImemSizeByte),
21 localparam int DmemAddrWidth = prim_util_pkg::vbits(DmemSizeByte)
22) (
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +000023 input logic clk_i,
24 input logic rst_ni,
Philipp Wagner31441082020-07-14 11:17:21 +010025
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +000026 input logic start_i, // start the processing at address zero
27 output logic locked_o, // OTBN in locked state and must be reset to perform any further actions
Greg Chadwickd3154ec2020-09-24 12:03:23 +010028
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +000029 output err_bits_t err_bits_o, // valid when done_o is asserted
Greg Chadwick4abe4072021-12-02 14:18:09 +000030 output logic recoverable_err_o,
Greg Chadwickcbc90262021-12-02 16:24:29 +000031 output logic reg_intg_violation_o,
Greg Chadwickd3154ec2020-09-24 12:03:23 +010032
Philipp Wagner31441082020-07-14 11:17:21 +010033 // Next instruction selection (to instruction fetch)
34 output logic insn_fetch_req_valid_o,
35 output logic [ImemAddrWidth-1:0] insn_fetch_req_addr_o,
Greg Chadwick0ac448a2021-11-18 17:10:58 +000036 output logic insn_fetch_resp_clear_o,
Greg Chadwickd3154ec2020-09-24 12:03:23 +010037 // Error from fetch requested last cycle
38 input logic insn_fetch_err_i,
Philipp Wagner31441082020-07-14 11:17:21 +010039
40 // Fetched/decoded instruction
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +000041 input logic insn_valid_i,
42 input logic insn_illegal_i,
43 input logic [ImemAddrWidth-1:0] insn_addr_i,
Philipp Wagner31441082020-07-14 11:17:21 +010044
Philipp Wagner56a64bd2021-05-08 14:31:24 +010045 // Decoded instruction data
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +000046 input insn_dec_base_t insn_dec_base_i,
47 input insn_dec_bignum_t insn_dec_bignum_i,
48 input insn_dec_shared_t insn_dec_shared_i,
Philipp Wagner31441082020-07-14 11:17:21 +010049
50 // Base register file
Greg Chadwickee060bd2021-05-15 17:33:22 +010051 output logic [4:0] rf_base_wr_addr_o,
52 output logic rf_base_wr_en_o,
53 output logic rf_base_wr_commit_o,
54 output logic [31:0] rf_base_wr_data_no_intg_o,
55 output logic [BaseIntgWidth-1:0] rf_base_wr_data_intg_o,
56 output logic rf_base_wr_data_intg_sel_o,
Philipp Wagner31441082020-07-14 11:17:21 +010057
Greg Chadwick009d9ee2021-04-26 16:25:51 +010058 output logic [4:0] rf_base_rd_addr_a_o,
59 output logic rf_base_rd_en_a_o,
60 input logic [BaseIntgWidth-1:0] rf_base_rd_data_a_intg_i,
61 output logic [4:0] rf_base_rd_addr_b_o,
62 output logic rf_base_rd_en_b_o,
63 input logic [BaseIntgWidth-1:0] rf_base_rd_data_b_intg_i,
64 output logic rf_base_rd_commit_o,
Philipp Wagner31441082020-07-14 11:17:21 +010065
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +000066 input logic rf_base_call_stack_err_i,
67 input logic rf_base_rd_data_err_i,
Greg Chadwickd3154ec2020-09-24 12:03:23 +010068
Greg Chadwickf7863442020-09-14 18:11:33 +010069 // Bignum register file (WDRs)
Greg Chadwick009d9ee2021-04-26 16:25:51 +010070 output logic [4:0] rf_bignum_wr_addr_o,
71 output logic [1:0] rf_bignum_wr_en_o,
72 output logic [WLEN-1:0] rf_bignum_wr_data_no_intg_o,
73 output logic [ExtWLEN-1:0] rf_bignum_wr_data_intg_o,
74 output logic rf_bignum_wr_data_intg_sel_o,
Greg Chadwickf7863442020-09-14 18:11:33 +010075
Greg Chadwick009d9ee2021-04-26 16:25:51 +010076 output logic [4:0] rf_bignum_rd_addr_a_o,
77 output logic rf_bignum_rd_en_a_o,
78 input logic [ExtWLEN-1:0] rf_bignum_rd_data_a_intg_i,
Greg Chadwickf7863442020-09-14 18:11:33 +010079
Greg Chadwick009d9ee2021-04-26 16:25:51 +010080 output logic [4:0] rf_bignum_rd_addr_b_o,
81 output logic rf_bignum_rd_en_b_o,
82 input logic [ExtWLEN-1:0] rf_bignum_rd_data_b_intg_i,
83
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +000084 input logic rf_bignum_rd_data_err_i,
Greg Chadwickf7863442020-09-14 18:11:33 +010085
Philipp Wagner31441082020-07-14 11:17:21 +010086 // Execution units
Greg Chadwickf7863442020-09-14 18:11:33 +010087
88 // Base ALU
Greg Chadwick9791eed2020-07-22 18:08:28 +010089 output alu_base_operation_t alu_base_operation_o,
90 output alu_base_comparison_t alu_base_comparison_o,
91 input logic [31:0] alu_base_operation_result_i,
Greg Chadwickc8cd4352020-08-14 16:45:23 +010092 input logic alu_base_comparison_result_i,
93
Greg Chadwickf7863442020-09-14 18:11:33 +010094 // Bignum ALU
95 output alu_bignum_operation_t alu_bignum_operation_o,
96 input logic [WLEN-1:0] alu_bignum_operation_result_i,
Greg Chadwick009d9ee2021-04-26 16:25:51 +010097 input logic alu_bignum_selection_flag_i,
Greg Chadwickf7863442020-09-14 18:11:33 +010098
Greg Chadwick94786452020-10-28 18:19:51 +000099 // Bignum MAC
100 output mac_bignum_operation_t mac_bignum_operation_o,
101 input logic [WLEN-1:0] mac_bignum_operation_result_i,
102 output logic mac_bignum_en_o,
103
Greg Chadwickf7863442020-09-14 18:11:33 +0100104 // LSU
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100105 output logic lsu_load_req_o,
106 output logic lsu_store_req_o,
107 output insn_subset_e lsu_req_subset_o,
108 output logic [DmemAddrWidth-1:0] lsu_addr_o,
109
Greg Chadwickee060bd2021-05-15 17:33:22 +0100110 output logic [BaseIntgWidth-1:0] lsu_base_wdata_o,
111 output logic [ExtWLEN-1:0] lsu_bignum_wdata_o,
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100112
Greg Chadwickee060bd2021-05-15 17:33:22 +0100113 input logic [BaseIntgWidth-1:0] lsu_base_rdata_i,
114 input logic [ExtWLEN-1:0] lsu_bignum_rdata_i,
Rupert Swarbrick40cd9142020-12-02 11:02:17 +0000115 input logic lsu_rdata_err_i,
Greg Chadwickf7863442020-09-14 18:11:33 +0100116
117 // Internal Special-Purpose Registers (ISPRs)
118 output ispr_e ispr_addr_o,
119 output logic [31:0] ispr_base_wdata_o,
120 output logic [BaseWordsPerWLEN-1:0] ispr_base_wr_en_o,
121 output logic [WLEN-1:0] ispr_bignum_wdata_o,
122 output logic ispr_bignum_wr_en_o,
Greg Chadwickb168ae92021-04-14 16:04:03 +0100123 input logic [WLEN-1:0] ispr_rdata_i,
124
125 output logic rnd_req_o,
126 output logic rnd_prefetch_req_o,
Vladimir Rozicb8db07a2021-05-24 14:15:04 +0100127 input logic rnd_valid_i,
Vladimir Rozicdf7f7812022-01-18 08:43:34 +0000128 input logic urnd_state_err_i,
Vladimir Rozicb8db07a2021-05-24 14:15:04 +0100129
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100130 // Secure Wipe
131 input logic secure_wipe_running_i,
132 output logic start_secure_wipe_o,
133 input logic sec_wipe_zero_i,
134
Rupert Swarbrick13d50082021-07-13 14:14:03 +0100135 input logic state_reset_i,
Greg Chadwickf0a30192021-08-19 09:33:25 +0100136 output logic [31:0] insn_cnt_o,
Vladimir Rozic09e25ac2021-11-25 00:19:38 +0000137 input logic insn_cnt_clear_i,
Greg Chadwicke9452b52022-02-03 20:17:47 +0000138 output logic mems_sec_wipe_o,
Philipp Wagnerb1589332021-09-20 15:50:19 +0100139 input logic bus_intg_violation_i,
Philipp Wagnerc74ba0a2021-08-23 12:56:11 +0200140 input logic illegal_bus_access_i,
Greg Chadwick84e01ac2021-10-04 17:51:07 +0100141 input logic lifecycle_escalation_i,
Greg Chadwick4ca1caa2021-11-23 10:56:01 +0000142 input logic software_errs_fatal_i,
Vladimir Rozic76376ba2022-01-06 08:47:11 +0000143 input logic start_stop_state_error_i,
144 input logic otbn_scramble_state_error_i,
Greg Chadwick4ca1caa2021-11-23 10:56:01 +0000145
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +0000146 input logic [1:0] sideload_key_shares_valid_i,
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000147
148 // Prefetch stage control
149 output logic prefetch_en_o,
150 output logic prefetch_loop_active_o,
151 output logic [31:0] prefetch_loop_iterations_o,
Rupert Swarbrickfafeaf22022-01-04 14:42:44 +0000152 output logic [ImemAddrWidth:0] prefetch_loop_end_addr_o,
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000153 output logic [ImemAddrWidth-1:0] prefetch_loop_jump_addr_o
Philipp Wagner31441082020-07-14 11:17:21 +0100154);
Greg Chadwick529738c2021-09-29 18:08:11 +0100155 otbn_state_e state_q, state_d;
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100156
157 logic err;
Greg Chadwick84e01ac2021-10-04 17:51:07 +0100158 logic software_err;
Greg Chadwick3e25bcd2021-10-25 12:05:36 +0100159 logic non_insn_addr_software_err;
Greg Chadwick79738062021-09-15 18:09:14 +0100160 logic fatal_err;
Greg Chadwick4abe4072021-12-02 14:18:09 +0000161 logic recoverable_err;
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100162 logic done_complete;
Rupert Swarbrick692db552021-09-24 16:26:31 +0100163 logic executing;
Vladimir Rozic76376ba2022-01-06 08:47:11 +0000164 logic state_error;
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100165
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000166 logic insn_fetch_req_valid_raw;
167 logic [ImemAddrWidth-1:0] insn_fetch_req_addr_last;
Greg Chadwick28836af2020-07-23 14:35:52 +0100168
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100169 logic stall;
Greg Chadwickb168ae92021-04-14 16:04:03 +0100170 logic ispr_stall;
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100171 logic mem_stall;
Rupert Swarbrickb2b784d2021-08-03 14:21:51 +0100172 logic jump_or_branch;
Greg Chadwick51f36232020-09-02 15:37:23 +0100173 logic branch_taken;
Greg Chadwick42a9f3b2021-01-28 13:54:14 +0000174 logic insn_executing;
Greg Chadwick51f36232020-09-02 15:37:23 +0100175 logic [ImemAddrWidth-1:0] branch_target;
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100176 logic branch_target_overflow;
Rupert Swarbricke9ff47f2021-01-04 13:20:36 +0000177 logic [ImemAddrWidth:0] next_insn_addr_wide;
Greg Chadwick51f36232020-09-02 15:37:23 +0100178 logic [ImemAddrWidth-1:0] next_insn_addr;
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100179
Greg Chadwickf7863442020-09-14 18:11:33 +0100180 csr_e csr_addr;
Philipp Wagner711d2262021-01-21 18:17:42 +0000181 logic [$clog2(BaseWordsPerWLEN)-1:0] csr_sub_addr;
Greg Chadwickdbd655a2020-11-24 16:42:06 +0000182 logic [31:0] csr_rdata_raw;
Greg Chadwickf7863442020-09-14 18:11:33 +0100183 logic [31:0] csr_rdata;
184 logic [BaseWordsPerWLEN-1:0] csr_rdata_mux [32];
Greg Chadwickdbd655a2020-11-24 16:42:06 +0000185 logic [31:0] csr_wdata_raw;
Greg Chadwickf7863442020-09-14 18:11:33 +0100186 logic [31:0] csr_wdata;
187
188 wsr_e wsr_addr;
189 logic [WLEN-1:0] wsr_wdata;
190
191 ispr_e ispr_addr_base;
192 logic [$clog2(BaseWordsPerWLEN)-1:0] ispr_word_addr_base;
193 logic [BaseWordsPerWLEN-1:0] ispr_word_sel_base;
194
195 ispr_e ispr_addr_bignum;
196
Greg Chadwickb168ae92021-04-14 16:04:03 +0100197 logic ispr_wr_insn, ispr_rd_insn;
Rupert Swarbrick514348e2021-02-03 09:04:59 +0000198 logic ispr_wr_base_insn;
199 logic ispr_wr_bignum_insn;
Prajwala Puttappa175c0d82021-12-17 11:23:16 +0000200 logic ispr_rd_bignum_insn;
Greg Chadwickf7863442020-09-14 18:11:33 +0100201
Greg Chadwick42a9f3b2021-01-28 13:54:14 +0000202 logic lsu_load_req_raw;
203 logic lsu_store_req_raw;
Rupert Swarbrick20429db2022-02-10 17:34:00 +0000204 logic rnd_req_raw;
Greg Chadwick42a9f3b2021-01-28 13:54:14 +0000205
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100206 // Register read data with integrity stripped off
207 logic [31:0] rf_base_rd_data_a_no_intg;
208 logic [31:0] rf_base_rd_data_b_no_intg;
209 logic [WLEN-1:0] rf_bignum_rd_data_a_no_intg;
210 logic [WLEN-1:0] rf_bignum_rd_data_b_no_intg;
211
212 logic [ExtWLEN-1:0] selection_result;
213
Greg Chadwickae8e6452020-10-02 12:04:15 +0100214 // Computed increments for indirect register index and memory address in BN.LID/BN.SID/BN.MOVR
215 // instructions.
Greg Chadwick496fd342021-03-05 18:08:39 +0000216 logic [5:0] rf_base_rd_data_a_inc;
217 logic [5:0] rf_base_rd_data_b_inc;
Rupert Swarbrick9a4f47b2021-01-04 15:48:24 +0000218 logic [26:0] rf_base_rd_data_a_wlen_word_inc;
Greg Chadwickae8e6452020-10-02 12:04:15 +0100219
Greg Chadwick1a1c0112021-09-14 16:27:31 +0100220 // Read/Write enables for base register file before illegal instruction encoding are factored in
221 logic rf_base_rd_en_a_raw, rf_base_rd_en_b_raw, rf_base_wr_en_raw;
222
Greg Chadwickae8e6452020-10-02 12:04:15 +0100223 // Output of mux taking the above increments as inputs and choosing one to write back to base
224 // register file with appropriate zero extension and padding to give a 32-bit result.
225 logic [31:0] increment_out;
226
Greg Chadwick53c95862020-10-14 17:58:38 +0100227 // Loop control, used to start a new loop
Greg Chadwick42a9f3b2021-01-28 13:54:14 +0000228 logic loop_start_req;
229 logic loop_start_commit;
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100230 logic loop_reset;
Greg Chadwick53c95862020-10-14 17:58:38 +0100231 logic [11:0] loop_bodysize;
232 logic [31:0] loop_iterations;
233
234 // Loop generated jumps. The loop controller asks to jump when execution reaches the end of a loop
235 // body that hasn't completed all of its iterations.
236 logic loop_jump;
237 logic [ImemAddrWidth-1:0] loop_jump_addr;
238
Greg Chadwick94786452020-10-28 18:19:51 +0000239 logic [WLEN-1:0] mac_bignum_rf_wr_data;
240
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100241 logic csr_illegal_addr, wsr_illegal_addr, ispr_illegal_addr;
242 logic imem_addr_err, loop_err, ispr_err;
243 logic dmem_addr_err, dmem_addr_unaligned_base, dmem_addr_unaligned_bignum, dmem_addr_overflow;
Greg Chadwick1a1c0112021-09-14 16:27:31 +0100244 logic illegal_insn_static;
Greg Chadwick4ca1caa2021-11-23 10:56:01 +0000245 logic key_invalid, key_invalid_err;
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100246
Greg Chadwick496fd342021-03-05 18:08:39 +0000247 logic rf_a_indirect_err, rf_b_indirect_err, rf_d_indirect_err, rf_indirect_err;
248
Rupert Swarbrickdb4b4942022-01-10 12:35:14 +0000249 // If we are doing an indirect lookup from the bignum register file, it's possible that the
250 // address that we use for the lookup is architecturally unknown. This happens if it came from x1
251 // and we've underflowed the call stack. When this happens, we want to ignore any read data
252 // integrity errors since the read from the bignum register file didn't happen architecturally
253 // anyway.
254 logic ignore_bignum_rd_errs;
255 logic rf_bignum_rd_data_err;
256
Vladimir Rozicb8db07a2021-05-24 14:15:04 +0100257 logic [31:0] insn_cnt_d, insn_cnt_q;
Vladimir Rozic09e25ac2021-11-25 00:19:38 +0000258 logic insn_cnt_clear;
Vladimir Rozicb8db07a2021-05-24 14:15:04 +0100259
Greg Chadwick9a6fc462021-08-10 15:42:16 +0100260 logic [4:0] ld_insn_bignum_wr_addr_q;
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100261 err_bits_t err_bits;
Greg Chadwick987ee5a2021-10-25 11:59:57 +0100262 // Only used with SecWipeEn == 1
263 logic err_bits_en;
Greg Chadwick9a6fc462021-08-10 15:42:16 +0100264
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100265 // Stall a cycle on loads to allow load data writeback to happen the following cycle. Stall not
266 // required on stores as there is no response to deal with.
Greg Chadwick42a9f3b2021-01-28 13:54:14 +0000267 assign mem_stall = lsu_load_req_raw;
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100268
Greg Chadwickb168ae92021-04-14 16:04:03 +0100269 // Reads to RND must stall until data is available
Rupert Swarbrick20429db2022-02-10 17:34:00 +0000270 assign ispr_stall = rnd_req_raw & ~rnd_valid_i;
Greg Chadwickb168ae92021-04-14 16:04:03 +0100271
272 assign stall = mem_stall | ispr_stall;
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100273
Rupert Swarbrick692db552021-09-24 16:26:31 +0100274 // OTBN is done when it was executing something (in state OtbnStateUrndRefresh, OtbnStateRun or
275 // OtbnStateStall) and either it executes an ecall or an error occurs. A pulse on the done signal
276 // raises the 'done' interrupt and also tells the top-level to update its err_bits status
277 // register.
278 //
279 // The calculation that ecall triggered done is factored out as `done_complete` to avoid logic
280 // loops in the error handling logic.
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100281 assign done_complete = (insn_valid_i & insn_dec_shared_i.ecall_insn);
Rupert Swarbrick692db552021-09-24 16:26:31 +0100282 assign executing = (state_q == OtbnStateUrndRefresh) ||
283 (state_q == OtbnStateRun) ||
284 (state_q == OtbnStateStall);
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100285
Greg Chadwick79738062021-09-15 18:09:14 +0100286 assign locked_o = state_q == OtbnStateLocked;
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100287 assign start_secure_wipe_o = executing & (done_complete | err) & ~secure_wipe_running_i;
Philipp Wagner31441082020-07-14 11:17:21 +0100288
Rupert Swarbrickb2b784d2021-08-03 14:21:51 +0100289 assign jump_or_branch = (insn_valid_i &
290 (insn_dec_shared_i.branch_insn | insn_dec_shared_i.jump_insn));
291
Greg Chadwick51f36232020-09-02 15:37:23 +0100292 // Branch taken when there is a valid branch instruction and comparison passes or a valid jump
293 // instruction (which is always taken)
Philipp Wagnerdc946522020-12-03 10:52:58 +0000294 assign branch_taken = insn_valid_i &
295 ((insn_dec_shared_i.branch_insn & alu_base_comparison_result_i) |
296 insn_dec_shared_i.jump_insn);
Greg Chadwick51f36232020-09-02 15:37:23 +0100297 // Branch target computed by base ALU (PC + imm)
Greg Chadwick51f36232020-09-02 15:37:23 +0100298 assign branch_target = alu_base_operation_result_i[ImemAddrWidth-1:0];
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100299 assign branch_target_overflow = |alu_base_operation_result_i[31:ImemAddrWidth];
Greg Chadwick51f36232020-09-02 15:37:23 +0100300
Rupert Swarbricke9ff47f2021-01-04 13:20:36 +0000301 assign next_insn_addr_wide = {1'b0, insn_addr_i} + 'd4;
302 assign next_insn_addr = next_insn_addr_wide[ImemAddrWidth-1:0];
Greg Chadwick51f36232020-09-02 15:37:23 +0100303
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000304 // Record address for fetch request so it can be retried when an invalid response is received
305 always_ff @(posedge clk_i) begin
306 if (insn_fetch_req_valid_raw) begin
307 insn_fetch_req_addr_last <= insn_fetch_req_addr_o;
308 end
309 end
310
Philipp Wagner31441082020-07-14 11:17:21 +0100311 always_comb begin
Greg Chadwick529738c2021-09-29 18:08:11 +0100312 state_d = state_q;
313 // `insn_fetch_req_valid_raw` is the value `insn_fetch_req_valid_o` before any errors are
314 // considered.
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100315 insn_fetch_req_valid_raw = 1'b0;
Rupert Swarbrick2fb857a2021-09-03 17:14:50 +0100316 insn_fetch_req_addr_o = '0;
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000317 insn_fetch_resp_clear_o = 1'b1;
Greg Chadwick987ee5a2021-10-25 11:59:57 +0100318 err_bits_en = 1'b0;
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000319 prefetch_en_o = 1'b0;
Greg Chadwick28836af2020-07-23 14:35:52 +0100320
Vladimir Rozic76376ba2022-01-06 08:47:11 +0000321 state_error = 1'b0;
322
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100323 unique case (state_q)
324 OtbnStateHalt: begin
325 if (start_i) begin
Greg Chadwick529738c2021-09-29 18:08:11 +0100326 state_d = OtbnStateRun;
Greg Chadwickb5b86862021-04-09 15:49:43 +0100327
Rupert Swarbrick2fb857a2021-09-03 17:14:50 +0100328 insn_fetch_req_addr_o = '0;
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100329 insn_fetch_req_valid_raw = 1'b1;
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000330 prefetch_en_o = 1'b1;
Greg Chadwick987ee5a2021-10-25 11:59:57 +0100331
332 // Enable error bits to zero them on start
333 err_bits_en = 1'b1;
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100334 end
335 end
336 OtbnStateRun: begin
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100337 insn_fetch_req_valid_raw = 1'b1;
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000338 prefetch_en_o = 1'b1;
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100339
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000340 if (!insn_valid_i) begin
341 insn_fetch_req_addr_o = insn_fetch_req_addr_last;
342 end else if (done_complete) begin
Greg Chadwick529738c2021-09-29 18:08:11 +0100343 state_d = OtbnStateHalt;
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100344 insn_fetch_req_valid_raw = 1'b0;
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000345 prefetch_en_o = 1'b0;
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100346 end else begin
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100347 if (stall) begin
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000348 // When stalling don't request a new fetch and don't clear response either to keep
349 // current instruction.
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +0000350 state_d = OtbnStateStall;
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000351 insn_fetch_req_valid_raw = 1'b0;
352 insn_fetch_resp_clear_o = 1'b0;
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100353 end else begin
Greg Chadwick51f36232020-09-02 15:37:23 +0100354 if (branch_taken) begin
355 insn_fetch_req_addr_o = branch_target;
Greg Chadwick53c95862020-10-14 17:58:38 +0100356 end else if (loop_jump) begin
357 insn_fetch_req_addr_o = loop_jump_addr;
Greg Chadwick51f36232020-09-02 15:37:23 +0100358 end else begin
359 insn_fetch_req_addr_o = next_insn_addr;
360 end
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100361 end
362 end
363 end
364 OtbnStateStall: begin
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000365 prefetch_en_o = 1'b1;
Greg Chadwicke6e8f152021-03-05 13:35:36 +0000366 // When stalling refetch the same instruction to keep decode inputs constant
367 if (stall) begin
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000368 state_d = OtbnStateStall;
369 //insn_fetch_req_addr_o = insn_addr_i;
370 insn_fetch_req_valid_raw = 1'b0;
371 insn_fetch_resp_clear_o = 1'b0;
Greg Chadwick9aef1c92021-01-25 18:24:58 +0000372 end else begin
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000373 insn_fetch_req_valid_raw = 1'b1;
374
Greg Chadwicke6e8f152021-03-05 13:35:36 +0000375 if (loop_jump) begin
376 insn_fetch_req_addr_o = loop_jump_addr;
377 end else begin
378 insn_fetch_req_addr_o = next_insn_addr;
379 end
Greg Chadwick9aef1c92021-01-25 18:24:58 +0000380
Greg Chadwick529738c2021-09-29 18:08:11 +0100381 state_d = OtbnStateRun;
Greg Chadwicke6e8f152021-03-05 13:35:36 +0000382 end
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100383 end
Greg Chadwick79738062021-09-15 18:09:14 +0100384 OtbnStateLocked: begin
385 insn_fetch_req_valid_raw = 1'b0;
Greg Chadwick529738c2021-09-29 18:08:11 +0100386 state_d = OtbnStateLocked;
Greg Chadwick79738062021-09-15 18:09:14 +0100387 end
Vladimir Rozic76376ba2022-01-06 08:47:11 +0000388 default: begin
389 state_error = 1'b1;
390 end
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100391 endcase
Greg Chadwick529738c2021-09-29 18:08:11 +0100392
393 // On any error immediately halt, either going to OtbnStateLocked or OtbnStateHalt depending on
394 // whether it was a fatal error.
Greg Chadwick987ee5a2021-10-25 11:59:57 +0100395 if (err) begin
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000396 prefetch_en_o = 1'b0;
397 insn_fetch_resp_clear_o = 1'b1;
398
Greg Chadwick987ee5a2021-10-25 11:59:57 +0100399 if (!secure_wipe_running_i) begin
400 // Capture error bits on error unless a secure wipe is in progress
401 err_bits_en = 1'b1;
402 end
403
404 if (fatal_err) begin
405 state_d = OtbnStateLocked;
406 end else begin
407 state_d = OtbnStateHalt;
408 end
Greg Chadwick529738c2021-09-29 18:08:11 +0100409 end
410
411 // Regardless of what happens above enforce staying in OtnbStateLocked.
412 if (state_q == OtbnStateLocked) begin
413 state_d = OtbnStateLocked;
414 end
Philipp Wagner31441082020-07-14 11:17:21 +0100415 end
416
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000417 `ASSERT(InsnAlwaysValidInStall, state_q == OtbnStateStall |-> insn_valid_i)
418
Greg Chadwick9aef1c92021-01-25 18:24:58 +0000419 // Anything that moves us or keeps us in the stall state should cause `stall` to be asserted
Philipp Wagnerefa09012021-01-27 14:42:16 +0000420 `ASSERT(StallIfNextStateStall, insn_valid_i & (state_d == OtbnStateStall) |-> stall)
Greg Chadwick9aef1c92021-01-25 18:24:58 +0000421
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100422 assign insn_fetch_req_valid_o = err ? 1'b0 : insn_fetch_req_valid_raw;
423
424 // Determine if there are any errors related to the Imem fetch address.
425 always_comb begin
426 imem_addr_err = 1'b0;
427
428 if (insn_fetch_req_valid_raw) begin
429 if (|insn_fetch_req_addr_o[1:0]) begin
430 // Imem address is unaligned
431 imem_addr_err = 1'b1;
432 end else if (branch_taken) begin
433 imem_addr_err = branch_target_overflow;
434 end else begin
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000435 imem_addr_err = next_insn_addr_wide[ImemAddrWidth] & insn_valid_i;
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100436 end
437 end
438 end
439
Greg Chadwick1a1c0112021-09-14 16:27:31 +0100440 // Instruction is illegal based on the static properties of the instruction bits (illegal encoding
441 // or illegal WSR/CSR referenced).
442 assign illegal_insn_static = insn_illegal_i | ispr_err;
443
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100444 assign err_bits.fatal_software = software_err & software_errs_fatal_i;
445 assign err_bits.lifecycle_escalation = lifecycle_escalation_i;
446 assign err_bits.illegal_bus_access = illegal_bus_access_i;
Vladimir Rozic76376ba2022-01-06 08:47:11 +0000447 assign err_bits.bad_internal_state = start_stop_state_error_i | state_error |
Vladimir Rozicdf7f7812022-01-18 08:43:34 +0000448 otbn_scramble_state_error_i | urnd_state_err_i;
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100449 assign err_bits.bus_intg_violation = bus_intg_violation_i;
Rupert Swarbrickdb4b4942022-01-10 12:35:14 +0000450 assign err_bits.reg_intg_violation = rf_base_rd_data_err_i | rf_bignum_rd_data_err;
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100451 assign err_bits.dmem_intg_violation = lsu_rdata_err_i;
452 assign err_bits.imem_intg_violation = insn_fetch_err_i;
Greg Chadwick4ca1caa2021-11-23 10:56:01 +0000453 assign err_bits.key_invalid = key_invalid_err;
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100454 assign err_bits.illegal_insn = illegal_insn_static | rf_indirect_err;
455 assign err_bits.bad_data_addr = dmem_addr_err;
456 assign err_bits.loop = loop_err;
457 assign err_bits.call_stack = rf_base_call_stack_err_i;
Greg Chadwick3e25bcd2021-10-25 12:05:36 +0100458 assign err_bits.bad_insn_addr = imem_addr_err & ~non_insn_addr_software_err;
459
460 // All software errors that aren't bad_insn_addr. Factored into bad_insn_addr so it is only raised
461 // if other software errors haven't ocurred. As bad_insn_addr relates to the next instruction
462 // begin fetched it cannot occur if the current instruction has seen an error and failed to
463 // execute.
Greg Chadwick4ca1caa2021-11-23 10:56:01 +0000464 assign non_insn_addr_software_err = |{err_bits.key_invalid,
465 err_bits.illegal_insn,
Greg Chadwick3e25bcd2021-10-25 12:05:36 +0100466 err_bits.bad_data_addr,
467 err_bits.loop,
468 err_bits.call_stack};
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100469
Greg Chadwick4ca1caa2021-11-23 10:56:01 +0000470 assign software_err = |{err_bits.key_invalid,
471 err_bits.illegal_insn,
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100472 err_bits.bad_data_addr,
473 err_bits.loop,
474 err_bits.call_stack,
475 err_bits.bad_insn_addr};
Greg Chadwick84e01ac2021-10-04 17:51:07 +0100476
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100477 assign fatal_err = |{err_bits.fatal_software,
478 err_bits.lifecycle_escalation,
479 err_bits.illegal_bus_access,
Vladimir Rozicdf7f7812022-01-18 08:43:34 +0000480 err_bits.bad_internal_state,
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100481 err_bits.bus_intg_violation,
482 err_bits.reg_intg_violation,
483 err_bits.dmem_intg_violation,
484 err_bits.imem_intg_violation};
485
Greg Chadwick4abe4072021-12-02 14:18:09 +0000486 assign recoverable_err = software_err & ~software_errs_fatal_i;
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100487
Greg Chadwickcbc90262021-12-02 16:24:29 +0000488 assign reg_intg_violation_o = err_bits.reg_intg_violation;
Greg Chadwicke9452b52022-02-03 20:17:47 +0000489 assign mems_sec_wipe_o = (state_d == OtbnStateLocked) & (state_q != OtbnStateLocked);
Greg Chadwickcbc90262021-12-02 16:24:29 +0000490
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +0000491 if (SecWipeEn) begin : gen_sec_wipe
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100492 err_bits_t err_bits_d, err_bits_q;
Greg Chadwick4abe4072021-12-02 14:18:09 +0000493 logic recoverable_err_d, recoverable_err_q;
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100494
495 assign err_bits_d = err_bits;
496 assign err_bits_o = err_bits_q;
Greg Chadwick4abe4072021-12-02 14:18:09 +0000497 assign recoverable_err_d = recoverable_err;
498 assign recoverable_err_o = recoverable_err_q;
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100499
500 always_ff @(posedge clk_i or negedge rst_ni) begin
501 if (!rst_ni) begin
Greg Chadwick4abe4072021-12-02 14:18:09 +0000502 recoverable_err_q <= 1'b0;
Vladimir Rozic09e25ac2021-11-25 00:19:38 +0000503 err_bits_q <= '0;
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100504 end else if (err_bits_en) begin
505 err_bits_q <= err_bits_d;
Greg Chadwick4abe4072021-12-02 14:18:09 +0000506 recoverable_err_q <= recoverable_err_d;
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100507 end
508 end
509
Rupert Swarbrick751f1072022-01-26 13:00:02 +0000510 `ASSERT(ErrBitSetOnErr, err |=> |err_bits_o)
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +0000511 end else begin : gen_bypass_sec_wipe
Greg Chadwick987ee5a2021-10-25 11:59:57 +0100512 logic unused_err_bits_en;
513
514 assign unused_err_bits_en = err_bits_en;
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100515 assign err_bits_o = err_bits;
Greg Chadwick4abe4072021-12-02 14:18:09 +0000516 assign recoverable_err_o = recoverable_err;
Rupert Swarbrick751f1072022-01-26 13:00:02 +0000517
518 `ASSERT(ErrBitSetOnErr, err |-> |err_bits_o)
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100519 end
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100520
Greg Chadwick84e01ac2021-10-04 17:51:07 +0100521 assign err = software_err | fatal_err;
522
Greg Chadwick42a9f3b2021-01-28 13:54:14 +0000523 // Instructions must not execute if there is an error
524 assign insn_executing = insn_valid_i & ~err;
525
Greg Chadwick79738062021-09-15 18:09:14 +0100526 `ASSERT(ErrSetOnFatalErr, fatal_err |-> err)
Greg Chadwick3e25bcd2021-10-25 12:05:36 +0100527 `ASSERT(SoftwareErrIfNonInsnAddrSoftwareErr, non_insn_addr_software_err |-> software_err)
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100528
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +0000529 `ASSERT(ControllerStateValid,
530 state_q inside {OtbnStateHalt, OtbnStateRun, OtbnStateStall, OtbnStateLocked})
Greg Chadwick51f36232020-09-02 15:37:23 +0100531 // Branch only takes effect in OtbnStateRun so must not go into stall state for branch
532 // instructions.
Philipp Wagnerdc946522020-12-03 10:52:58 +0000533 `ASSERT(NoStallOnBranch,
534 insn_valid_i & insn_dec_shared_i.branch_insn |-> state_q != OtbnStateStall)
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100535
Vladimir Rozic76376ba2022-01-06 08:47:11 +0000536 // This primitive is used to place a size-only constraint on the
537 // flops in order to prevent FSM state encoding optimizations.
538 logic [StateControllerWidth-1:0] state_raw_q;
539 assign state_q = otbn_state_e'(state_raw_q);
540 prim_sparse_fsm_flop #(
541 .StateEnumT(otbn_state_e),
542 .Width(StateControllerWidth),
543 .ResetValue(StateControllerWidth'(OtbnStateHalt))
544 ) u_state_regs (
545 .clk_i,
546 .rst_ni,
547 .state_i ( state_d ),
548 .state_o ( state_raw_q )
549 );
Greg Chadwick28836af2020-07-23 14:35:52 +0100550
Vladimir Rozic09e25ac2021-11-25 00:19:38 +0000551 assign insn_cnt_clear = state_reset_i | (state_q == OtbnStateLocked) | insn_cnt_clear_i;
552
Rupert Swarbrick3d1ca9e2021-10-25 11:18:02 +0100553 always_comb begin
Vladimir Rozic09e25ac2021-11-25 00:19:38 +0000554 if (insn_cnt_clear) begin
Rupert Swarbrick3d1ca9e2021-10-25 11:18:02 +0100555 insn_cnt_d = 32'd0;
556 end else if (insn_executing & ~stall & (insn_cnt_q != 32'hffffffff)) begin
557 insn_cnt_d = insn_cnt_q + 32'd1;
558 end else begin
559 insn_cnt_d = insn_cnt_q;
560 end
561 end
Vladimir Rozicb8db07a2021-05-24 14:15:04 +0100562
563 always_ff @(posedge clk_i or negedge rst_ni) begin
564 if (!rst_ni) begin
565 insn_cnt_q <= 32'd0;
Rupert Swarbrick3d1ca9e2021-10-25 11:18:02 +0100566 end else begin
Vladimir Rozicb8db07a2021-05-24 14:15:04 +0100567 insn_cnt_q <= insn_cnt_d;
568 end
569 end
570
Rupert Swarbrick3d1ca9e2021-10-25 11:18:02 +0100571 assign insn_cnt_o = insn_cnt_q;
572
Vladimir Rozic37c78bd2021-10-04 13:17:49 +0100573 assign loop_reset = state_reset_i | sec_wipe_zero_i;
574
Greg Chadwick53c95862020-10-14 17:58:38 +0100575 otbn_loop_controller #(
576 .ImemAddrWidth(ImemAddrWidth)
577 ) u_otbn_loop_controller (
578 .clk_i,
579 .rst_ni,
580
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +0000581 .state_reset_i(loop_reset),
Rupert Swarbrick13d50082021-07-13 14:14:03 +0100582
Greg Chadwickb5163fd2020-11-26 16:48:55 +0000583 .insn_valid_i,
Greg Chadwick53c95862020-10-14 17:58:38 +0100584 .insn_addr_i,
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +0000585 .next_insn_addr_i(next_insn_addr),
Greg Chadwick53c95862020-10-14 17:58:38 +0100586
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +0000587 .loop_start_req_i (loop_start_req),
588 .loop_start_commit_i(loop_start_commit),
589 .loop_bodysize_i (loop_bodysize),
590 .loop_iterations_i (loop_iterations),
Greg Chadwick53c95862020-10-14 17:58:38 +0100591
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +0000592 .loop_jump_o (loop_jump),
593 .loop_jump_addr_o(loop_jump_addr),
594 .loop_err_o (loop_err),
Greg Chadwickb5163fd2020-11-26 16:48:55 +0000595
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +0000596 .jump_or_branch_i(jump_or_branch),
597 .otbn_stall_i (stall),
Greg Chadwick0ac448a2021-11-18 17:10:58 +0000598
599 .prefetch_loop_active_o,
600 .prefetch_loop_iterations_o,
601 .prefetch_loop_end_addr_o,
602 .prefetch_loop_jump_addr_o
Greg Chadwick53c95862020-10-14 17:58:38 +0100603 );
604
Greg Chadwick42a9f3b2021-01-28 13:54:14 +0000605 // loop_start_req indicates the instruction wishes to start a loop, loop_start_commit confirms it
606 // should occur.
607 assign loop_start_req = insn_valid_i & insn_dec_shared_i.loop_insn;
608 assign loop_start_commit = insn_executing;
609 assign loop_bodysize = insn_dec_base_i.loop_bodysize;
Rupert Swarbrick514348e2021-02-03 09:04:59 +0000610 assign loop_iterations = insn_dec_base_i.loop_immediate ? insn_dec_base_i.i :
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100611 rf_base_rd_data_a_no_intg;
Greg Chadwick53c95862020-10-14 17:58:38 +0100612
Greg Chadwickae8e6452020-10-02 12:04:15 +0100613 // Compute increments which can be optionally applied to indirect register accesses and memory
614 // addresses in BN.LID/BN.SID/BN.MOVR instructions.
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100615 assign rf_base_rd_data_a_inc = rf_base_rd_data_a_no_intg[4:0] + 1'b1;
616 assign rf_base_rd_data_b_inc = rf_base_rd_data_b_no_intg[4:0] + 1'b1;
Rupert Swarbrick9a4f47b2021-01-04 15:48:24 +0000617 // We can avoid a full 32-bit adder here because the offset is 32-bit aligned, so we know the
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100618 // load/store address will only be valid if rf_base_rd_data_a_no_intg[4:0] is zero.
619 assign rf_base_rd_data_a_wlen_word_inc = rf_base_rd_data_a_no_intg[31:5] + 27'h1;
Greg Chadwickae8e6452020-10-02 12:04:15 +0100620
621 // Choose increment to write back to base register file, only one increment can be written as
622 // there is only one write port. Note that where an instruction is incrementing the indirect
623 // reference to its destination register (insn_dec_bignum_i.d_inc) that reference is read on the
624 // B read port so the B increment is written back.
625 always_comb begin
626 unique case (1'b1)
627 insn_dec_bignum_i.a_inc: begin
Greg Chadwick496fd342021-03-05 18:08:39 +0000628 increment_out = {26'b0, rf_base_rd_data_a_inc};
Greg Chadwickae8e6452020-10-02 12:04:15 +0100629 end
630 insn_dec_bignum_i.b_inc: begin
Greg Chadwick496fd342021-03-05 18:08:39 +0000631 increment_out = {26'b0, rf_base_rd_data_b_inc};
Greg Chadwickae8e6452020-10-02 12:04:15 +0100632 end
633 insn_dec_bignum_i.d_inc: begin
Greg Chadwick496fd342021-03-05 18:08:39 +0000634 increment_out = {26'b0, rf_base_rd_data_b_inc};
Greg Chadwickae8e6452020-10-02 12:04:15 +0100635 end
636 insn_dec_bignum_i.a_wlen_word_inc: begin
Rupert Swarbrick9a4f47b2021-01-04 15:48:24 +0000637 increment_out = {rf_base_rd_data_a_wlen_word_inc, 5'b0};
Greg Chadwickae8e6452020-10-02 12:04:15 +0100638 end
Pirmin Vogele97cac02020-11-02 12:28:50 +0100639 default: begin
640 // Whenever increment_out is written back to the register file, exactly one of the
641 // increment selector signals is high. To prevent the automatic inference of latches in
642 // case nothing is written back (rf_wdata_sel != RfWdSelIncr) and to save logic, we choose
643 // a valid output as default.
Greg Chadwick496fd342021-03-05 18:08:39 +0000644 increment_out = {26'b0, rf_base_rd_data_a_inc};
Pirmin Vogele97cac02020-11-02 12:28:50 +0100645 end
Greg Chadwickae8e6452020-10-02 12:04:15 +0100646 endcase
647 end
648
Greg Chadwick5c8579c2021-08-04 14:38:33 +0100649 // Base RF read/write address, enable and commit control
Greg Chadwickae8e6452020-10-02 12:04:15 +0100650 always_comb begin
651 rf_base_rd_addr_a_o = insn_dec_base_i.a;
652 rf_base_rd_addr_b_o = insn_dec_base_i.b;
653 rf_base_wr_addr_o = insn_dec_base_i.d;
Greg Chadwicke6e8f152021-03-05 13:35:36 +0000654
Greg Chadwick5c8579c2021-08-04 14:38:33 +0100655 // Only commit read or write if the instruction is executing (in particular a read commit pops
656 // the call stack so must not occur where a valid instruction sees an error and doesn't
657 // execute).
658 rf_base_rd_commit_o = insn_executing;
659 rf_base_wr_commit_o = insn_executing;
Greg Chadwick9f5b6382021-05-10 17:53:46 +0100660
Greg Chadwick1a1c0112021-09-14 16:27:31 +0100661 rf_base_rd_en_a_raw = 1'b0;
662 rf_base_rd_en_b_raw = 1'b0;
663 rf_base_wr_en_raw = 1'b0;
Greg Chadwick9f5b6382021-05-10 17:53:46 +0100664
665 if (insn_valid_i) begin
Rupert Swarbrick46e11ba2021-07-13 12:11:43 +0100666 if (insn_dec_shared_i.st_insn) begin
667 // For stores, both base reads happen in the same cycle as the request because they give the
668 // address and data, which make up the request.
Greg Chadwick1a1c0112021-09-14 16:27:31 +0100669 rf_base_rd_en_a_raw = insn_dec_base_i.rf_ren_a & lsu_store_req_raw;
670 rf_base_rd_en_b_raw = insn_dec_base_i.rf_ren_b & lsu_store_req_raw;
Greg Chadwick5c8579c2021-08-04 14:38:33 +0100671
672 // Bignum stores can update the base register file where an increment is used.
Greg Chadwick1a1c0112021-09-14 16:27:31 +0100673 rf_base_wr_en_raw = (insn_dec_shared_i.subset == InsnSubsetBignum) &
Greg Chadwick5c8579c2021-08-04 14:38:33 +0100674 insn_dec_base_i.rf_we &
675 lsu_store_req_raw;
Rupert Swarbrick46e11ba2021-07-13 12:11:43 +0100676 end else if (insn_dec_shared_i.ld_insn) begin
Greg Chadwick9a6fc462021-08-10 15:42:16 +0100677 // For loads, both base reads happen in the same cycle as the request. The address is
678 // required for the request and the indirect destination register (only used for Bignum
679 // loads) is flopped in ld_insn_bignum_wr_addr_q to correctly deal with the case where it's
680 // updated by an increment.
Greg Chadwick1a1c0112021-09-14 16:27:31 +0100681 rf_base_rd_en_a_raw = insn_dec_base_i.rf_ren_a & lsu_load_req_raw;
682 rf_base_rd_en_b_raw = insn_dec_base_i.rf_ren_b & lsu_load_req_raw;
Greg Chadwick5c8579c2021-08-04 14:38:33 +0100683
684 if (insn_dec_shared_i.subset == InsnSubsetBignum) begin
Greg Chadwick9a6fc462021-08-10 15:42:16 +0100685 // Bignum loads can update the base register file where an increment is used. This must
686 // always happen in the same cycle as the request as this is where both registers are
687 // read.
Greg Chadwick1a1c0112021-09-14 16:27:31 +0100688 rf_base_wr_en_raw = insn_dec_base_i.rf_we & lsu_load_req_raw;
Greg Chadwick5c8579c2021-08-04 14:38:33 +0100689 end else begin
690 // For Base loads write the base register file when the instruction is unstalled (meaning
691 // the load data is available).
Greg Chadwick1a1c0112021-09-14 16:27:31 +0100692 rf_base_wr_en_raw = insn_dec_base_i.rf_we & ~stall;
Greg Chadwick5c8579c2021-08-04 14:38:33 +0100693 end
Greg Chadwick9f5b6382021-05-10 17:53:46 +0100694 end else begin
Greg Chadwick5c8579c2021-08-04 14:38:33 +0100695 // For all other instructions the read and write happen when the instruction is unstalled.
Greg Chadwick1a1c0112021-09-14 16:27:31 +0100696 rf_base_rd_en_a_raw = insn_dec_base_i.rf_ren_a & ~stall;
697 rf_base_rd_en_b_raw = insn_dec_base_i.rf_ren_b & ~stall;
698 rf_base_wr_en_raw = insn_dec_base_i.rf_we & ~stall;
Greg Chadwick9f5b6382021-05-10 17:53:46 +0100699 end
Greg Chadwicke6e8f152021-03-05 13:35:36 +0000700 end
Greg Chadwickae8e6452020-10-02 12:04:15 +0100701
702 if (insn_dec_shared_i.subset == InsnSubsetBignum) begin
703 unique case (1'b1)
704 insn_dec_bignum_i.a_inc,
705 insn_dec_bignum_i.a_wlen_word_inc: begin
706 rf_base_wr_addr_o = insn_dec_base_i.a;
707 end
708
709 insn_dec_bignum_i.b_inc,
710 insn_dec_bignum_i.d_inc: begin
711 rf_base_wr_addr_o = insn_dec_base_i.b;
712 end
713 default: ;
714 endcase
715 end
Greg Chadwick1a1c0112021-09-14 16:27:31 +0100716
717 rf_base_rd_en_a_o = rf_base_rd_en_a_raw & ~illegal_insn_static;
718 rf_base_rd_en_b_o = rf_base_rd_en_b_raw & ~illegal_insn_static;
719 rf_base_wr_en_o = rf_base_wr_en_raw & ~illegal_insn_static;
Greg Chadwickae8e6452020-10-02 12:04:15 +0100720 end
Philipp Wagner31441082020-07-14 11:17:21 +0100721
722 // Base ALU Operand A MUX
723 always_comb begin
Greg Chadwickcf048242020-10-02 15:28:42 +0100724 unique case (insn_dec_base_i.op_a_sel)
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100725 OpASelRegister: alu_base_operation_o.operand_a = rf_base_rd_data_a_no_intg;
Greg Chadwick9e858fb2020-10-12 17:39:16 +0100726 OpASelZero: alu_base_operation_o.operand_a = '0;
727 OpASelCurrPc: alu_base_operation_o.operand_a = {{(32 - ImemAddrWidth){1'b0}}, insn_addr_i};
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100728 default: alu_base_operation_o.operand_a = rf_base_rd_data_a_no_intg;
Philipp Wagner31441082020-07-14 11:17:21 +0100729 endcase
730 end
731
732 // Base ALU Operand B MUX
733 always_comb begin
Greg Chadwickcf048242020-10-02 15:28:42 +0100734 unique case (insn_dec_base_i.op_b_sel)
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100735 OpBSelRegister: alu_base_operation_o.operand_b = rf_base_rd_data_b_no_intg;
Greg Chadwick9e858fb2020-10-12 17:39:16 +0100736 OpBSelImmediate: alu_base_operation_o.operand_b = insn_dec_base_i.i;
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100737 default: alu_base_operation_o.operand_b = rf_base_rd_data_b_no_intg;
Philipp Wagner31441082020-07-14 11:17:21 +0100738 endcase
739 end
740
Greg Chadwicke177f172020-09-09 14:46:03 +0100741 assign alu_base_operation_o.op = insn_dec_base_i.alu_op;
Philipp Wagner31441082020-07-14 11:17:21 +0100742
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100743 assign alu_base_comparison_o.operand_a = rf_base_rd_data_a_no_intg;
744 assign alu_base_comparison_o.operand_b = rf_base_rd_data_b_no_intg;
Greg Chadwicke177f172020-09-09 14:46:03 +0100745 assign alu_base_comparison_o.op = insn_dec_base_i.comparison_op;
Greg Chadwick9791eed2020-07-22 18:08:28 +0100746
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100747 assign rf_base_rd_data_a_no_intg = rf_base_rd_data_a_intg_i[31:0];
748 assign rf_base_rd_data_b_no_intg = rf_base_rd_data_b_intg_i[31:0];
749
750 // TODO: For now integrity bits from RF base are ignored in the controller, remove this when end
751 // to end integrity features that use them are implemented
752 logic unused_rf_base_rd_a_intg_bits;
753 logic unused_rf_base_rd_b_intg_bits;
754
755 assign unused_rf_base_rd_a_intg_bits = |rf_base_rd_data_a_intg_i[38:32];
756 assign unused_rf_base_rd_b_intg_bits = |rf_base_rd_data_b_intg_i[38:32];
757
Philipp Wagner31441082020-07-14 11:17:21 +0100758 // Register file write MUX
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100759 always_comb begin
Greg Chadwickee060bd2021-05-15 17:33:22 +0100760 // Write data mux for anything that needs integrity computing during register write
Greg Chadwickcf048242020-10-02 15:28:42 +0100761 unique case (insn_dec_base_i.rf_wdata_sel)
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100762 RfWdSelEx: rf_base_wr_data_no_intg_o = alu_base_operation_result_i;
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100763 RfWdSelNextPc: rf_base_wr_data_no_intg_o = {{(32-(ImemAddrWidth+1)){1'b0}},
764 next_insn_addr_wide};
765 RfWdSelIspr: rf_base_wr_data_no_intg_o = csr_rdata;
766 RfWdSelIncr: rf_base_wr_data_no_intg_o = increment_out;
767 default: rf_base_wr_data_no_intg_o = alu_base_operation_result_i;
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100768 endcase
Greg Chadwickc8cd4352020-08-14 16:45:23 +0100769
Greg Chadwickee060bd2021-05-15 17:33:22 +0100770 // Write data mux for anything that provides its own integrity
771 unique case (insn_dec_base_i.rf_wdata_sel)
772 RfWdSelLsu: begin
773 rf_base_wr_data_intg_sel_o = 1'b1;
774 rf_base_wr_data_intg_o = lsu_base_rdata_i;
775 end
776 default: begin
777 rf_base_wr_data_intg_sel_o = 1'b0;
778 rf_base_wr_data_intg_o = '0;
779 end
780 endcase
781 end
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100782
783 for (genvar i = 0; i < BaseWordsPerWLEN; ++i) begin : g_rf_bignum_rd_data
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +0000784 assign rf_bignum_rd_data_a_no_intg[i*32+:32] = rf_bignum_rd_data_a_intg_i[i*39+:32];
785 assign rf_bignum_rd_data_b_no_intg[i*32+:32] = rf_bignum_rd_data_b_intg_i[i*39+:32];
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100786 end
787
788 assign rf_bignum_rd_addr_a_o = insn_dec_bignum_i.rf_a_indirect ? rf_base_rd_data_a_no_intg[4:0] :
Greg Chadwickae8e6452020-10-02 12:04:15 +0100789 insn_dec_bignum_i.a;
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100790 assign rf_bignum_rd_en_a_o = insn_dec_bignum_i.rf_ren_a & insn_valid_i;
Greg Chadwickae8e6452020-10-02 12:04:15 +0100791
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100792 assign rf_bignum_rd_addr_b_o = insn_dec_bignum_i.rf_b_indirect ? rf_base_rd_data_b_no_intg[4:0] :
Greg Chadwickae8e6452020-10-02 12:04:15 +0100793 insn_dec_bignum_i.b;
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100794 assign rf_bignum_rd_en_b_o = insn_dec_bignum_i.rf_ren_b & insn_valid_i;
Greg Chadwickf7863442020-09-14 18:11:33 +0100795
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100796 assign alu_bignum_operation_o.operand_a = rf_bignum_rd_data_a_no_intg;
Greg Chadwickf7863442020-09-14 18:11:33 +0100797
798 // Base ALU Operand B MUX
799 always_comb begin
Greg Chadwick94786452020-10-28 18:19:51 +0000800 unique case (insn_dec_bignum_i.alu_op_b_sel)
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100801 OpBSelRegister: alu_bignum_operation_o.operand_b = rf_bignum_rd_data_b_no_intg;
Greg Chadwick9e858fb2020-10-12 17:39:16 +0100802 OpBSelImmediate: alu_bignum_operation_o.operand_b = insn_dec_bignum_i.i;
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100803 default: alu_bignum_operation_o.operand_b = rf_bignum_rd_data_b_no_intg;
Greg Chadwickf7863442020-09-14 18:11:33 +0100804 endcase
805 end
806
807 assign alu_bignum_operation_o.op = insn_dec_bignum_i.alu_op;
Greg Chadwick94786452020-10-28 18:19:51 +0000808 assign alu_bignum_operation_o.shift_right = insn_dec_bignum_i.alu_shift_right;
809 assign alu_bignum_operation_o.shift_amt = insn_dec_bignum_i.alu_shift_amt;
810 assign alu_bignum_operation_o.flag_group = insn_dec_bignum_i.alu_flag_group;
811 assign alu_bignum_operation_o.sel_flag = insn_dec_bignum_i.alu_sel_flag;
Greg Chadwick96fe7052021-03-18 15:15:05 +0000812 assign alu_bignum_operation_o.alu_flag_en = insn_dec_bignum_i.alu_flag_en & insn_executing;
813 assign alu_bignum_operation_o.mac_flag_en = insn_dec_bignum_i.mac_flag_en & insn_executing;
Greg Chadwickf7863442020-09-14 18:11:33 +0100814
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100815 assign mac_bignum_operation_o.operand_a = rf_bignum_rd_data_a_no_intg;
816 assign mac_bignum_operation_o.operand_b = rf_bignum_rd_data_b_no_intg;
Greg Chadwick94786452020-10-28 18:19:51 +0000817 assign mac_bignum_operation_o.operand_a_qw_sel = insn_dec_bignum_i.mac_op_a_qw_sel;
818 assign mac_bignum_operation_o.operand_b_qw_sel = insn_dec_bignum_i.mac_op_b_qw_sel;
Rupert Swarbrick8e016022020-11-19 16:59:02 +0000819 assign mac_bignum_operation_o.wr_hw_sel_upper = insn_dec_bignum_i.mac_wr_hw_sel_upper;
Greg Chadwick94786452020-10-28 18:19:51 +0000820 assign mac_bignum_operation_o.pre_acc_shift_imm = insn_dec_bignum_i.mac_pre_acc_shift;
821 assign mac_bignum_operation_o.zero_acc = insn_dec_bignum_i.mac_zero_acc;
822 assign mac_bignum_operation_o.shift_acc = insn_dec_bignum_i.mac_shift_out;
823
Greg Chadwick42a9f3b2021-01-28 13:54:14 +0000824 assign mac_bignum_en_o = insn_executing & insn_dec_bignum_i.mac_en;
Greg Chadwick94786452020-10-28 18:19:51 +0000825
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100826 // Move / Conditional Select. Only select B register data when a selection instruction is being
827 // executed and the selection flag isn't set.
828
829 `ASSERT(SelFlagValid, insn_valid_i & insn_dec_bignum_i.sel_insn |->
830 insn_dec_bignum_i.alu_sel_flag inside {FlagC, FlagL, FlagM, FlagZ})
831
832 assign selection_result =
833 ~insn_dec_bignum_i.sel_insn | alu_bignum_selection_flag_i ? rf_bignum_rd_data_a_intg_i :
834 rf_bignum_rd_data_b_intg_i;
Greg Chadwick94786452020-10-28 18:19:51 +0000835
836 // Bignum Register file write control
837
838 always_comb begin
839 // By default write nothing
840 rf_bignum_wr_en_o = 2'b00;
841
Greg Chadwicke6e8f152021-03-05 13:35:36 +0000842 // Only write if executing instruction wants a bignum rf write and it isn't stalled and there is
843 // no error
844 if (insn_executing && insn_dec_bignum_i.rf_we && !err && !stall) begin
Greg Chadwick94786452020-10-28 18:19:51 +0000845 if (insn_dec_bignum_i.mac_en && insn_dec_bignum_i.mac_shift_out) begin
846 // Special handling for BN.MULQACC.SO, only enable upper or lower half depending on
Rupert Swarbrick8e016022020-11-19 16:59:02 +0000847 // mac_wr_hw_sel_upper.
848 rf_bignum_wr_en_o = insn_dec_bignum_i.mac_wr_hw_sel_upper ? 2'b10 : 2'b01;
Greg Chadwick94786452020-10-28 18:19:51 +0000849 end else begin
850 // For everything else write both halves immediately.
851 rf_bignum_wr_en_o = 2'b11;
852 end
853 end
854 end
Greg Chadwickf7863442020-09-14 18:11:33 +0100855
Greg Chadwick9a6fc462021-08-10 15:42:16 +0100856 // For BN.LID sample the indirect destination register index in first cycle as an increment might
857 // change it for the second cycle where the load data is written to the bignum register file.
858 always_ff @(posedge clk_i) begin
859 if (insn_dec_bignum_i.rf_d_indirect & lsu_load_req_raw) begin
860 ld_insn_bignum_wr_addr_q <= rf_base_rd_data_b_no_intg[4:0];
861 end
862 end
Greg Chadwickf7863442020-09-14 18:11:33 +0100863
Greg Chadwick9a6fc462021-08-10 15:42:16 +0100864 always_comb begin
865 rf_bignum_wr_addr_o = insn_dec_bignum_i.d;
866
867 if (insn_dec_bignum_i.rf_d_indirect) begin
868 if (insn_dec_shared_i.ld_insn) begin
869 // Use sampled register index from first cycle of the load (in case the increment has
870 // changed the value in the mean-time).
871 rf_bignum_wr_addr_o = ld_insn_bignum_wr_addr_q;
872 end else begin
873 // Use read register index directly
874 rf_bignum_wr_addr_o = rf_base_rd_data_b_no_intg[4:0];
875 end
876 end
877 end
Greg Chadwick496fd342021-03-05 18:08:39 +0000878
Greg Chadwick94786452020-10-28 18:19:51 +0000879 // For the shift-out variant of BN.MULQACC the bottom half of the MAC result is written to one
Philipp Wagnerdc946522020-12-03 10:52:58 +0000880 // half of a desintation register specified by the instruction (mac_wr_hw_sel_upper). The bottom
881 // half of the MAC result must be placed in the appropriate half of the write data (the RF only
882 // accepts write data for the top half in the top half of the write data input). Otherwise
883 // (shift-out to bottom half and all other BN.MULQACC instructions) simply pass the MAC result
884 // through unchanged as write data.
Greg Chadwick94786452020-10-28 18:19:51 +0000885 assign mac_bignum_rf_wr_data[WLEN-1:WLEN/2] =
Philipp Wagnerdc946522020-12-03 10:52:58 +0000886 insn_dec_bignum_i.mac_wr_hw_sel_upper &&
887 insn_dec_bignum_i.mac_shift_out ? mac_bignum_operation_result_i[WLEN/2-1:0] :
888 mac_bignum_operation_result_i[WLEN-1:WLEN/2];
Greg Chadwick94786452020-10-28 18:19:51 +0000889
890 assign mac_bignum_rf_wr_data[WLEN/2-1:0] = mac_bignum_operation_result_i[WLEN/2-1:0];
891
Greg Chadwickf7863442020-09-14 18:11:33 +0100892 always_comb begin
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100893 // Write data mux for anything that needs integrity computing during register write
Greg Chadwickee060bd2021-05-15 17:33:22 +0100894 // TODO: ISPR data will go via direct mux below once integrity has been implemented for
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100895 // them.
Greg Chadwickcf048242020-10-02 15:28:42 +0100896 unique case (insn_dec_bignum_i.rf_wdata_sel)
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100897 RfWdSelEx: rf_bignum_wr_data_no_intg_o = alu_bignum_operation_result_i;
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100898 RfWdSelIspr: rf_bignum_wr_data_no_intg_o = ispr_rdata_i;
899 RfWdSelMac: rf_bignum_wr_data_no_intg_o = mac_bignum_rf_wr_data;
900 default: rf_bignum_wr_data_no_intg_o = alu_bignum_operation_result_i;
901 endcase
902
903 // Write data mux for anything that provides its own integrity
904 unique case (insn_dec_bignum_i.rf_wdata_sel)
905 RfWdSelMovSel: begin
906 rf_bignum_wr_data_intg_sel_o = 1'b1;
907 rf_bignum_wr_data_intg_o = selection_result;
908 end
Greg Chadwickee060bd2021-05-15 17:33:22 +0100909 RfWdSelLsu: begin
910 rf_bignum_wr_data_intg_sel_o = 1'b1;
911 rf_bignum_wr_data_intg_o = lsu_bignum_rdata_i;
912 end
Greg Chadwick009d9ee2021-04-26 16:25:51 +0100913 default: begin
914 rf_bignum_wr_data_intg_sel_o = 1'b0;
915 rf_bignum_wr_data_intg_o = '0;
916 end
Greg Chadwickf7863442020-09-14 18:11:33 +0100917 endcase
918 end
919
Greg Chadwick9a6fc462021-08-10 15:42:16 +0100920 assign rf_a_indirect_err = insn_dec_bignum_i.rf_a_indirect &
921 (|rf_base_rd_data_a_no_intg[31:5]) &
Rupert Swarbrickdb4b4942022-01-10 12:35:14 +0000922 ~rf_base_call_stack_err_i &
Greg Chadwick9a6fc462021-08-10 15:42:16 +0100923 rf_base_rd_en_a_o;
924
925 assign rf_b_indirect_err = insn_dec_bignum_i.rf_b_indirect &
926 (|rf_base_rd_data_b_no_intg[31:5]) &
Rupert Swarbrickdb4b4942022-01-10 12:35:14 +0000927 ~rf_base_call_stack_err_i &
Greg Chadwick9a6fc462021-08-10 15:42:16 +0100928 rf_base_rd_en_b_o;
929
930 assign rf_d_indirect_err = insn_dec_bignum_i.rf_d_indirect &
931 (|rf_base_rd_data_b_no_intg[31:5]) &
932 rf_base_rd_en_b_o;
Greg Chadwick496fd342021-03-05 18:08:39 +0000933
934 assign rf_indirect_err =
935 insn_valid_i & (rf_a_indirect_err | rf_b_indirect_err | rf_d_indirect_err);
936
Rupert Swarbrickdb4b4942022-01-10 12:35:14 +0000937 assign ignore_bignum_rd_errs = (insn_dec_bignum_i.rf_a_indirect |
938 insn_dec_bignum_i.rf_b_indirect) &
939 rf_base_call_stack_err_i;
940
941 assign rf_bignum_rd_data_err = rf_bignum_rd_data_err_i & ~ignore_bignum_rd_errs;
942
Greg Chadwickf7863442020-09-14 18:11:33 +0100943 // CSR/WSR/ISPR handling
944 // ISPRs (Internal Special Purpose Registers) are the internal registers. CSRs and WSRs are the
945 // ISA visible versions of those registers in the base and bignum ISAs respectively.
946
Philipp Wagner711d2262021-01-21 18:17:42 +0000947 assign csr_addr = csr_e'(insn_dec_base_i.i[11:0]);
948 assign csr_sub_addr = insn_dec_base_i.i[$clog2(BaseWordsPerWLEN)-1:0];
Greg Chadwickf7863442020-09-14 18:11:33 +0100949
950 always_comb begin
951 ispr_addr_base = IsprMod;
952 ispr_word_addr_base = '0;
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100953 csr_illegal_addr = 1'b0;
Greg Chadwickf7863442020-09-14 18:11:33 +0100954
955 unique case (csr_addr)
Rupert Swarbrickb9a1d312021-12-16 10:51:07 +0000956 CsrFlags, CsrFg0, CsrFg1: begin
Greg Chadwickf7863442020-09-14 18:11:33 +0100957 ispr_addr_base = IsprFlags;
958 ispr_word_addr_base = '0;
959 end
Philipp Wagner711d2262021-01-21 18:17:42 +0000960 CsrMod0, CsrMod1, CsrMod2, CsrMod3, CsrMod4, CsrMod5, CsrMod6, CsrMod7: begin
Greg Chadwickf7863442020-09-14 18:11:33 +0100961 ispr_addr_base = IsprMod;
Philipp Wagner711d2262021-01-21 18:17:42 +0000962 ispr_word_addr_base = csr_sub_addr;
Greg Chadwickf7863442020-09-14 18:11:33 +0100963 end
Greg Chadwickb168ae92021-04-14 16:04:03 +0100964 CsrRndPrefetch: begin
965 // Reading from RND_PREFETCH results in 0, there is no ISPR to read so no address is set.
966 // The csr_rdata mux logic takes care of producing the 0.
967 end
Philipp Wagner93877522021-07-16 10:49:25 +0100968 CsrRnd: begin
969 ispr_addr_base = IsprRnd;
970 ispr_word_addr_base = '0;
971 end
Greg Chadwickb168ae92021-04-14 16:04:03 +0100972 CsrUrnd: begin
973 ispr_addr_base = IsprUrnd;
974 ispr_word_addr_base = '0;
975 end
Greg Chadwickd3154ec2020-09-24 12:03:23 +0100976 default: csr_illegal_addr = 1'b1;
Greg Chadwickf7863442020-09-14 18:11:33 +0100977 endcase
978 end
979
980 for (genvar i_word = 0; i_word < BaseWordsPerWLEN; i_word++) begin : g_ispr_word_sel_base
981 assign ispr_word_sel_base[i_word] = ispr_word_addr_base == i_word;
982 end
983
984 for (genvar i_bit = 0; i_bit < 32; i_bit++) begin : g_csr_rdata_mux
985 for (genvar i_word = 0; i_word < BaseWordsPerWLEN; i_word++) begin : g_csr_rdata_mux_inner
Philipp Wagnerdc946522020-12-03 10:52:58 +0000986 assign csr_rdata_mux[i_bit][i_word] =
987 ispr_rdata_i[i_word*32 + i_bit] & ispr_word_sel_base[i_word];
Greg Chadwickf7863442020-09-14 18:11:33 +0100988 end
989
Greg Chadwickdbd655a2020-11-24 16:42:06 +0000990 assign csr_rdata_raw[i_bit] = |csr_rdata_mux[i_bit];
Greg Chadwickf7863442020-09-14 18:11:33 +0100991 end
992
Greg Chadwickdbd655a2020-11-24 16:42:06 +0000993 // Specialised read data handling for CSR reads where raw read data needs modification.
994 always_comb begin
995 csr_rdata = csr_rdata_raw;
996
Greg Chadwickb168ae92021-04-14 16:04:03 +0100997 unique case (csr_addr)
Greg Chadwickdbd655a2020-11-24 16:42:06 +0000998 // For FG0/FG1 select out appropriate bits from FLAGS ISPR and pad the rest with zeros.
Greg Chadwickb168ae92021-04-14 16:04:03 +0100999 CsrFg0: csr_rdata = {28'b0, csr_rdata_raw[3:0]};
1000 CsrFg1: csr_rdata = {28'b0, csr_rdata_raw[7:4]};
1001 CsrRndPrefetch: csr_rdata = '0;
Greg Chadwickdbd655a2020-11-24 16:42:06 +00001002 default: ;
1003 endcase
1004 end
1005
Greg Chadwick009d9ee2021-04-26 16:25:51 +01001006 assign csr_wdata_raw = insn_dec_shared_i.ispr_rs_insn ? csr_rdata | rf_base_rd_data_a_no_intg :
1007 rf_base_rd_data_a_no_intg;
Greg Chadwickdbd655a2020-11-24 16:42:06 +00001008
1009 // Specialised write data handling for CSR writes where raw write data needs modification.
1010 always_comb begin
1011 csr_wdata = csr_wdata_raw;
1012
Greg Chadwickb168ae92021-04-14 16:04:03 +01001013 unique case (csr_addr)
Greg Chadwickdbd655a2020-11-24 16:42:06 +00001014 // For FG0/FG1 only modify relevant part of FLAGS ISPR.
1015 CsrFg0: csr_wdata = {24'b0, csr_rdata_raw[7:4], csr_wdata_raw[3:0]};
1016 CsrFg1: csr_wdata = {24'b0, csr_wdata_raw[3:0], csr_rdata_raw[3:0]};
1017 default: ;
1018 endcase
1019 end
Greg Chadwickf7863442020-09-14 18:11:33 +01001020
Rupert Swarbricka2c05e72020-11-20 08:46:25 +00001021 // ISPR RS (read and set) must not be combined with ISPR RD or WR (read or write). ISPR RD and
1022 // WR (read and write) is allowed.
1023 `ASSERT(NoIsprRorWAndRs, insn_valid_i |-> ~(insn_dec_shared_i.ispr_rs_insn &
1024 (insn_dec_shared_i.ispr_rd_insn |
1025 insn_dec_shared_i.ispr_wr_insn)))
1026
1027
Greg Chadwickf7863442020-09-14 18:11:33 +01001028 assign wsr_addr = wsr_e'(insn_dec_bignum_i.i[WsrNumWidth-1:0]);
1029
1030 always_comb begin
1031 ispr_addr_bignum = IsprMod;
Greg Chadwickd3154ec2020-09-24 12:03:23 +01001032 wsr_illegal_addr = 1'b0;
Greg Chadwick4ca1caa2021-11-23 10:56:01 +00001033 key_invalid = 1'b0;
Greg Chadwickf7863442020-09-14 18:11:33 +01001034
1035 unique case (wsr_addr)
Greg Chadwickb168ae92021-04-14 16:04:03 +01001036 WsrMod: ispr_addr_bignum = IsprMod;
1037 WsrRnd: ispr_addr_bignum = IsprRnd;
Greg Chadwickb168ae92021-04-14 16:04:03 +01001038 WsrUrnd: ispr_addr_bignum = IsprUrnd;
Philipp Wagner19afa992021-07-16 10:56:23 +01001039 WsrAcc: ispr_addr_bignum = IsprAcc;
Greg Chadwick4ca1caa2021-11-23 10:56:01 +00001040 WsrKeyS0L: begin
1041 ispr_addr_bignum = IsprKeyS0L;
1042 key_invalid = ~sideload_key_shares_valid_i[0];
1043 end
1044 WsrKeyS0H: begin
1045 ispr_addr_bignum = IsprKeyS0H;
1046 key_invalid = ~sideload_key_shares_valid_i[0];
1047 end
1048 WsrKeyS1L: begin
1049 ispr_addr_bignum = IsprKeyS1L;
1050 key_invalid = ~sideload_key_shares_valid_i[1];
1051 end
1052 WsrKeyS1H: begin
1053 ispr_addr_bignum = IsprKeyS1H;
1054 key_invalid = ~sideload_key_shares_valid_i[1];
1055 end
Greg Chadwickd3154ec2020-09-24 12:03:23 +01001056 default: wsr_illegal_addr = 1'b1;
Greg Chadwickf7863442020-09-14 18:11:33 +01001057 endcase
1058 end
1059
Greg Chadwick009d9ee2021-04-26 16:25:51 +01001060 assign wsr_wdata = insn_dec_shared_i.ispr_rs_insn ? ispr_rdata_i | rf_bignum_rd_data_a_no_intg :
1061 rf_bignum_rd_data_a_no_intg;
Greg Chadwickf7863442020-09-14 18:11:33 +01001062
Greg Chadwick4ca1caa2021-11-23 10:56:01 +00001063 // Invalid key only becomes an error if we're trying to read it
Prajwala Puttappa175c0d82021-12-17 11:23:16 +00001064 assign key_invalid_err = ispr_rd_bignum_insn & insn_valid_i & key_invalid;
Greg Chadwick4ca1caa2021-11-23 10:56:01 +00001065
Philipp Wagner711d2262021-01-21 18:17:42 +00001066 assign ispr_illegal_addr = insn_dec_shared_i.subset == InsnSubsetBase ? csr_illegal_addr :
1067 wsr_illegal_addr;
Greg Chadwickd3154ec2020-09-24 12:03:23 +01001068
1069 assign ispr_err = ispr_illegal_addr & insn_valid_i & (insn_dec_shared_i.ispr_rd_insn |
1070 insn_dec_shared_i.ispr_wr_insn |
1071 insn_dec_shared_i.ispr_rs_insn);
1072
Rupert Swarbricka2c05e72020-11-20 08:46:25 +00001073 assign ispr_wr_insn = insn_dec_shared_i.ispr_wr_insn | insn_dec_shared_i.ispr_rs_insn;
Greg Chadwickb168ae92021-04-14 16:04:03 +01001074 assign ispr_rd_insn = insn_dec_shared_i.ispr_rd_insn | insn_dec_shared_i.ispr_rs_insn;
1075
1076 // Write to RND_PREFETCH must not produce ISR write
1077 assign ispr_wr_base_insn =
1078 ispr_wr_insn & (insn_dec_shared_i.subset == InsnSubsetBase) & (csr_addr != CsrRndPrefetch);
1079
Rupert Swarbrick514348e2021-02-03 09:04:59 +00001080 assign ispr_wr_bignum_insn = ispr_wr_insn & (insn_dec_shared_i.subset == InsnSubsetBignum);
Prajwala Puttappa175c0d82021-12-17 11:23:16 +00001081 assign ispr_rd_bignum_insn = ispr_rd_insn & (insn_dec_shared_i.subset == InsnSubsetBignum);
Greg Chadwickf7863442020-09-14 18:11:33 +01001082
Philipp Wagnerdc946522020-12-03 10:52:58 +00001083 assign ispr_addr_o = insn_dec_shared_i.subset == InsnSubsetBase ? ispr_addr_base :
1084 ispr_addr_bignum;
Greg Chadwickf7863442020-09-14 18:11:33 +01001085 assign ispr_base_wdata_o = csr_wdata;
Rupert Swarbrick514348e2021-02-03 09:04:59 +00001086 assign ispr_base_wr_en_o = {BaseWordsPerWLEN{ispr_wr_base_insn & insn_executing}} &
1087 ispr_word_sel_base;
Greg Chadwickf6f35962020-11-02 17:32:08 +00001088
Greg Chadwickf7863442020-09-14 18:11:33 +01001089 assign ispr_bignum_wdata_o = wsr_wdata;
Rupert Swarbrick514348e2021-02-03 09:04:59 +00001090 assign ispr_bignum_wr_en_o = ispr_wr_bignum_insn & insn_executing;
Greg Chadwickf7863442020-09-14 18:11:33 +01001091
Greg Chadwick42a9f3b2021-01-28 13:54:14 +00001092 // lsu_load_req_raw/lsu_store_req_raw indicate an instruction wishes to perform a store or a load.
Rupert Swarbrick514348e2021-02-03 09:04:59 +00001093 // lsu_load_req_o/lsu_store_req_o factor in whether an instruction is actually executing (it may
1094 // be suppressed due an error) and command the load or store to happen when asserted.
Greg Chadwick42a9f3b2021-01-28 13:54:14 +00001095 assign lsu_load_req_raw = insn_valid_i & insn_dec_shared_i.ld_insn & (state_q == OtbnStateRun);
1096 assign lsu_load_req_o = insn_executing & lsu_load_req_raw;
1097
1098 assign lsu_store_req_raw = insn_valid_i & insn_dec_shared_i.st_insn & (state_q == OtbnStateRun);
1099 assign lsu_store_req_o = insn_executing & lsu_store_req_raw;
1100
Greg Chadwickf7863442020-09-14 18:11:33 +01001101 assign lsu_req_subset_o = insn_dec_shared_i.subset;
Greg Chadwickc8cd4352020-08-14 16:45:23 +01001102
Greg Chadwickae8e6452020-10-02 12:04:15 +01001103 assign lsu_addr_o = alu_base_operation_result_i[DmemAddrWidth-1:0];
Greg Chadwickee060bd2021-05-15 17:33:22 +01001104 assign lsu_base_wdata_o = rf_base_rd_data_b_intg_i;
1105 assign lsu_bignum_wdata_o = rf_bignum_rd_data_b_intg_i;
Greg Chadwick6ab8d952020-10-30 12:13:34 +00001106
Philipp Wagner711d2262021-01-21 18:17:42 +00001107 assign dmem_addr_unaligned_bignum =
1108 (lsu_req_subset_o == InsnSubsetBignum) & (|lsu_addr_o[$clog2(WLEN/8)-1:0]);
1109 assign dmem_addr_unaligned_base =
1110 (lsu_req_subset_o == InsnSubsetBase) & (|lsu_addr_o[1:0]);
Greg Chadwickd3154ec2020-09-24 12:03:23 +01001111 assign dmem_addr_overflow = |alu_base_operation_result_i[31:DmemAddrWidth];
1112
Greg Chadwick42a9f3b2021-01-28 13:54:14 +00001113 assign dmem_addr_err =
1114 insn_valid_i & (lsu_load_req_raw | lsu_store_req_raw) & (dmem_addr_overflow |
Greg Chadwickd3154ec2020-09-24 12:03:23 +01001115 dmem_addr_unaligned_bignum |
1116 dmem_addr_unaligned_base);
1117
Rupert Swarbrick20429db2022-02-10 17:34:00 +00001118 assign rnd_req_raw = insn_valid_i & ispr_rd_insn & (ispr_addr_o == IsprRnd);
1119 assign rnd_req_o = rnd_req_raw & insn_executing;
Greg Chadwickb168ae92021-04-14 16:04:03 +01001120
Rupert Swarbrick20429db2022-02-10 17:34:00 +00001121 assign rnd_prefetch_req_o = insn_executing & ispr_wr_insn &
Greg Chadwickb168ae92021-04-14 16:04:03 +01001122 (insn_dec_shared_i.subset == InsnSubsetBase) & (csr_addr == CsrRndPrefetch);
Philipp Wagner31441082020-07-14 11:17:21 +01001123endmodule