blob: 2e64337d81899978a1eddebca72e852510883b53 [file] [log] [blame]
Timothy Chen15adeee2020-09-09 15:44:35 -07001// Copyright lowRISC contributors.
2// Licensed under the Apache License, Version 2.0, see LICENSE for details.
3// SPDX-License-Identifier: Apache-2.0
4//
5// Key manager top level
6//
7
8`include "prim_assert.sv"
9
Timothy Chen5d06aac2021-08-09 17:08:24 -070010module keymgr_ctrl import keymgr_pkg::*; #(
11 parameter bit KmacEnMasking = 1'b1
12) (
Timothy Chen15adeee2020-09-09 15:44:35 -070013 input clk_i,
14 input rst_ni,
15
16 // lifecycle enforcement
Timothy Chen6d99c342020-11-13 12:02:42 -080017 input en_i,
Timothy Chen15adeee2020-09-09 15:44:35 -070018
Timothy Chen80fb8f42021-08-02 14:36:28 -070019 // faults that can occur outside of operations
20 input regfile_intg_err_i,
Timothy Chen4fb25fc2021-08-19 18:15:08 -070021 input shadowed_update_err_i,
22 input shadowed_storage_err_i,
Timothy Chen80fb8f42021-08-02 14:36:28 -070023
Timothy Chen15adeee2020-09-09 15:44:35 -070024 // Software interface
Timothy Chen15adeee2020-09-09 15:44:35 -070025 input op_start_i,
Timothy Chen1d05bd62020-10-07 18:42:07 -070026 input keymgr_ops_e op_i,
Timothy Chen1a162112021-06-30 18:35:59 -070027 input [CdiWidth-1:0] op_cdi_sel_i,
Timothy Chen15adeee2020-09-09 15:44:35 -070028 output logic op_done_o,
29 output keymgr_op_status_e status_o,
30 output logic [ErrLastPos-1:0] error_o,
Timothy Chen80fb8f42021-08-02 14:36:28 -070031 output logic [FaultLastPos-1:0] fault_o,
Timothy Chen8cf1a3a2020-12-08 19:05:29 -080032 output logic data_en_o,
Timothy Chen15adeee2020-09-09 15:44:35 -070033 output logic data_valid_o,
Timothy Chen6d99c342020-11-13 12:02:42 -080034 output logic wipe_key_o,
Timothy Chen15adeee2020-09-09 15:44:35 -070035 output keymgr_working_state_e working_state_o,
Timothy Chen12ca8622020-12-08 12:29:44 -080036 output logic sw_binding_unlock_o,
Timothy Chen0a120942020-12-14 17:20:51 -080037 output logic init_o,
Timothy Chen15adeee2020-09-09 15:44:35 -070038
39 // Data input
Timothy Chen65e16672020-12-05 09:17:14 -080040 input otp_ctrl_pkg::otp_keymgr_key_t root_key_i,
Timothy Chen15adeee2020-09-09 15:44:35 -070041 output keymgr_gen_out_e hw_sel_o,
42 output keymgr_stage_e stage_sel_o,
Timothy Chen1a162112021-06-30 18:35:59 -070043 output logic [CdiWidth-1:0] cdi_sel_o,
Timothy Chen15adeee2020-09-09 15:44:35 -070044
45 // KMAC ctrl interface
46 output logic adv_en_o,
47 output logic id_en_o,
48 output logic gen_en_o,
Timothy Chen8cf1a3a2020-12-08 19:05:29 -080049 output hw_key_req_t key_o,
Timothy Chen15adeee2020-09-09 15:44:35 -070050 input kmac_done_i,
51 input kmac_input_invalid_i, // asserted when selected data fails criteria check
52 input kmac_fsm_err_i, // asserted when kmac fsm reaches unexpected state
Timothy Cheneaa3f2b2020-10-27 17:10:15 -070053 input kmac_op_err_i, // asserted when kmac itself reports an error
Timothy Chen15adeee2020-09-09 15:44:35 -070054 input kmac_cmd_err_i, // asserted when more than one command given to kmac
Timothy Chend5820b02020-12-05 17:19:06 -080055 input [Shares-1:0][KeyWidth-1:0] kmac_data_i,
56
57 // prng control interface
Timothy Chenbe2da9e2021-01-07 18:29:01 -080058 input [Shares-1:0][RandWidth-1:0] entropy_i,
Timothy Chend5820b02020-12-05 17:19:06 -080059 input prng_reseed_ack_i,
60 output logic prng_reseed_req_o,
61 output logic prng_en_o
Timothy Chen15adeee2020-09-09 15:44:35 -070062);
Timothy Chend5820b02020-12-05 17:19:06 -080063
Timothy Chen15adeee2020-09-09 15:44:35 -070064 localparam int EntropyWidth = LfsrWidth / 2;
65 localparam int EntropyRounds = KeyWidth / EntropyWidth;
Timothy Chen38033242021-06-29 10:06:12 -070066 localparam int EntropyRndWidth = prim_util_pkg::vbits(EntropyRounds);
Timothy Chen1a162112021-06-30 18:35:59 -070067 localparam int CntWidth = EntropyRounds > CDIs ? EntropyRndWidth : CdiWidth;
Timothy Chen15adeee2020-09-09 15:44:35 -070068
Timothy Chen9e365452020-12-07 19:04:00 -080069 // Enumeration for working state
Timothy Chen80fb8f42021-08-02 14:36:28 -070070 // Encoding generated with:
71 // $ ./util/design/sparse-fsm-encode.py -d 5 -m 11 -n 10 \
72 // -s 4101887575 --language=sv
73 //
74 // Hamming distance histogram:
75 //
76 // 0: --
77 // 1: --
78 // 2: --
79 // 3: --
80 // 4: --
81 // 5: |||||||||||||||||||| (54.55%)
82 // 6: |||||||||||||||| (45.45%)
83 // 7: --
84 // 8: --
85 // 9: --
86 // 10: --
87 //
88 // Minimum Hamming distance: 5
89 // Maximum Hamming distance: 6
90 // Minimum Hamming weight: 2
91 // Maximum Hamming weight: 8
92 //
93 localparam int StateWidth = 10;
94 typedef enum logic [StateWidth-1:0] {
95 StCtrlReset = 10'b1101100001,
96 StCtrlEntropyReseed = 10'b1110010010,
97 StCtrlRandom = 10'b0011110100,
98 StCtrlRootKey = 10'b0110101111,
99 StCtrlInit = 10'b0100000100,
100 StCtrlCreatorRootKey = 10'b1000011101,
101 StCtrlOwnerIntKey = 10'b0001001010,
102 StCtrlOwnerKey = 10'b1101111110,
103 StCtrlDisabled = 10'b1010101000,
104 StCtrlWipe = 10'b0000110011,
105 StCtrlInvalid = 10'b1011000111
Timothy Chen9e365452020-12-07 19:04:00 -0800106 } keymgr_ctrl_state_e;
107
Timothy Chen38033242021-06-29 10:06:12 -0700108 // Enumeration for operation handling
Timothy Chen8e374342021-08-25 20:55:31 -0700109 typedef enum logic [1:0] {
Timothy Chen38033242021-06-29 10:06:12 -0700110 StIdle,
Timothy Chen38033242021-06-29 10:06:12 -0700111 StAdv,
112 StAdvAck,
113 StWait
114 } keymgr_op_state_e;
Timothy Chen15adeee2020-09-09 15:44:35 -0700115
Timothy Chen38033242021-06-29 10:06:12 -0700116 keymgr_ctrl_state_e state_q, state_d;
117 keymgr_op_state_e op_state_q, op_state_d;
118
119 // There are two versions of the key state, one for sealing one for attestation
120 // Among each version, there are multiple shares
121 // Each share is a fixed multiple of the entropy width
Timothy Chen1a162112021-06-30 18:35:59 -0700122 logic [CDIs-1:0][Shares-1:0][EntropyRounds-1:0][EntropyWidth-1:0] key_state_q, key_state_d;
Timothy Chen15adeee2020-09-09 15:44:35 -0700123 logic [CntWidth-1:0] cnt;
Timothy Chen1a162112021-06-30 18:35:59 -0700124 logic [CdiWidth-1:0] cdi_cnt;
Timothy Chen38033242021-06-29 10:06:12 -0700125
Timothy Chen8e374342021-08-25 20:55:31 -0700126 // error conditions
Timothy Chen28bbd322021-09-01 00:06:10 -0700127 logic invalid_kmac_out;
Timothy Chen15adeee2020-09-09 15:44:35 -0700128 logic invalid_op;
Timothy Chen8e374342021-08-25 20:55:31 -0700129 logic cnt_err;
Timothy Chen80fb8f42021-08-02 14:36:28 -0700130 // states fall out of sparsely encoded range
131 logic state_intg_err_q, state_intg_err_d;
132
Timothy Chen8e374342021-08-25 20:55:31 -0700133 ///////////////////////////
134 // General operation decode
135 ///////////////////////////
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800136
Timothy Chen8e374342021-08-25 20:55:31 -0700137 logic adv_op, dis_op, gen_id_op, gen_sw_op, gen_hw_op, gen_op;
138 assign adv_op = (op_i == OpAdvance);
139 assign dis_op = (op_i == OpDisable);
140 assign gen_id_op = (op_i == OpGenId);
141 assign gen_sw_op = (op_i == OpGenSwOut);
142 assign gen_hw_op = (op_i == OpGenHwOut);
143 assign gen_op = (gen_id_op | gen_sw_op | gen_hw_op);
144
145 ///////////////////////////
146 // interaction between software and main fsm
147 ///////////////////////////
148 // disable is treated like an advanced call
149 logic advance_sel;
150 logic disable_sel;
151 logic gen_out_hw_sel;
152
153 assign advance_sel = op_start_i & adv_op & en_i;
154 assign gen_out_hw_sel = op_start_i & gen_hw_op & en_i;
155
156 // disable is selected whenever a normal operation is not set
157 assign disable_sel = (op_start_i & dis_op) | !en_i;
158
159
160 ///////////////////////////
161 // interaction between main control fsm and operation fsm
162 ///////////////////////////
Timothy Chen38033242021-06-29 10:06:12 -0700163
164 // req/ack interface with op handling fsm
165 logic op_req;
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800166 logic op_ack;
Timothy Chen38033242021-06-29 10:06:12 -0700167 logic op_update;
Timothy Chen8e374342021-08-25 20:55:31 -0700168 logic op_busy;
169 logic disabled;
Timothy Chen38033242021-06-29 10:06:12 -0700170
Timothy Chen8e374342021-08-25 20:55:31 -0700171 logic adv_req, dis_req, id_req, gen_req;
172 assign adv_req = op_req & adv_op;
173 assign dis_req = op_req & dis_op;
174 assign id_req = op_req & gen_id_op;
175 assign gen_req = op_req & (gen_sw_op | gen_hw_op);
Timothy Chen15adeee2020-09-09 15:44:35 -0700176
Timothy Chen8e374342021-08-25 20:55:31 -0700177 ///////////////////////////
178 // interaction between operation fsm and software
179 ///////////////////////////
Timothy Chen28bbd322021-09-01 00:06:10 -0700180 // categories of keymgr errors
181 logic [SyncErrLastIdx-1:0] sync_err;
182 logic [AsyncErrLastIdx-1:0] async_err;
183 logic [SyncFaultLastIdx-1:0] sync_fault;
184 logic [AsyncFaultLastIdx-1:0] async_fault;
Timothy Chen15adeee2020-09-09 15:44:35 -0700185
Timothy Chen8e374342021-08-25 20:55:31 -0700186 logic op_err;
187 logic op_fault_err;
Timothy Chen15adeee2020-09-09 15:44:35 -0700188
Timothy Chen12ca8622020-12-08 12:29:44 -0800189 // unlock sw binding configuration whenever an advance call is made without errors
Timothy Chen28bbd322021-09-01 00:06:10 -0700190 assign sw_binding_unlock_o = adv_req & op_ack & ~(op_err | op_fault_err);
Timothy Chen12ca8622020-12-08 12:29:44 -0800191
Timothy Chen8e374342021-08-25 20:55:31 -0700192 // error definition
Timothy Chen15adeee2020-09-09 15:44:35 -0700193 // check incoming kmac data validity
Timothy Chen28bbd322021-09-01 00:06:10 -0700194 // Only check during the periods when there is actual kmac output
195 assign invalid_kmac_out = (op_update | op_ack) &
196 (~valid_data_chk(kmac_data_i[0]) |
197 (~valid_data_chk(kmac_data_i[1]) & KmacEnMasking));
Timothy Chen754a5272021-01-06 10:41:08 -0800198
Timothy Chen28bbd322021-09-01 00:06:10 -0700199 assign op_err = sync_err[SyncErrInvalidOp] |
200 sync_err[SyncErrInvalidIn];
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800201
Timothy Chen28bbd322021-09-01 00:06:10 -0700202 assign op_fault_err = |sync_fault |
203 |async_fault;
Timothy Chen15adeee2020-09-09 15:44:35 -0700204
Timothy Chen80fb8f42021-08-02 14:36:28 -0700205
Timothy Chen8e374342021-08-25 20:55:31 -0700206 ///////////////////////////
207 // key update controls
208 ///////////////////////////
209
210 // update select can come from both main and operation fsm's
211 keymgr_key_update_e update_sel, op_update_sel;
212
213 // req from main control fsm to key update controls
214 logic wipe_req;
215 logic random_req;
216 logic random_ack;
217
218 // wipe and initialize take precedence
219 assign update_sel = wipe_req ? KeyUpdateWipe :
220 random_req ? KeyUpdateRandom :
221 init_o ? KeyUpdateRoot : op_update_sel;
222
223 ///////////////////////////
224 // interaction between main fsm and prng
225 ///////////////////////////
226
227 logic prng_en;
228 assign prng_en_o = prng_en | disabled | wipe_req;
229
230 //////////////////////////
231 // Main Control FSM
232 //////////////////////////
Timothy Chen15adeee2020-09-09 15:44:35 -0700233
Timothy Chen80fb8f42021-08-02 14:36:28 -0700234 logic [StateWidth-1:0] state_raw_q;
235 assign state_q = keymgr_ctrl_state_e'(state_raw_q);
236 prim_flop #(
237 .Width(StateWidth),
238 .ResetValue(StateWidth'(StCtrlReset))
239 ) u_state_regs (
240 .clk_i,
241 .rst_ni,
242 .d_i ( state_d ),
243 .q_o ( state_raw_q )
244 );
245
Timothy Chen8e374342021-08-25 20:55:31 -0700246 always_ff @(posedge clk_i or negedge rst_ni) begin
247 if (!rst_ni) begin
248 state_intg_err_q <= '0;
249 end else begin
250 state_intg_err_q <= state_intg_err_d;
251 end
252 end
253
Timothy Chen15adeee2020-09-09 15:44:35 -0700254 // prevents unknowns from reaching the outside world.
Timothy Chen68c311a2020-12-04 12:31:17 -0800255 // - whatever operation causes the input data select to be disabled should not expose the key
256 // state.
257 // - when there are no operations, the key state also should be exposed.
Timothy Chen38033242021-06-29 10:06:12 -0700258 assign key_o.valid = op_req;
Timothy Chen1a162112021-06-30 18:35:59 -0700259 assign cdi_sel_o = advance_sel ? cdi_cnt : op_cdi_sel_i;
Timothy Chen70151fe2021-07-08 12:59:21 -0700260
261 for (genvar i = 0; i < Shares; i++) begin : gen_key_out_assign
262 assign key_o.key[i] = stage_sel_o == Disable ?
263 {EntropyRounds{entropy_i[i]}} :
Timothy Chenf433eea2021-07-21 10:53:46 -0700264 key_state_q[cdi_sel_o][i];
Timothy Chen70151fe2021-07-08 12:59:21 -0700265 end
266
Timothy Chen15adeee2020-09-09 15:44:35 -0700267
268 // key state is intentionally not reset
269 always_ff @(posedge clk_i) begin
270 key_state_q <= key_state_d;
271 end
272
Timothy Chen65e16672020-12-05 09:17:14 -0800273 // root key valid sync
274 logic root_key_valid_q;
275
276 prim_flop_2sync # (
277 .Width(1)
278 ) u_key_valid_sync (
279 .clk_i,
280 .rst_ni,
281 .d_i(root_key_i.valid),
282 .q_o(root_key_valid_q)
283 );
284
Timothy Chenf433eea2021-07-21 10:53:46 -0700285 // Do not let the count toggle unless an advance operation is
286 // selected
287 assign cdi_cnt = op_req ? cnt[CdiWidth-1:0] : '0;
Timothy Chen38033242021-06-29 10:06:12 -0700288
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800289 always_comb begin
290 key_state_d = key_state_q;
291 data_valid_o = 1'b0;
292 wipe_key_o = 1'b0;
293
Timothy Chen38033242021-06-29 10:06:12 -0700294 // if a wipe request arrives, immediately destroy the
295 // keys regardless of current state
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800296 unique case (update_sel)
297 KeyUpdateRandom: begin
Timothy Chen1a162112021-06-30 18:35:59 -0700298 for (int i = 0; i < CDIs; i++) begin
Timothy Chen38033242021-06-29 10:06:12 -0700299 for (int j = 0; j < Shares; j++) begin
300 key_state_d[i][j][cnt[EntropyRndWidth-1:0]] = entropy_i[j];
301 end
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800302 end
303 end
304
305 KeyUpdateRoot: begin
306 if (root_key_valid_q) begin
Timothy Chen1a162112021-06-30 18:35:59 -0700307 for (int i = 0; i < CDIs; i++) begin
Timothy Chen5d06aac2021-08-09 17:08:24 -0700308 if (KmacEnMasking) begin : gen_two_share_key
309 key_state_d[i][0] = root_key_i.key_share0;
310 key_state_d[i][1] = root_key_i.key_share1;
311 end else begin : gen_one_share_key
312 key_state_d[i][0] = root_key_i.key_share0 ^ root_key_i.key_share1;
313 key_state_d[i][1] = '0;
314 end
Timothy Chen38033242021-06-29 10:06:12 -0700315 end
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800316 end
317 end
318
319 KeyUpdateKmac: begin
Timothy Chen8e374342021-08-25 20:55:31 -0700320 data_valid_o = gen_op;
321 key_state_d[cdi_sel_o] = (adv_op || dis_op) ? kmac_data_i : key_state_q[cdi_sel_o];
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800322 end
323
324 KeyUpdateWipe: begin
325 wipe_key_o = 1'b1;
Timothy Chen1a162112021-06-30 18:35:59 -0700326 for (int i = 0; i < CDIs; i++) begin
Timothy Chen38033242021-06-29 10:06:12 -0700327 for (int j = 0; j < Shares; j++) begin
328 key_state_d[i][j] = {EntropyRounds{entropy_i[j]}};
329 end
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800330 end
331 end
332
333 default:;
334 endcase // unique case (update_sel)
335 end
336
Timothy Cheneb7bf612021-08-03 16:17:09 -0700337 keymgr_cnt #(
338 .Width(CntWidth),
339 .CntStyle(DupCnt)
340 ) u_cnt (
341 .clk_i,
342 .rst_ni,
343 .clr_i(op_ack | random_ack),
344 .set_i('0),
345 .set_cnt_i('0),
Timothy Chen8e374342021-08-25 20:55:31 -0700346 .en_i(op_update | random_req),
Timothy Cheneb7bf612021-08-03 16:17:09 -0700347 .cnt_o(cnt),
348 .err_o(cnt_err)
349 );
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800350
Timothy Chen9e365452020-12-07 19:04:00 -0800351 // TODO: Create a no select option, do not leave this as binary
352 assign hw_sel_o = gen_out_hw_sel ? HwKey : SwKey;
353
Timothy Chen71779e12021-01-06 12:09:57 -0800354
Timothy Chen38033242021-06-29 10:06:12 -0700355 // when in a state that accepts commands, look at op_ack for completion
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800356 // when in a state that does not accept commands, wait for other triggers.
Timothy Chen38033242021-06-29 10:06:12 -0700357 assign op_done_o = op_req ? op_ack :
358 (init_o | invalid_op);
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800359
360
Timothy Chen8e374342021-08-25 20:55:31 -0700361 // There are 3 possibilities
362 // advance to next state (software command)
363 // advance to disabled state (software command)
364 // advance to invalid state (detected fault)
365 logic adv_state;
366 logic dis_state;
367 logic inv_state;
Timothy Chen28bbd322021-09-01 00:06:10 -0700368 assign adv_state = op_ack & adv_req & ~op_err;
Timothy Chen8e374342021-08-25 20:55:31 -0700369 assign dis_state = op_ack & dis_req;
Timothy Chend02fba72021-09-01 22:49:21 -0700370 assign inv_state = op_ack & op_fault_err;
Timothy Chen38033242021-06-29 10:06:12 -0700371
Timothy Chen15adeee2020-09-09 15:44:35 -0700372 always_comb begin
373 // persistent data
374 state_d = state_q;
Timothy Chen15adeee2020-09-09 15:44:35 -0700375
Timothy Chen38033242021-06-29 10:06:12 -0700376 // request to op handling
377 op_req = 1'b0;
378 random_req = 1'b0;
Timothy Chen8e374342021-08-25 20:55:31 -0700379 random_ack = 1'b0;
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800380
Timothy Chen38033242021-06-29 10:06:12 -0700381 // request to key updates
382 wipe_req = 1'b0;
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800383
384 // invalid operation issued
Timothy Chen15adeee2020-09-09 15:44:35 -0700385 invalid_op = 1'b0;
386
387 // data update and select signals
Timothy Chen15adeee2020-09-09 15:44:35 -0700388 stage_sel_o = Disable;
389
Timothy Chen8e374342021-08-25 20:55:31 -0700390 // indication that state is disabled
391 disabled = 1'b0;
392
Timothy Chen15adeee2020-09-09 15:44:35 -0700393 // enable prng toggling
Timothy Chend5820b02020-12-05 17:19:06 -0800394 prng_reseed_req_o = 1'b0;
Timothy Chen8e374342021-08-25 20:55:31 -0700395 prng_en = 1'b0;
Timothy Chen15adeee2020-09-09 15:44:35 -0700396
Timothy Chen0a120942020-12-14 17:20:51 -0800397 // initialization complete
398 init_o = 1'b0;
399
Timothy Chen80fb8f42021-08-02 14:36:28 -0700400 // if state is ever faulted, hold on to this indication
401 // until reset.
402 state_intg_err_d = state_intg_err_q;
403
Timothy Chen15adeee2020-09-09 15:44:35 -0700404 unique case (state_q)
Timothy Chen9e365452020-12-07 19:04:00 -0800405 // Only advance can be called from reset state
406 StCtrlReset: begin
Timothy Chen15adeee2020-09-09 15:44:35 -0700407 // in reset state, don't enable entropy yet, since there are no users.
Timothy Chen8e374342021-08-25 20:55:31 -0700408 prng_en = 1'b0;
Timothy Chen15adeee2020-09-09 15:44:35 -0700409
Timothy Chen9e365452020-12-07 19:04:00 -0800410 // always use random data for advance, since out of reset state
411 // the key state will be randomized.
412 stage_sel_o = Disable;
413
414 // key state is updated when it is an advance call
415 // all other operations are invalid, including disable
416 if (advance_sel) begin
417 state_d = StCtrlEntropyReseed;
418 end else if (op_start_i) begin
Timothy Chen9e365452020-12-07 19:04:00 -0800419 invalid_op = 1'b1;
Timothy Chen1d05bd62020-10-07 18:42:07 -0700420 end
Timothy Chen15adeee2020-09-09 15:44:35 -0700421 end
422
Timothy Chend5820b02020-12-05 17:19:06 -0800423 // reseed entropy
Timothy Chen9e365452020-12-07 19:04:00 -0800424 StCtrlEntropyReseed: begin
Timothy Chend5820b02020-12-05 17:19:06 -0800425 prng_reseed_req_o = 1'b1;
Timothy Chen84b7d1b2021-08-12 16:42:45 -0700426
Timothy Chend5820b02020-12-05 17:19:06 -0800427 if (prng_reseed_ack_i) begin
Timothy Chen8e374342021-08-25 20:55:31 -0700428 state_d = StCtrlRandom;
Timothy Chend5820b02020-12-05 17:19:06 -0800429 end
430 end
431
Timothy Chen1d05bd62020-10-07 18:42:07 -0700432 // This state does not accept any command.
Timothy Chen9e365452020-12-07 19:04:00 -0800433 StCtrlRandom: begin
Timothy Chen8e374342021-08-25 20:55:31 -0700434 prng_en = 1'b1;
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800435
Timothy Chen8e374342021-08-25 20:55:31 -0700436 if (cnt < EntropyRounds-1) begin
437 random_req = 1'b1;
438 end
439 // when mask population is complete, xor the root_key into the zero share
440 // if in the future the root key is updated to 2 shares, it will direclty overwrite
441 // the values here
442 else begin
443 random_ack = 1'b1;
444 state_d = StCtrlRootKey;
Timothy Chen15adeee2020-09-09 15:44:35 -0700445 end
446 end
447
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800448 // load the root key.
449 StCtrlRootKey: begin
Timothy Chend02fba72021-09-01 22:49:21 -0700450 // we cannot directly use inv_state here for 2 reasons
451 // - inv_state is sync'd to the completion of a real kmac operation,
452 // which is not the case here.
453 // - using inv_state would cause a combo loop between init_o and inv_state.
454 init_o = en_i & ~|async_fault;
455 state_d = !init_o ? StCtrlWipe : StCtrlInit;
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800456 end
457
Timothy Chen15adeee2020-09-09 15:44:35 -0700458 // Beginning from the Init state, operations are accepted.
459 // Only valid operation is advance state. If invalid command received,
460 // random data is selected for operation and no persistent state is changed.
Timothy Chen9e365452020-12-07 19:04:00 -0800461 StCtrlInit: begin
Timothy Chen38033242021-06-29 10:06:12 -0700462 op_req = op_start_i;
Timothy Chen15adeee2020-09-09 15:44:35 -0700463
Timothy Chen68c311a2020-12-04 12:31:17 -0800464 // when advancing select creator data, otherwise use random input
465 stage_sel_o = advance_sel ? Creator : Disable;
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800466 invalid_op = op_start_i & ~(advance_sel | disable_sel);
Timothy Chen68c311a2020-12-04 12:31:17 -0800467
Timothy Chen8e374342021-08-25 20:55:31 -0700468 if (!en_i || inv_state) begin
Timothy Chen9e365452020-12-07 19:04:00 -0800469 state_d = StCtrlWipe;
Timothy Chen8e374342021-08-25 20:55:31 -0700470 end else if (dis_state) begin
Timothy Chen9e365452020-12-07 19:04:00 -0800471 state_d = StCtrlDisabled;
Timothy Chen8e374342021-08-25 20:55:31 -0700472 end else if (adv_state) begin
Timothy Chen9e365452020-12-07 19:04:00 -0800473 state_d = StCtrlCreatorRootKey;
Timothy Chen15adeee2020-09-09 15:44:35 -0700474 end
475 end
476
Timothy Chen38033242021-06-29 10:06:12 -0700477 // all commands are valid during this stage
Timothy Chen9e365452020-12-07 19:04:00 -0800478 StCtrlCreatorRootKey: begin
Timothy Chen38033242021-06-29 10:06:12 -0700479 op_req = op_start_i;
Timothy Chen15adeee2020-09-09 15:44:35 -0700480
481 // when generating, select creator data input
482 // when advancing, select owner intermediate key as target
483 // when disabling, select random data input
Timothy Chen6d99c342020-11-13 12:02:42 -0800484 stage_sel_o = disable_sel ? Disable :
485 advance_sel ? OwnerInt : Creator;
Timothy Chen15adeee2020-09-09 15:44:35 -0700486
Timothy Chen8e374342021-08-25 20:55:31 -0700487 if (!en_i || inv_state) begin
Timothy Chen9e365452020-12-07 19:04:00 -0800488 state_d = StCtrlWipe;
Timothy Chen8e374342021-08-25 20:55:31 -0700489 end else if (dis_state) begin
Timothy Chen9e365452020-12-07 19:04:00 -0800490 state_d = StCtrlDisabled;
Timothy Chen8e374342021-08-25 20:55:31 -0700491 end else if (adv_state) begin
Timothy Chen9e365452020-12-07 19:04:00 -0800492 state_d = StCtrlOwnerIntKey;
Timothy Chen15adeee2020-09-09 15:44:35 -0700493 end
494 end
495
Timothy Chen15adeee2020-09-09 15:44:35 -0700496 // all commands are valid during this stage
Timothy Chen9e365452020-12-07 19:04:00 -0800497 StCtrlOwnerIntKey: begin
Timothy Chen38033242021-06-29 10:06:12 -0700498 op_req = op_start_i;
Timothy Chen15adeee2020-09-09 15:44:35 -0700499
Timothy Chen6d99c342020-11-13 12:02:42 -0800500 // when generating, select owner intermediate data input
501 // when advancing, select owner as target
Timothy Chen15adeee2020-09-09 15:44:35 -0700502 // when disabling, select random data input
Timothy Chen6d99c342020-11-13 12:02:42 -0800503 stage_sel_o = disable_sel ? Disable :
504 advance_sel ? Owner : OwnerInt;
Timothy Chen15adeee2020-09-09 15:44:35 -0700505
Timothy Chen8e374342021-08-25 20:55:31 -0700506 if (!en_i || inv_state) begin
Timothy Chen9e365452020-12-07 19:04:00 -0800507 state_d = StCtrlWipe;
Timothy Chen8e374342021-08-25 20:55:31 -0700508 end else if (dis_state) begin
Timothy Chen9e365452020-12-07 19:04:00 -0800509 state_d = StCtrlDisabled;
Timothy Chen8e374342021-08-25 20:55:31 -0700510 end else if (adv_state) begin
Timothy Chen9e365452020-12-07 19:04:00 -0800511 state_d = StCtrlOwnerKey;
Timothy Chen15adeee2020-09-09 15:44:35 -0700512 end
513 end
514
515 // all commands are valid during this stage
516 // however advance goes directly to disabled state
Timothy Chen9e365452020-12-07 19:04:00 -0800517 StCtrlOwnerKey: begin
Timothy Chen38033242021-06-29 10:06:12 -0700518 op_req = op_start_i;
Timothy Chen15adeee2020-09-09 15:44:35 -0700519
Timothy Chen6d99c342020-11-13 12:02:42 -0800520 // when generating, select owner data input
521 // when advancing, select disable as target
522 // when disabling, select random data input
Timothy Chen68c311a2020-12-04 12:31:17 -0800523 stage_sel_o = disable_sel | advance_sel ? Disable : Owner;
Timothy Chen15adeee2020-09-09 15:44:35 -0700524
Timothy Chen8e374342021-08-25 20:55:31 -0700525 if (!en_i || inv_state) begin
Timothy Chen9e365452020-12-07 19:04:00 -0800526 state_d = StCtrlWipe;
Timothy Chen8e374342021-08-25 20:55:31 -0700527 end else if (adv_state || dis_state) begin
Timothy Chen9e365452020-12-07 19:04:00 -0800528 state_d = StCtrlDisabled;
Timothy Chen15adeee2020-09-09 15:44:35 -0700529 end
530 end
531
Timothy Chen6d99c342020-11-13 12:02:42 -0800532 // The wipe state immediately clears out the key state, but waits for any ongoing
533 // transaction to finish before going to disabled state.
534 // Unlike the random state, this is an immedaite shutdown request, so all parts of the
535 // key are wiped.
Timothy Chen9e365452020-12-07 19:04:00 -0800536 StCtrlWipe: begin
Timothy Chen38033242021-06-29 10:06:12 -0700537 wipe_req = 1'b1;
Timothy Chen8e374342021-08-25 20:55:31 -0700538 // if there was already an operation ongoing, maintain the request until completion
539 op_req = op_busy;
Timothy Chen38033242021-06-29 10:06:12 -0700540 invalid_op = op_start_i;
Timothy Chen6d99c342020-11-13 12:02:42 -0800541
542 // If the enable is dropped during the middle of a transaction, we clear and wait for that
543 // transaction to gracefully complete (if it can).
544 // There are two scenarios:
545 // 1. the operation completed right when we started wiping, in which case the done would
546 // clear the start.
547 // 2. the operation completed before we started wiping, or there was never an operation to
548 // begin with (op_start_i == 0), in this case, don't wait and immediately transition
549 if (!op_start_i) begin
Timothy Chen71779e12021-01-06 12:09:57 -0800550 state_d = StCtrlInvalid;
Timothy Chen6d99c342020-11-13 12:02:42 -0800551 end
552 end
553
Timothy Chen8e374342021-08-25 20:55:31 -0700554 // StCtrlDisabled and StCtrlInvalid are almost functionally equivalent
555 // The only difference is that Disabled is entered through software invocation,
556 // while Invalid is entered through life cycle disable or operational fault.
557 //
558 // Both states continue to kick off random transactions
Timothy Chen38033242021-06-29 10:06:12 -0700559 // All transactions are treated as invalid despite completing
Timothy Chen8e374342021-08-25 20:55:31 -0700560 StCtrlDisabled: begin
Timothy Chen38033242021-06-29 10:06:12 -0700561 op_req = op_start_i;
Timothy Chen8e374342021-08-25 20:55:31 -0700562 disabled = 1'b1;
563
564 if (!en_i || inv_state) begin
565 state_d = StCtrlWipe;
566 end
567 end
568
569 StCtrlInvalid: begin
570 op_req = op_start_i;
Timothy Chen38033242021-06-29 10:06:12 -0700571 disabled = 1'b1;
Timothy Chen15adeee2020-09-09 15:44:35 -0700572 end
Timothy Chen80fb8f42021-08-02 14:36:28 -0700573
574 // latch the fault indication and start to wipe the key manager
575 default: begin
576 state_intg_err_d = 1'b1;
577 state_d = StCtrlWipe;
578 end
579
Timothy Chen15adeee2020-09-09 15:44:35 -0700580 endcase // unique case (state_q)
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800581 end // always_comb
Timothy Chen15adeee2020-09-09 15:44:35 -0700582
583 // Current working state provided for software read
Timothy Chen9e365452020-12-07 19:04:00 -0800584 // Certain states are collapsed for simplicity
Timothy Chen8e374342021-08-25 20:55:31 -0700585 keymgr_working_state_e last_working_st;
586 logic state_update;
587
588 always_ff @(posedge clk_i or negedge rst_ni) begin
589 if (!rst_ni) begin
590 last_working_st <= StReset;
591 end else if (state_update) begin
592 last_working_st <= working_state_o;
593 end
594 end
595
Timothy Chen9e365452020-12-07 19:04:00 -0800596 always_comb begin
Timothy Chen8e374342021-08-25 20:55:31 -0700597 state_update = 1'b1;
Timothy Chen71779e12021-01-06 12:09:57 -0800598 working_state_o = StInvalid;
Timothy Chen9e365452020-12-07 19:04:00 -0800599
600 unique case (state_q)
Timothy Chenbe2da9e2021-01-07 18:29:01 -0800601 StCtrlReset, StCtrlEntropyReseed, StCtrlRandom, StCtrlRootKey:
Timothy Chen9e365452020-12-07 19:04:00 -0800602 working_state_o = StReset;
603
604 StCtrlInit:
605 working_state_o = StInit;
606
607 StCtrlCreatorRootKey:
608 working_state_o = StCreatorRootKey;
609
610 StCtrlOwnerIntKey:
611 working_state_o = StOwnerIntKey;
612
613 StCtrlOwnerKey:
614 working_state_o = StOwnerKey;
615
Timothy Chen71779e12021-01-06 12:09:57 -0800616 StCtrlDisabled:
Timothy Chen9e365452020-12-07 19:04:00 -0800617 working_state_o = StDisabled;
618
Timothy Chen8e374342021-08-25 20:55:31 -0700619 StCtrlWipe: begin
620 state_update = 1'b0;
621 working_state_o = last_working_st;
622 end
623
624 StCtrlInvalid:
Timothy Chen71779e12021-01-06 12:09:57 -0800625 working_state_o = StInvalid;
626
Timothy Chen9e365452020-12-07 19:04:00 -0800627 default:
Timothy Chen71779e12021-01-06 12:09:57 -0800628 working_state_o = StInvalid;
Timothy Chen9e365452020-12-07 19:04:00 -0800629 endcase // unique case (state_q)
630 end
Timothy Chen15adeee2020-09-09 15:44:35 -0700631
Timothy Chen8e374342021-08-25 20:55:31 -0700632
633 /////////////////////////
634 // Operateion state, handle advance and generate
635 /////////////////////////
636
Timothy Chen38033242021-06-29 10:06:12 -0700637 always_ff @(posedge clk_i or negedge rst_ni) begin
638 if (!rst_ni) begin
Timothy Chen8e374342021-08-25 20:55:31 -0700639 op_state_q <= StIdle;
Timothy Cheneb7bf612021-08-03 16:17:09 -0700640 end else begin
Timothy Chen8e374342021-08-25 20:55:31 -0700641 op_state_q <= op_state_d;
Timothy Chen38033242021-06-29 10:06:12 -0700642 end
643 end
644
645 always_comb begin
646 op_state_d = op_state_q;
Timothy Chen38033242021-06-29 10:06:12 -0700647 op_update = 1'b0;
648 op_ack = 1'b0;
Timothy Chen8e374342021-08-25 20:55:31 -0700649 op_busy = 1'b1;
Timothy Chen38033242021-06-29 10:06:12 -0700650
651 // output to kmac interface
652 adv_en_o = 1'b0;
653 id_en_o = 1'b0;
654 gen_en_o = 1'b0;
655
Timothy Chen38033242021-06-29 10:06:12 -0700656 unique case (op_state_q)
657 StIdle: begin
Timothy Chen8e374342021-08-25 20:55:31 -0700658 op_busy = '0;
659 if (adv_req || dis_req) begin
Timothy Chen38033242021-06-29 10:06:12 -0700660 op_state_d = StAdv;
661 end else if (id_req || gen_req) begin
662 op_state_d = StWait;
663 end
664 end
665
Timothy Chen38033242021-06-29 10:06:12 -0700666 StAdv: begin
667 adv_en_o = 1'b1;
668
Timothy Chen1a162112021-06-30 18:35:59 -0700669 if (kmac_done_i && (cdi_cnt == CDIs-1)) begin
Timothy Chen38033242021-06-29 10:06:12 -0700670 op_ack = 1'b1;
671 op_state_d = StIdle;
Timothy Chen1a162112021-06-30 18:35:59 -0700672 end else if (kmac_done_i && (cdi_cnt < CDIs-1)) begin
Timothy Chen38033242021-06-29 10:06:12 -0700673 op_update = 1'b1;
674 op_state_d = StAdvAck;
675 end
Timothy Chen38033242021-06-29 10:06:12 -0700676 end
677
678 // drop adv_en_o to allow kmac interface handshake
679 StAdvAck: begin
680 op_state_d = StAdv;
681 end
682
683 // Not an advanced operation
684 StWait: begin
Timothy Chen8e374342021-08-25 20:55:31 -0700685 id_en_o = gen_id_op;
686 gen_en_o = gen_sw_op | gen_hw_op;
Timothy Chen38033242021-06-29 10:06:12 -0700687
688 if (kmac_done_i) begin
689 op_ack = 1'b1;
690 op_state_d = StIdle;
691 end
Timothy Chen38033242021-06-29 10:06:12 -0700692 end
693
694 // What should go here?
695 default:;
696
697 endcase // unique case (adv_state_q)
698 end
699
Timothy Chen8e374342021-08-25 20:55:31 -0700700 // operations fsm update precedence
701 // when in disabled state, always update.
Timothy Chend02fba72021-09-01 22:49:21 -0700702 assign op_update_sel = (op_ack | op_update) & disabled ? KeyUpdateKmac :
703 (op_ack | op_update) & op_fault_err ? KeyUpdateWipe :
704 (op_ack | op_update) & op_err ? KeyUpdateIdle :
705 (op_ack | op_update) ? KeyUpdateKmac : KeyUpdateIdle;
Timothy Chen38033242021-06-29 10:06:12 -0700706
Timothy Chen80fb8f42021-08-02 14:36:28 -0700707
Timothy Chen28bbd322021-09-01 00:06:10 -0700708 // Advance calls are made up of multiple rounds of kmac operations.
709 // Any sync error that occurs is treated as an error of the entire call.
710 // Therefore sync errors that happen before the end of the call must be
711 // latched.
712 logic[SyncErrLastIdx-1:0] sync_err_q, sync_err_d;
713 logic[SyncFaultLastIdx-1:0] sync_fault_q, sync_fault_d;
714
715 logic err_vld;
716 assign err_vld = op_update | op_done_o;
717
718 // sync errors
719 // When an operation encounters a fault, the operation is always rejected as the FSM
Timothy Chend02fba72021-09-01 22:49:21 -0700720 // transitions to wipe. When an operation is ongoing and en drops, it is also rejected.
Timothy Chen28bbd322021-09-01 00:06:10 -0700721 assign sync_err_d[SyncErrInvalidOp] = err_vld & (invalid_op | disabled | op_fault_err);
722 assign sync_err_d[SyncErrInvalidIn] = err_vld & kmac_input_invalid_i;
723 always_ff @(posedge clk_i or negedge rst_ni) begin
724 if (!rst_ni) begin
725 sync_err_q <= '0;
726 end else if (op_done_o) begin
727 sync_err_q <= '0;
728 end else if (op_update) begin
729 sync_err_q <= sync_err_d;
730 end
731 end
732 assign sync_err = sync_err_q | sync_err_d;
733
734 // async errors
735 assign async_err[AsyncErrShadowUpdate] = shadowed_update_err_i;
736
737 // sync faults
738 assign sync_fault_d[SyncFaultKmacOp] = err_vld & kmac_op_err_i;
739 assign sync_fault_d[SyncFaultKmacOut] = err_vld & invalid_kmac_out;
740 always_ff @(posedge clk_i or negedge rst_ni) begin
741 if (!rst_ni) begin
742 sync_fault_q <= '0;
743 end else if (op_update) begin
744 sync_fault_q <= sync_fault_d;
745 end
746 end
747 assign sync_fault = sync_fault_q | sync_fault_d;
748
749 // async faults
750 assign async_fault[AsyncFaultKmacCmd] = kmac_cmd_err_i;
751 assign async_fault[AsyncFaultKmacFsm] = kmac_fsm_err_i;
752 assign async_fault[AsyncFaultRegIntg] = regfile_intg_err_i;
753 assign async_fault[AsyncFaultShadow ] = shadowed_storage_err_i;
754 assign async_fault[AsyncFaultFsmIntg] = state_intg_err_q;
755 assign async_fault[AsyncFaultCntErr ] = cnt_err;
756
757 // output to error code register
758 assign error_o[ErrInvalidOp] = op_done_o & sync_err[SyncErrInvalidOp];
759 assign error_o[ErrInvalidIn] = op_done_o & sync_err[SyncErrInvalidIn];
760 assign error_o[ErrShadowUpdate] = async_err[AsyncErrShadowUpdate];
761
762 // output to fault code register
763 assign fault_o[FaultKmacOp] = op_done_o & sync_fault[SyncFaultKmacOp];
764 assign fault_o[FaultKmacOut] = op_done_o & sync_fault[SyncFaultKmacOut];
765 assign fault_o[FaultKmacCmd] = async_fault[AsyncFaultKmacCmd];
766 assign fault_o[FaultKmacFsm] = async_fault[AsyncFaultKmacFsm];
767 assign fault_o[FaultRegIntg] = async_fault[AsyncFaultRegIntg];
768 assign fault_o[FaultShadow] = async_fault[AsyncFaultShadow];
769 assign fault_o[FaultCtrlFsm] = async_fault[AsyncFaultFsmIntg];
770 assign fault_o[FaultCtrlCnt] = async_fault[AsyncFaultCntErr];
Timothy Chen15adeee2020-09-09 15:44:35 -0700771
772 always_comb begin
773 status_o = OpIdle;
774 if (op_done_o) begin
Timothy Chen28bbd322021-09-01 00:06:10 -0700775 status_o = |error_o | |fault_o ? OpDoneFail : OpDoneSuccess;
Timothy Chen15adeee2020-09-09 15:44:35 -0700776 end else if (op_start_i) begin
777 status_o = OpWip;
778 end
779 end
780
781
Timothy Chen8cf1a3a2020-12-08 19:05:29 -0800782 ///////////////////////////////
783 // Suppress kmac return data
784 ///////////////////////////////
785 // This is a separate data path from the FSM used to control the data_en_o output
786
787 typedef enum logic [1:0] {
788 StCtrlDataIdle,
789 StCtrlDataEn,
790 StCtrlDataDis,
791 StCtrlDataWait
792 } keymgr_ctrl_data_state_e;
793
794 keymgr_ctrl_data_state_e data_st_d, data_st_q;
795
796 always_ff @(posedge clk_i or negedge rst_ni) begin
797 if (!rst_ni) begin
798 data_st_q <= StCtrlDataIdle;
799 end else begin
800 data_st_q <= data_st_d;
801 end
802 end
803
804 // The below control path is used for modulating the datapath to sideload and sw keys.
805 // This path is separate from the data_valid_o path, thus creating two separate attack points.
806 // The data is only enabled when a non-advance operation is invoked.
807 // When an advance operation is called, the data is disabled. It will stay disabled until an
808 // entire completion sequence is seen (op_done_o assert -> start_i de-assertion).
809 // When a generate operation is called, the data is enabled. However, any indication of this
810 // supposedly being an advance call will force the path to disable again.
811 always_comb begin
812 data_st_d = data_st_q;
813 data_en_o = 1'b0;
814 unique case (data_st_q)
815
816 StCtrlDataIdle: begin
817 if (adv_en_o) begin
818 data_st_d = StCtrlDataDis;
819 end else if (id_en_o || gen_en_o) begin
820 data_st_d = StCtrlDataEn;
821 end
822 end
823
824 StCtrlDataEn: begin
825 data_en_o = 1'b1;
Timothy Chen8e374342021-08-25 20:55:31 -0700826 if (op_done_o) begin
827 data_st_d = StCtrlDataWait;
828 end else if (adv_en_o) begin
Timothy Chen8cf1a3a2020-12-08 19:05:29 -0800829 data_st_d = StCtrlDataDis;
830 end
831 end
832
833 StCtrlDataDis: begin
834 if (op_done_o) begin
835 data_st_d = StCtrlDataWait;
836 end
837 end
838
839 StCtrlDataWait: begin
840 if (!op_start_i) begin
841 data_st_d = StCtrlDataIdle;
842 end
843 end
844
845 default:;
846
847 endcase // unique case (data_st_q)
848 end
849
Timothy Chen15adeee2020-09-09 15:44:35 -0700850 ///////////////////////////////
851 // Functions
852 ///////////////////////////////
853
854 // unclear what this is supposed to be yet
855 // right now just check to see if it not all 0's and not all 1's
Timothy Chenae05e942020-12-21 21:09:57 -0800856 function automatic logic valid_data_chk (logic [KeyWidth-1:0] value);
Timothy Chen15adeee2020-09-09 15:44:35 -0700857
858 return |value & ~&value;
859
860 endfunction // byte_mask
861
Timothy Chenae05e942020-12-21 21:09:57 -0800862 /////////////////////////////////
863 // Assertions
864 /////////////////////////////////
865
866 // stage select should always be Disable whenever it is not enabled
867 `ASSERT(StageDisableSel_A, !en_i |-> stage_sel_o == Disable)
868
869 // Unless it is a legal command, only select disable
870 `ASSERT(InitLegalCommands_A, op_start_i & en_i & state_q inside {StCtrlInit} &
871 !(op_i inside {OpAdvance}) |-> stage_sel_o == Disable)
872
873 // All commands are legal, so select disable only if operation is disable
874 `ASSERT(GeneralLegalCommands_A, op_start_i & en_i &
875 state_q inside {StCtrlCreatorRootKey, StCtrlOwnerIntKey} &
876 (op_i inside {OpDisable}) |-> stage_sel_o == Disable)
877
878 `ASSERT(OwnerLegalCommands_A, op_start_i & en_i & state_q inside {StCtrlOwnerKey} &
879 (op_i inside {OpAdvance, OpDisable}) |-> stage_sel_o == Disable)
880
Timothy Chen77758a62021-01-12 18:45:47 -0800881 // load_key should not be high if there is no ongoing operation
Timothy Chen38033242021-06-29 10:06:12 -0700882 `ASSERT(LoadKey_A, key_o.valid |-> op_start_i)
883
884 // The count value should always be 0 when a transaction start
885 `ASSERT(CntZero_A, $rose(op_start_i) |-> cnt == '0)
Timothy Chen77758a62021-01-12 18:45:47 -0800886
Timothy Chen8e374342021-08-25 20:55:31 -0700887 // Whenever a transaction completes, data_en must return to 0 on the next cycle
888 `ASSERT(DataEnDis_A, op_start_i & op_done_o |=> ~data_en_o)
889
890 // Whenever data enable asserts, it must be the case that there was a generate or
891 // id operation
892 `ASSERT(DataEn_A, data_en_o |-> (id_en_o | gen_en_o) & ~adv_en_o)
893
Timothy Chen15adeee2020-09-09 15:44:35 -0700894endmodule