Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 1 | // Copyright lowRISC contributors. |
| 2 | // Licensed under the Apache License, Version 2.0, see LICENSE for details. |
| 3 | // SPDX-License-Identifier: Apache-2.0 |
| 4 | // |
| 5 | // Key manager top level |
| 6 | // |
| 7 | |
| 8 | `include "prim_assert.sv" |
| 9 | |
Timothy Chen | 5d06aac | 2021-08-09 17:08:24 -0700 | [diff] [blame] | 10 | module keymgr_ctrl import keymgr_pkg::*; #( |
| 11 | parameter bit KmacEnMasking = 1'b1 |
| 12 | ) ( |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 13 | input clk_i, |
| 14 | input rst_ni, |
| 15 | |
| 16 | // lifecycle enforcement |
Timothy Chen | 6d99c34 | 2020-11-13 12:02:42 -0800 | [diff] [blame] | 17 | input en_i, |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 18 | |
Timothy Chen | 80fb8f4 | 2021-08-02 14:36:28 -0700 | [diff] [blame] | 19 | // faults that can occur outside of operations |
| 20 | input regfile_intg_err_i, |
Timothy Chen | 4fb25fc | 2021-08-19 18:15:08 -0700 | [diff] [blame] | 21 | input shadowed_update_err_i, |
| 22 | input shadowed_storage_err_i, |
Timothy Chen | 80fb8f4 | 2021-08-02 14:36:28 -0700 | [diff] [blame] | 23 | |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 24 | // Software interface |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 25 | input op_start_i, |
Timothy Chen | 1d05bd6 | 2020-10-07 18:42:07 -0700 | [diff] [blame] | 26 | input keymgr_ops_e op_i, |
Timothy Chen | 1a16211 | 2021-06-30 18:35:59 -0700 | [diff] [blame] | 27 | input [CdiWidth-1:0] op_cdi_sel_i, |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 28 | output logic op_done_o, |
| 29 | output keymgr_op_status_e status_o, |
| 30 | output logic [ErrLastPos-1:0] error_o, |
Timothy Chen | 80fb8f4 | 2021-08-02 14:36:28 -0700 | [diff] [blame] | 31 | output logic [FaultLastPos-1:0] fault_o, |
Timothy Chen | 8cf1a3a | 2020-12-08 19:05:29 -0800 | [diff] [blame] | 32 | output logic data_en_o, |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 33 | output logic data_valid_o, |
Timothy Chen | 6d99c34 | 2020-11-13 12:02:42 -0800 | [diff] [blame] | 34 | output logic wipe_key_o, |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 35 | output keymgr_working_state_e working_state_o, |
Timothy Chen | 12ca862 | 2020-12-08 12:29:44 -0800 | [diff] [blame] | 36 | output logic sw_binding_unlock_o, |
Timothy Chen | 0a12094 | 2020-12-14 17:20:51 -0800 | [diff] [blame] | 37 | output logic init_o, |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 38 | input fault_i, |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 39 | |
| 40 | // Data input |
Timothy Chen | 65e1667 | 2020-12-05 09:17:14 -0800 | [diff] [blame] | 41 | input otp_ctrl_pkg::otp_keymgr_key_t root_key_i, |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 42 | output keymgr_gen_out_e hw_sel_o, |
| 43 | output keymgr_stage_e stage_sel_o, |
Timothy Chen | 1a16211 | 2021-06-30 18:35:59 -0700 | [diff] [blame] | 44 | output logic [CdiWidth-1:0] cdi_sel_o, |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 45 | |
| 46 | // KMAC ctrl interface |
| 47 | output logic adv_en_o, |
| 48 | output logic id_en_o, |
| 49 | output logic gen_en_o, |
Timothy Chen | 8cf1a3a | 2020-12-08 19:05:29 -0800 | [diff] [blame] | 50 | output hw_key_req_t key_o, |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 51 | input kmac_done_i, |
| 52 | input kmac_input_invalid_i, // asserted when selected data fails criteria check |
| 53 | input kmac_fsm_err_i, // asserted when kmac fsm reaches unexpected state |
Timothy Chen | eaa3f2b | 2020-10-27 17:10:15 -0700 | [diff] [blame] | 54 | input kmac_op_err_i, // asserted when kmac itself reports an error |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 55 | input kmac_cmd_err_i, // asserted when more than one command given to kmac |
Timothy Chen | d5820b0 | 2020-12-05 17:19:06 -0800 | [diff] [blame] | 56 | input [Shares-1:0][KeyWidth-1:0] kmac_data_i, |
| 57 | |
| 58 | // prng control interface |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 59 | input [Shares-1:0][RandWidth-1:0] entropy_i, |
Timothy Chen | d5820b0 | 2020-12-05 17:19:06 -0800 | [diff] [blame] | 60 | input prng_reseed_ack_i, |
| 61 | output logic prng_reseed_req_o, |
| 62 | output logic prng_en_o |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 63 | ); |
Timothy Chen | d5820b0 | 2020-12-05 17:19:06 -0800 | [diff] [blame] | 64 | |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 65 | localparam int EntropyWidth = LfsrWidth / 2; |
| 66 | localparam int EntropyRounds = KeyWidth / EntropyWidth; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 67 | localparam int EntropyRndWidth = prim_util_pkg::vbits(EntropyRounds); |
Timothy Chen | 1a16211 | 2021-06-30 18:35:59 -0700 | [diff] [blame] | 68 | localparam int CntWidth = EntropyRounds > CDIs ? EntropyRndWidth : CdiWidth; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 69 | |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 70 | // Enumeration for working state |
Timothy Chen | 80fb8f4 | 2021-08-02 14:36:28 -0700 | [diff] [blame] | 71 | // Encoding generated with: |
| 72 | // $ ./util/design/sparse-fsm-encode.py -d 5 -m 11 -n 10 \ |
| 73 | // -s 4101887575 --language=sv |
| 74 | // |
| 75 | // Hamming distance histogram: |
| 76 | // |
| 77 | // 0: -- |
| 78 | // 1: -- |
| 79 | // 2: -- |
| 80 | // 3: -- |
| 81 | // 4: -- |
| 82 | // 5: |||||||||||||||||||| (54.55%) |
| 83 | // 6: |||||||||||||||| (45.45%) |
| 84 | // 7: -- |
| 85 | // 8: -- |
| 86 | // 9: -- |
| 87 | // 10: -- |
| 88 | // |
| 89 | // Minimum Hamming distance: 5 |
| 90 | // Maximum Hamming distance: 6 |
| 91 | // Minimum Hamming weight: 2 |
| 92 | // Maximum Hamming weight: 8 |
| 93 | // |
| 94 | localparam int StateWidth = 10; |
| 95 | typedef enum logic [StateWidth-1:0] { |
| 96 | StCtrlReset = 10'b1101100001, |
| 97 | StCtrlEntropyReseed = 10'b1110010010, |
| 98 | StCtrlRandom = 10'b0011110100, |
| 99 | StCtrlRootKey = 10'b0110101111, |
| 100 | StCtrlInit = 10'b0100000100, |
| 101 | StCtrlCreatorRootKey = 10'b1000011101, |
| 102 | StCtrlOwnerIntKey = 10'b0001001010, |
| 103 | StCtrlOwnerKey = 10'b1101111110, |
| 104 | StCtrlDisabled = 10'b1010101000, |
| 105 | StCtrlWipe = 10'b0000110011, |
| 106 | StCtrlInvalid = 10'b1011000111 |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 107 | } keymgr_ctrl_state_e; |
| 108 | |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 109 | // Enumeration for operation handling |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 110 | typedef enum logic [1:0] { |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 111 | StIdle, |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 112 | StAdv, |
| 113 | StAdvAck, |
| 114 | StWait |
| 115 | } keymgr_op_state_e; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 116 | |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 117 | keymgr_ctrl_state_e state_q, state_d; |
| 118 | keymgr_op_state_e op_state_q, op_state_d; |
| 119 | |
| 120 | // There are two versions of the key state, one for sealing one for attestation |
| 121 | // Among each version, there are multiple shares |
| 122 | // Each share is a fixed multiple of the entropy width |
Timothy Chen | 1a16211 | 2021-06-30 18:35:59 -0700 | [diff] [blame] | 123 | logic [CDIs-1:0][Shares-1:0][EntropyRounds-1:0][EntropyWidth-1:0] key_state_q, key_state_d; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 124 | logic [CntWidth-1:0] cnt; |
Timothy Chen | 1a16211 | 2021-06-30 18:35:59 -0700 | [diff] [blame] | 125 | logic [CdiWidth-1:0] cdi_cnt; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 126 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 127 | // error conditions |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 128 | logic kmac_out_valid; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 129 | logic invalid_op; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 130 | logic cnt_err; |
Timothy Chen | 80fb8f4 | 2021-08-02 14:36:28 -0700 | [diff] [blame] | 131 | // states fall out of sparsely encoded range |
| 132 | logic state_intg_err_q, state_intg_err_d; |
| 133 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 134 | /////////////////////////// |
| 135 | // General operation decode |
| 136 | /////////////////////////// |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 137 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 138 | logic adv_op, dis_op, gen_id_op, gen_sw_op, gen_hw_op, gen_op; |
| 139 | assign adv_op = (op_i == OpAdvance); |
| 140 | assign dis_op = (op_i == OpDisable); |
| 141 | assign gen_id_op = (op_i == OpGenId); |
| 142 | assign gen_sw_op = (op_i == OpGenSwOut); |
| 143 | assign gen_hw_op = (op_i == OpGenHwOut); |
| 144 | assign gen_op = (gen_id_op | gen_sw_op | gen_hw_op); |
| 145 | |
| 146 | /////////////////////////// |
| 147 | // interaction between software and main fsm |
| 148 | /////////////////////////// |
| 149 | // disable is treated like an advanced call |
| 150 | logic advance_sel; |
| 151 | logic disable_sel; |
| 152 | logic gen_out_hw_sel; |
| 153 | |
| 154 | assign advance_sel = op_start_i & adv_op & en_i; |
| 155 | assign gen_out_hw_sel = op_start_i & gen_hw_op & en_i; |
| 156 | |
| 157 | // disable is selected whenever a normal operation is not set |
| 158 | assign disable_sel = (op_start_i & dis_op) | !en_i; |
| 159 | |
| 160 | |
| 161 | /////////////////////////// |
| 162 | // interaction between main control fsm and operation fsm |
| 163 | /////////////////////////// |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 164 | |
| 165 | // req/ack interface with op handling fsm |
| 166 | logic op_req; |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 167 | logic op_ack; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 168 | logic op_update; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 169 | logic op_busy; |
| 170 | logic disabled; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 171 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 172 | logic adv_req, dis_req, id_req, gen_req; |
| 173 | assign adv_req = op_req & adv_op; |
| 174 | assign dis_req = op_req & dis_op; |
| 175 | assign id_req = op_req & gen_id_op; |
| 176 | assign gen_req = op_req & (gen_sw_op | gen_hw_op); |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 177 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 178 | /////////////////////////// |
| 179 | // interaction between operation fsm and software |
| 180 | /////////////////////////// |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 181 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 182 | logic op_err; |
| 183 | logic op_fault_err; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 184 | |
Timothy Chen | 12ca862 | 2020-12-08 12:29:44 -0800 | [diff] [blame] | 185 | // unlock sw binding configuration whenever an advance call is made without errors |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 186 | assign sw_binding_unlock_o = adv_req & op_ack & ~|error_o; |
Timothy Chen | 12ca862 | 2020-12-08 12:29:44 -0800 | [diff] [blame] | 187 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 188 | // error definition |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 189 | // check incoming kmac data validity |
Timothy Chen | 5d06aac | 2021-08-09 17:08:24 -0700 | [diff] [blame] | 190 | assign kmac_out_valid = valid_data_chk(kmac_data_i[0]) & |
| 191 | (~KmacEnMasking | valid_data_chk(kmac_data_i[1])); |
Timothy Chen | 754a527 | 2021-01-06 10:41:08 -0800 | [diff] [blame] | 192 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 193 | assign op_err = error_o[ErrInvalidOp] | |
| 194 | error_o[ErrInvalidIn]; |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 195 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 196 | assign op_fault_err = error_o[ErrInvalidStates]; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 197 | |
Timothy Chen | 80fb8f4 | 2021-08-02 14:36:28 -0700 | [diff] [blame] | 198 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 199 | /////////////////////////// |
| 200 | // key update controls |
| 201 | /////////////////////////// |
| 202 | |
| 203 | // update select can come from both main and operation fsm's |
| 204 | keymgr_key_update_e update_sel, op_update_sel; |
| 205 | |
| 206 | // req from main control fsm to key update controls |
| 207 | logic wipe_req; |
| 208 | logic random_req; |
| 209 | logic random_ack; |
| 210 | |
| 211 | // wipe and initialize take precedence |
| 212 | assign update_sel = wipe_req ? KeyUpdateWipe : |
| 213 | random_req ? KeyUpdateRandom : |
| 214 | init_o ? KeyUpdateRoot : op_update_sel; |
| 215 | |
| 216 | /////////////////////////// |
| 217 | // interaction between main fsm and prng |
| 218 | /////////////////////////// |
| 219 | |
| 220 | logic prng_en; |
| 221 | assign prng_en_o = prng_en | disabled | wipe_req; |
| 222 | |
| 223 | ////////////////////////// |
| 224 | // Main Control FSM |
| 225 | ////////////////////////// |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 226 | |
Timothy Chen | 80fb8f4 | 2021-08-02 14:36:28 -0700 | [diff] [blame] | 227 | logic [StateWidth-1:0] state_raw_q; |
| 228 | assign state_q = keymgr_ctrl_state_e'(state_raw_q); |
| 229 | prim_flop #( |
| 230 | .Width(StateWidth), |
| 231 | .ResetValue(StateWidth'(StCtrlReset)) |
| 232 | ) u_state_regs ( |
| 233 | .clk_i, |
| 234 | .rst_ni, |
| 235 | .d_i ( state_d ), |
| 236 | .q_o ( state_raw_q ) |
| 237 | ); |
| 238 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 239 | always_ff @(posedge clk_i or negedge rst_ni) begin |
| 240 | if (!rst_ni) begin |
| 241 | state_intg_err_q <= '0; |
| 242 | end else begin |
| 243 | state_intg_err_q <= state_intg_err_d; |
| 244 | end |
| 245 | end |
| 246 | |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 247 | // prevents unknowns from reaching the outside world. |
Timothy Chen | 68c311a | 2020-12-04 12:31:17 -0800 | [diff] [blame] | 248 | // - whatever operation causes the input data select to be disabled should not expose the key |
| 249 | // state. |
| 250 | // - when there are no operations, the key state also should be exposed. |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 251 | assign key_o.valid = op_req; |
Timothy Chen | 1a16211 | 2021-06-30 18:35:59 -0700 | [diff] [blame] | 252 | assign cdi_sel_o = advance_sel ? cdi_cnt : op_cdi_sel_i; |
Timothy Chen | 70151fe | 2021-07-08 12:59:21 -0700 | [diff] [blame] | 253 | |
| 254 | for (genvar i = 0; i < Shares; i++) begin : gen_key_out_assign |
| 255 | assign key_o.key[i] = stage_sel_o == Disable ? |
| 256 | {EntropyRounds{entropy_i[i]}} : |
Timothy Chen | f433eea | 2021-07-21 10:53:46 -0700 | [diff] [blame] | 257 | key_state_q[cdi_sel_o][i]; |
Timothy Chen | 70151fe | 2021-07-08 12:59:21 -0700 | [diff] [blame] | 258 | end |
| 259 | |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 260 | |
| 261 | // key state is intentionally not reset |
| 262 | always_ff @(posedge clk_i) begin |
| 263 | key_state_q <= key_state_d; |
| 264 | end |
| 265 | |
Timothy Chen | 65e1667 | 2020-12-05 09:17:14 -0800 | [diff] [blame] | 266 | // root key valid sync |
| 267 | logic root_key_valid_q; |
| 268 | |
| 269 | prim_flop_2sync # ( |
| 270 | .Width(1) |
| 271 | ) u_key_valid_sync ( |
| 272 | .clk_i, |
| 273 | .rst_ni, |
| 274 | .d_i(root_key_i.valid), |
| 275 | .q_o(root_key_valid_q) |
| 276 | ); |
| 277 | |
Timothy Chen | f433eea | 2021-07-21 10:53:46 -0700 | [diff] [blame] | 278 | // Do not let the count toggle unless an advance operation is |
| 279 | // selected |
| 280 | assign cdi_cnt = op_req ? cnt[CdiWidth-1:0] : '0; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 281 | |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 282 | always_comb begin |
| 283 | key_state_d = key_state_q; |
| 284 | data_valid_o = 1'b0; |
| 285 | wipe_key_o = 1'b0; |
| 286 | |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 287 | // if a wipe request arrives, immediately destroy the |
| 288 | // keys regardless of current state |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 289 | unique case (update_sel) |
| 290 | KeyUpdateRandom: begin |
Timothy Chen | 1a16211 | 2021-06-30 18:35:59 -0700 | [diff] [blame] | 291 | for (int i = 0; i < CDIs; i++) begin |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 292 | for (int j = 0; j < Shares; j++) begin |
| 293 | key_state_d[i][j][cnt[EntropyRndWidth-1:0]] = entropy_i[j]; |
| 294 | end |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 295 | end |
| 296 | end |
| 297 | |
| 298 | KeyUpdateRoot: begin |
| 299 | if (root_key_valid_q) begin |
Timothy Chen | 1a16211 | 2021-06-30 18:35:59 -0700 | [diff] [blame] | 300 | for (int i = 0; i < CDIs; i++) begin |
Timothy Chen | 5d06aac | 2021-08-09 17:08:24 -0700 | [diff] [blame] | 301 | if (KmacEnMasking) begin : gen_two_share_key |
| 302 | key_state_d[i][0] = root_key_i.key_share0; |
| 303 | key_state_d[i][1] = root_key_i.key_share1; |
| 304 | end else begin : gen_one_share_key |
| 305 | key_state_d[i][0] = root_key_i.key_share0 ^ root_key_i.key_share1; |
| 306 | key_state_d[i][1] = '0; |
| 307 | end |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 308 | end |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 309 | end |
| 310 | end |
| 311 | |
| 312 | KeyUpdateKmac: begin |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 313 | data_valid_o = gen_op; |
| 314 | key_state_d[cdi_sel_o] = (adv_op || dis_op) ? kmac_data_i : key_state_q[cdi_sel_o]; |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 315 | end |
| 316 | |
| 317 | KeyUpdateWipe: begin |
| 318 | wipe_key_o = 1'b1; |
Timothy Chen | 1a16211 | 2021-06-30 18:35:59 -0700 | [diff] [blame] | 319 | for (int i = 0; i < CDIs; i++) begin |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 320 | for (int j = 0; j < Shares; j++) begin |
| 321 | key_state_d[i][j] = {EntropyRounds{entropy_i[j]}}; |
| 322 | end |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 323 | end |
| 324 | end |
| 325 | |
| 326 | default:; |
| 327 | endcase // unique case (update_sel) |
| 328 | end |
| 329 | |
Timothy Chen | eb7bf61 | 2021-08-03 16:17:09 -0700 | [diff] [blame] | 330 | keymgr_cnt #( |
| 331 | .Width(CntWidth), |
| 332 | .CntStyle(DupCnt) |
| 333 | ) u_cnt ( |
| 334 | .clk_i, |
| 335 | .rst_ni, |
| 336 | .clr_i(op_ack | random_ack), |
| 337 | .set_i('0), |
| 338 | .set_cnt_i('0), |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 339 | .en_i(op_update | random_req), |
Timothy Chen | eb7bf61 | 2021-08-03 16:17:09 -0700 | [diff] [blame] | 340 | .cnt_o(cnt), |
| 341 | .err_o(cnt_err) |
| 342 | ); |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 343 | |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 344 | // TODO: Create a no select option, do not leave this as binary |
| 345 | assign hw_sel_o = gen_out_hw_sel ? HwKey : SwKey; |
| 346 | |
Timothy Chen | 71779e1 | 2021-01-06 12:09:57 -0800 | [diff] [blame] | 347 | |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 348 | // when in a state that accepts commands, look at op_ack for completion |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 349 | // when in a state that does not accept commands, wait for other triggers. |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 350 | assign op_done_o = op_req ? op_ack : |
| 351 | (init_o | invalid_op); |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 352 | |
| 353 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 354 | // There are 3 possibilities |
| 355 | // advance to next state (software command) |
| 356 | // advance to disabled state (software command) |
| 357 | // advance to invalid state (detected fault) |
| 358 | logic adv_state; |
| 359 | logic dis_state; |
| 360 | logic inv_state; |
| 361 | assign adv_state = op_ack & adv_req; |
| 362 | assign dis_state = op_ack & dis_req; |
| 363 | assign inv_state = op_fault_err; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 364 | |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 365 | always_comb begin |
| 366 | // persistent data |
| 367 | state_d = state_q; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 368 | |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 369 | // request to op handling |
| 370 | op_req = 1'b0; |
| 371 | random_req = 1'b0; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 372 | random_ack = 1'b0; |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 373 | |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 374 | // request to key updates |
| 375 | wipe_req = 1'b0; |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 376 | |
| 377 | // invalid operation issued |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 378 | invalid_op = 1'b0; |
| 379 | |
| 380 | // data update and select signals |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 381 | stage_sel_o = Disable; |
| 382 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 383 | // indication that state is disabled |
| 384 | disabled = 1'b0; |
| 385 | |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 386 | // enable prng toggling |
Timothy Chen | d5820b0 | 2020-12-05 17:19:06 -0800 | [diff] [blame] | 387 | prng_reseed_req_o = 1'b0; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 388 | prng_en = 1'b0; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 389 | |
Timothy Chen | 0a12094 | 2020-12-14 17:20:51 -0800 | [diff] [blame] | 390 | // initialization complete |
| 391 | init_o = 1'b0; |
| 392 | |
Timothy Chen | 80fb8f4 | 2021-08-02 14:36:28 -0700 | [diff] [blame] | 393 | // if state is ever faulted, hold on to this indication |
| 394 | // until reset. |
| 395 | state_intg_err_d = state_intg_err_q; |
| 396 | |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 397 | unique case (state_q) |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 398 | // Only advance can be called from reset state |
| 399 | StCtrlReset: begin |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 400 | // in reset state, don't enable entropy yet, since there are no users. |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 401 | prng_en = 1'b0; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 402 | |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 403 | // always use random data for advance, since out of reset state |
| 404 | // the key state will be randomized. |
| 405 | stage_sel_o = Disable; |
| 406 | |
| 407 | // key state is updated when it is an advance call |
| 408 | // all other operations are invalid, including disable |
| 409 | if (advance_sel) begin |
| 410 | state_d = StCtrlEntropyReseed; |
| 411 | end else if (op_start_i) begin |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 412 | invalid_op = 1'b1; |
Timothy Chen | 1d05bd6 | 2020-10-07 18:42:07 -0700 | [diff] [blame] | 413 | end |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 414 | end |
| 415 | |
Timothy Chen | d5820b0 | 2020-12-05 17:19:06 -0800 | [diff] [blame] | 416 | // reseed entropy |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 417 | StCtrlEntropyReseed: begin |
Timothy Chen | d5820b0 | 2020-12-05 17:19:06 -0800 | [diff] [blame] | 418 | prng_reseed_req_o = 1'b1; |
Timothy Chen | 84b7d1b | 2021-08-12 16:42:45 -0700 | [diff] [blame] | 419 | |
Timothy Chen | d5820b0 | 2020-12-05 17:19:06 -0800 | [diff] [blame] | 420 | if (prng_reseed_ack_i) begin |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 421 | state_d = StCtrlRandom; |
Timothy Chen | d5820b0 | 2020-12-05 17:19:06 -0800 | [diff] [blame] | 422 | end |
| 423 | end |
| 424 | |
Timothy Chen | 1d05bd6 | 2020-10-07 18:42:07 -0700 | [diff] [blame] | 425 | // This state does not accept any command. |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 426 | StCtrlRandom: begin |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 427 | prng_en = 1'b1; |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 428 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 429 | if (cnt < EntropyRounds-1) begin |
| 430 | random_req = 1'b1; |
| 431 | end |
| 432 | // when mask population is complete, xor the root_key into the zero share |
| 433 | // if in the future the root key is updated to 2 shares, it will direclty overwrite |
| 434 | // the values here |
| 435 | else begin |
| 436 | random_ack = 1'b1; |
| 437 | state_d = StCtrlRootKey; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 438 | end |
| 439 | end |
| 440 | |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 441 | // load the root key. |
| 442 | StCtrlRootKey: begin |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 443 | init_o = 1'b1; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 444 | state_d = (!en_i || inv_state) ? StCtrlWipe : StCtrlInit; |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 445 | end |
| 446 | |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 447 | // Beginning from the Init state, operations are accepted. |
| 448 | // Only valid operation is advance state. If invalid command received, |
| 449 | // random data is selected for operation and no persistent state is changed. |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 450 | StCtrlInit: begin |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 451 | op_req = op_start_i; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 452 | |
Timothy Chen | 68c311a | 2020-12-04 12:31:17 -0800 | [diff] [blame] | 453 | // when advancing select creator data, otherwise use random input |
| 454 | stage_sel_o = advance_sel ? Creator : Disable; |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 455 | invalid_op = op_start_i & ~(advance_sel | disable_sel); |
Timothy Chen | 68c311a | 2020-12-04 12:31:17 -0800 | [diff] [blame] | 456 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 457 | if (!en_i || inv_state) begin |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 458 | state_d = StCtrlWipe; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 459 | end else if (dis_state) begin |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 460 | state_d = StCtrlDisabled; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 461 | end else if (adv_state) begin |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 462 | state_d = StCtrlCreatorRootKey; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 463 | end |
| 464 | end |
| 465 | |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 466 | // all commands are valid during this stage |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 467 | StCtrlCreatorRootKey: begin |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 468 | op_req = op_start_i; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 469 | |
| 470 | // when generating, select creator data input |
| 471 | // when advancing, select owner intermediate key as target |
| 472 | // when disabling, select random data input |
Timothy Chen | 6d99c34 | 2020-11-13 12:02:42 -0800 | [diff] [blame] | 473 | stage_sel_o = disable_sel ? Disable : |
| 474 | advance_sel ? OwnerInt : Creator; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 475 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 476 | if (!en_i || inv_state) begin |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 477 | state_d = StCtrlWipe; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 478 | end else if (dis_state) begin |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 479 | state_d = StCtrlDisabled; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 480 | end else if (adv_state) begin |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 481 | state_d = StCtrlOwnerIntKey; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 482 | end |
| 483 | end |
| 484 | |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 485 | // all commands are valid during this stage |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 486 | StCtrlOwnerIntKey: begin |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 487 | op_req = op_start_i; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 488 | |
Timothy Chen | 6d99c34 | 2020-11-13 12:02:42 -0800 | [diff] [blame] | 489 | // when generating, select owner intermediate data input |
| 490 | // when advancing, select owner as target |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 491 | // when disabling, select random data input |
Timothy Chen | 6d99c34 | 2020-11-13 12:02:42 -0800 | [diff] [blame] | 492 | stage_sel_o = disable_sel ? Disable : |
| 493 | advance_sel ? Owner : OwnerInt; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 494 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 495 | if (!en_i || inv_state) begin |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 496 | state_d = StCtrlWipe; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 497 | end else if (dis_state) begin |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 498 | state_d = StCtrlDisabled; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 499 | end else if (adv_state) begin |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 500 | state_d = StCtrlOwnerKey; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 501 | end |
| 502 | end |
| 503 | |
| 504 | // all commands are valid during this stage |
| 505 | // however advance goes directly to disabled state |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 506 | StCtrlOwnerKey: begin |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 507 | op_req = op_start_i; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 508 | |
Timothy Chen | 6d99c34 | 2020-11-13 12:02:42 -0800 | [diff] [blame] | 509 | // when generating, select owner data input |
| 510 | // when advancing, select disable as target |
| 511 | // when disabling, select random data input |
Timothy Chen | 68c311a | 2020-12-04 12:31:17 -0800 | [diff] [blame] | 512 | stage_sel_o = disable_sel | advance_sel ? Disable : Owner; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 513 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 514 | if (!en_i || inv_state) begin |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 515 | state_d = StCtrlWipe; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 516 | end else if (adv_state || dis_state) begin |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 517 | state_d = StCtrlDisabled; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 518 | end |
| 519 | end |
| 520 | |
Timothy Chen | 6d99c34 | 2020-11-13 12:02:42 -0800 | [diff] [blame] | 521 | // The wipe state immediately clears out the key state, but waits for any ongoing |
| 522 | // transaction to finish before going to disabled state. |
| 523 | // Unlike the random state, this is an immedaite shutdown request, so all parts of the |
| 524 | // key are wiped. |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 525 | StCtrlWipe: begin |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 526 | wipe_req = 1'b1; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 527 | // if there was already an operation ongoing, maintain the request until completion |
| 528 | op_req = op_busy; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 529 | invalid_op = op_start_i; |
Timothy Chen | 6d99c34 | 2020-11-13 12:02:42 -0800 | [diff] [blame] | 530 | |
| 531 | // If the enable is dropped during the middle of a transaction, we clear and wait for that |
| 532 | // transaction to gracefully complete (if it can). |
| 533 | // There are two scenarios: |
| 534 | // 1. the operation completed right when we started wiping, in which case the done would |
| 535 | // clear the start. |
| 536 | // 2. the operation completed before we started wiping, or there was never an operation to |
| 537 | // begin with (op_start_i == 0), in this case, don't wait and immediately transition |
| 538 | if (!op_start_i) begin |
Timothy Chen | 71779e1 | 2021-01-06 12:09:57 -0800 | [diff] [blame] | 539 | state_d = StCtrlInvalid; |
Timothy Chen | 6d99c34 | 2020-11-13 12:02:42 -0800 | [diff] [blame] | 540 | end |
| 541 | end |
| 542 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 543 | // StCtrlDisabled and StCtrlInvalid are almost functionally equivalent |
| 544 | // The only difference is that Disabled is entered through software invocation, |
| 545 | // while Invalid is entered through life cycle disable or operational fault. |
| 546 | // |
| 547 | // Both states continue to kick off random transactions |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 548 | // All transactions are treated as invalid despite completing |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 549 | StCtrlDisabled: begin |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 550 | op_req = op_start_i; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 551 | disabled = 1'b1; |
| 552 | |
| 553 | if (!en_i || inv_state) begin |
| 554 | state_d = StCtrlWipe; |
| 555 | end |
| 556 | end |
| 557 | |
| 558 | StCtrlInvalid: begin |
| 559 | op_req = op_start_i; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 560 | disabled = 1'b1; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 561 | end |
Timothy Chen | 80fb8f4 | 2021-08-02 14:36:28 -0700 | [diff] [blame] | 562 | |
| 563 | // latch the fault indication and start to wipe the key manager |
| 564 | default: begin |
| 565 | state_intg_err_d = 1'b1; |
| 566 | state_d = StCtrlWipe; |
| 567 | end |
| 568 | |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 569 | endcase // unique case (state_q) |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 570 | end // always_comb |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 571 | |
| 572 | // Current working state provided for software read |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 573 | // Certain states are collapsed for simplicity |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 574 | keymgr_working_state_e last_working_st; |
| 575 | logic state_update; |
| 576 | |
| 577 | always_ff @(posedge clk_i or negedge rst_ni) begin |
| 578 | if (!rst_ni) begin |
| 579 | last_working_st <= StReset; |
| 580 | end else if (state_update) begin |
| 581 | last_working_st <= working_state_o; |
| 582 | end |
| 583 | end |
| 584 | |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 585 | always_comb begin |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 586 | state_update = 1'b1; |
Timothy Chen | 71779e1 | 2021-01-06 12:09:57 -0800 | [diff] [blame] | 587 | working_state_o = StInvalid; |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 588 | |
| 589 | unique case (state_q) |
Timothy Chen | be2da9e | 2021-01-07 18:29:01 -0800 | [diff] [blame] | 590 | StCtrlReset, StCtrlEntropyReseed, StCtrlRandom, StCtrlRootKey: |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 591 | working_state_o = StReset; |
| 592 | |
| 593 | StCtrlInit: |
| 594 | working_state_o = StInit; |
| 595 | |
| 596 | StCtrlCreatorRootKey: |
| 597 | working_state_o = StCreatorRootKey; |
| 598 | |
| 599 | StCtrlOwnerIntKey: |
| 600 | working_state_o = StOwnerIntKey; |
| 601 | |
| 602 | StCtrlOwnerKey: |
| 603 | working_state_o = StOwnerKey; |
| 604 | |
Timothy Chen | 71779e1 | 2021-01-06 12:09:57 -0800 | [diff] [blame] | 605 | StCtrlDisabled: |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 606 | working_state_o = StDisabled; |
| 607 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 608 | StCtrlWipe: begin |
| 609 | state_update = 1'b0; |
| 610 | working_state_o = last_working_st; |
| 611 | end |
| 612 | |
| 613 | StCtrlInvalid: |
Timothy Chen | 71779e1 | 2021-01-06 12:09:57 -0800 | [diff] [blame] | 614 | working_state_o = StInvalid; |
| 615 | |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 616 | default: |
Timothy Chen | 71779e1 | 2021-01-06 12:09:57 -0800 | [diff] [blame] | 617 | working_state_o = StInvalid; |
Timothy Chen | 9e36545 | 2020-12-07 19:04:00 -0800 | [diff] [blame] | 618 | endcase // unique case (state_q) |
| 619 | end |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 620 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 621 | |
| 622 | ///////////////////////// |
| 623 | // Operateion state, handle advance and generate |
| 624 | ///////////////////////// |
| 625 | |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 626 | always_ff @(posedge clk_i or negedge rst_ni) begin |
| 627 | if (!rst_ni) begin |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 628 | op_state_q <= StIdle; |
Timothy Chen | eb7bf61 | 2021-08-03 16:17:09 -0700 | [diff] [blame] | 629 | end else begin |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 630 | op_state_q <= op_state_d; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 631 | end |
| 632 | end |
| 633 | |
| 634 | always_comb begin |
| 635 | op_state_d = op_state_q; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 636 | op_update = 1'b0; |
| 637 | op_ack = 1'b0; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 638 | op_busy = 1'b1; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 639 | |
| 640 | // output to kmac interface |
| 641 | adv_en_o = 1'b0; |
| 642 | id_en_o = 1'b0; |
| 643 | gen_en_o = 1'b0; |
| 644 | |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 645 | unique case (op_state_q) |
| 646 | StIdle: begin |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 647 | op_busy = '0; |
| 648 | if (adv_req || dis_req) begin |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 649 | op_state_d = StAdv; |
| 650 | end else if (id_req || gen_req) begin |
| 651 | op_state_d = StWait; |
| 652 | end |
| 653 | end |
| 654 | |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 655 | StAdv: begin |
| 656 | adv_en_o = 1'b1; |
| 657 | |
Timothy Chen | 1a16211 | 2021-06-30 18:35:59 -0700 | [diff] [blame] | 658 | if (kmac_done_i && (cdi_cnt == CDIs-1)) begin |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 659 | op_ack = 1'b1; |
| 660 | op_state_d = StIdle; |
Timothy Chen | 1a16211 | 2021-06-30 18:35:59 -0700 | [diff] [blame] | 661 | end else if (kmac_done_i && (cdi_cnt < CDIs-1)) begin |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 662 | op_update = 1'b1; |
| 663 | op_state_d = StAdvAck; |
| 664 | end |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 665 | end |
| 666 | |
| 667 | // drop adv_en_o to allow kmac interface handshake |
| 668 | StAdvAck: begin |
| 669 | op_state_d = StAdv; |
| 670 | end |
| 671 | |
| 672 | // Not an advanced operation |
| 673 | StWait: begin |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 674 | id_en_o = gen_id_op; |
| 675 | gen_en_o = gen_sw_op | gen_hw_op; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 676 | |
| 677 | if (kmac_done_i) begin |
| 678 | op_ack = 1'b1; |
| 679 | op_state_d = StIdle; |
| 680 | end |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 681 | end |
| 682 | |
| 683 | // What should go here? |
| 684 | default:; |
| 685 | |
| 686 | endcase // unique case (adv_state_q) |
| 687 | end |
| 688 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 689 | // operations fsm update precedence |
| 690 | // when in disabled state, always update. |
| 691 | assign op_update_sel = (op_ack | op_update) & disabled ? KeyUpdateKmac : |
| 692 | op_fault_err ? KeyUpdateWipe : |
| 693 | op_err ? KeyUpdateIdle : |
| 694 | (op_ack | op_update) ? KeyUpdateKmac : KeyUpdateIdle; |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 695 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 696 | assign error_o[ErrInvalidOp] = op_done_o & (invalid_op | disabled); |
Timothy Chen | 80fb8f4 | 2021-08-02 14:36:28 -0700 | [diff] [blame] | 697 | assign error_o[ErrInvalidIn] = op_ack & kmac_input_invalid_i; |
Timothy Chen | 4fb25fc | 2021-08-19 18:15:08 -0700 | [diff] [blame] | 698 | assign error_o[ErrShadowUpdate] = shadowed_update_err_i; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 699 | assign error_o[ErrInvalidStates] = (op_done_o | op_update) & fault_i; |
Timothy Chen | 80fb8f4 | 2021-08-02 14:36:28 -0700 | [diff] [blame] | 700 | |
| 701 | assign fault_o[FaultCmd] = kmac_cmd_err_i; |
| 702 | assign fault_o[FaultKmacFsm] = kmac_fsm_err_i; |
| 703 | assign fault_o[FaultKmacOp] = kmac_op_err_i; |
Timothy Chen | 4fb25fc | 2021-08-19 18:15:08 -0700 | [diff] [blame] | 704 | // Kmac output is only checked on operation complete. Invalid |
| 705 | // values are legal otherwise |
| 706 | assign fault_o[FaultKmacOut] = op_ack & ~kmac_out_valid; |
Timothy Chen | 80fb8f4 | 2021-08-02 14:36:28 -0700 | [diff] [blame] | 707 | assign fault_o[FaultRegFileIntg] = regfile_intg_err_i; |
Timothy Chen | 4fb25fc | 2021-08-19 18:15:08 -0700 | [diff] [blame] | 708 | assign fault_o[FaultShadow] = shadowed_storage_err_i; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 709 | assign fault_o[FaultCtrlFsm] = state_intg_err_q; |
Timothy Chen | eb7bf61 | 2021-08-03 16:17:09 -0700 | [diff] [blame] | 710 | assign fault_o[FaultCtrlCnt] = cnt_err; |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 711 | |
| 712 | always_comb begin |
| 713 | status_o = OpIdle; |
| 714 | if (op_done_o) begin |
| 715 | status_o = |error_o ? OpDoneFail : OpDoneSuccess; |
| 716 | end else if (op_start_i) begin |
| 717 | status_o = OpWip; |
| 718 | end |
| 719 | end |
| 720 | |
| 721 | |
Timothy Chen | 8cf1a3a | 2020-12-08 19:05:29 -0800 | [diff] [blame] | 722 | /////////////////////////////// |
| 723 | // Suppress kmac return data |
| 724 | /////////////////////////////// |
| 725 | // This is a separate data path from the FSM used to control the data_en_o output |
| 726 | |
| 727 | typedef enum logic [1:0] { |
| 728 | StCtrlDataIdle, |
| 729 | StCtrlDataEn, |
| 730 | StCtrlDataDis, |
| 731 | StCtrlDataWait |
| 732 | } keymgr_ctrl_data_state_e; |
| 733 | |
| 734 | keymgr_ctrl_data_state_e data_st_d, data_st_q; |
| 735 | |
| 736 | always_ff @(posedge clk_i or negedge rst_ni) begin |
| 737 | if (!rst_ni) begin |
| 738 | data_st_q <= StCtrlDataIdle; |
| 739 | end else begin |
| 740 | data_st_q <= data_st_d; |
| 741 | end |
| 742 | end |
| 743 | |
| 744 | // The below control path is used for modulating the datapath to sideload and sw keys. |
| 745 | // This path is separate from the data_valid_o path, thus creating two separate attack points. |
| 746 | // The data is only enabled when a non-advance operation is invoked. |
| 747 | // When an advance operation is called, the data is disabled. It will stay disabled until an |
| 748 | // entire completion sequence is seen (op_done_o assert -> start_i de-assertion). |
| 749 | // When a generate operation is called, the data is enabled. However, any indication of this |
| 750 | // supposedly being an advance call will force the path to disable again. |
| 751 | always_comb begin |
| 752 | data_st_d = data_st_q; |
| 753 | data_en_o = 1'b0; |
| 754 | unique case (data_st_q) |
| 755 | |
| 756 | StCtrlDataIdle: begin |
| 757 | if (adv_en_o) begin |
| 758 | data_st_d = StCtrlDataDis; |
| 759 | end else if (id_en_o || gen_en_o) begin |
| 760 | data_st_d = StCtrlDataEn; |
| 761 | end |
| 762 | end |
| 763 | |
| 764 | StCtrlDataEn: begin |
| 765 | data_en_o = 1'b1; |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 766 | if (op_done_o) begin |
| 767 | data_st_d = StCtrlDataWait; |
| 768 | end else if (adv_en_o) begin |
Timothy Chen | 8cf1a3a | 2020-12-08 19:05:29 -0800 | [diff] [blame] | 769 | data_st_d = StCtrlDataDis; |
| 770 | end |
| 771 | end |
| 772 | |
| 773 | StCtrlDataDis: begin |
| 774 | if (op_done_o) begin |
| 775 | data_st_d = StCtrlDataWait; |
| 776 | end |
| 777 | end |
| 778 | |
| 779 | StCtrlDataWait: begin |
| 780 | if (!op_start_i) begin |
| 781 | data_st_d = StCtrlDataIdle; |
| 782 | end |
| 783 | end |
| 784 | |
| 785 | default:; |
| 786 | |
| 787 | endcase // unique case (data_st_q) |
| 788 | end |
| 789 | |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 790 | /////////////////////////////// |
| 791 | // Functions |
| 792 | /////////////////////////////// |
| 793 | |
| 794 | // unclear what this is supposed to be yet |
| 795 | // right now just check to see if it not all 0's and not all 1's |
Timothy Chen | ae05e94 | 2020-12-21 21:09:57 -0800 | [diff] [blame] | 796 | function automatic logic valid_data_chk (logic [KeyWidth-1:0] value); |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 797 | |
| 798 | return |value & ~&value; |
| 799 | |
| 800 | endfunction // byte_mask |
| 801 | |
Timothy Chen | ae05e94 | 2020-12-21 21:09:57 -0800 | [diff] [blame] | 802 | ///////////////////////////////// |
| 803 | // Assertions |
| 804 | ///////////////////////////////// |
| 805 | |
| 806 | // stage select should always be Disable whenever it is not enabled |
| 807 | `ASSERT(StageDisableSel_A, !en_i |-> stage_sel_o == Disable) |
| 808 | |
| 809 | // Unless it is a legal command, only select disable |
| 810 | `ASSERT(InitLegalCommands_A, op_start_i & en_i & state_q inside {StCtrlInit} & |
| 811 | !(op_i inside {OpAdvance}) |-> stage_sel_o == Disable) |
| 812 | |
| 813 | // All commands are legal, so select disable only if operation is disable |
| 814 | `ASSERT(GeneralLegalCommands_A, op_start_i & en_i & |
| 815 | state_q inside {StCtrlCreatorRootKey, StCtrlOwnerIntKey} & |
| 816 | (op_i inside {OpDisable}) |-> stage_sel_o == Disable) |
| 817 | |
| 818 | `ASSERT(OwnerLegalCommands_A, op_start_i & en_i & state_q inside {StCtrlOwnerKey} & |
| 819 | (op_i inside {OpAdvance, OpDisable}) |-> stage_sel_o == Disable) |
| 820 | |
Timothy Chen | 77758a6 | 2021-01-12 18:45:47 -0800 | [diff] [blame] | 821 | // load_key should not be high if there is no ongoing operation |
Timothy Chen | 3803324 | 2021-06-29 10:06:12 -0700 | [diff] [blame] | 822 | `ASSERT(LoadKey_A, key_o.valid |-> op_start_i) |
| 823 | |
| 824 | // The count value should always be 0 when a transaction start |
| 825 | `ASSERT(CntZero_A, $rose(op_start_i) |-> cnt == '0) |
Timothy Chen | 77758a6 | 2021-01-12 18:45:47 -0800 | [diff] [blame] | 826 | |
Timothy Chen | 8e37434 | 2021-08-25 20:55:31 -0700 | [diff] [blame^] | 827 | // Whenever a transaction completes, data_en must return to 0 on the next cycle |
| 828 | `ASSERT(DataEnDis_A, op_start_i & op_done_o |=> ~data_en_o) |
| 829 | |
| 830 | // Whenever data enable asserts, it must be the case that there was a generate or |
| 831 | // id operation |
| 832 | `ASSERT(DataEn_A, data_en_o |-> (id_en_o | gen_en_o) & ~adv_en_o) |
| 833 | |
Timothy Chen | 15adeee | 2020-09-09 15:44:35 -0700 | [diff] [blame] | 834 | endmodule |