blob: 6cd064a61a7e926fd55ac334aca0889852d8d3a9 [file] [log] [blame]
Michael Schaffner51c61442019-10-01 15:49:10 -07001// Copyright lowRISC contributors.
2// Licensed under the Apache License, Version 2.0, see LICENSE for details.
3// SPDX-License-Identifier: Apache-2.0
4//
5// Register Top module auto-generated by `reggen`
6
Greg Chadwickcf423082020-02-05 16:52:23 +00007`include "prim_assert.sv"
8
Michael Schaffner51c61442019-10-01 15:49:10 -07009module pinmux_reg_top (
10 input clk_i,
11 input rst_ni,
12
Michael Schaffner51c61442019-10-01 15:49:10 -070013 input tlul_pkg::tl_h2d_t tl_i,
14 output tlul_pkg::tl_d2h_t tl_o,
15 // To HW
16 output pinmux_reg_pkg::pinmux_reg2hw_t reg2hw, // Write
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017 input pinmux_reg_pkg::pinmux_hw2reg_t hw2reg, // Read
Michael Schaffner51c61442019-10-01 15:49:10 -070018
Timothy Chen6e6b7ba2021-03-02 19:12:12 -080019 // Integrity check errors
20 output logic intg_err_o,
21
Michael Schaffner51c61442019-10-01 15:49:10 -070022 // Config
23 input devmode_i // If 1, explicit error return for unmapped register access
24);
25
26 import pinmux_reg_pkg::* ;
27
Michael Schaffner06fdb112021-02-10 16:52:56 -080028 localparam int AW = 11;
Michael Schaffner1b5fa9f2020-01-17 17:43:42 -080029 localparam int DW = 32;
30 localparam int DBW = DW/8; // Byte Width
Michael Schaffner51c61442019-10-01 15:49:10 -070031
32 // register signals
33 logic reg_we;
34 logic reg_re;
35 logic [AW-1:0] reg_addr;
36 logic [DW-1:0] reg_wdata;
37 logic [DBW-1:0] reg_be;
38 logic [DW-1:0] reg_rdata;
39 logic reg_error;
40
41 logic addrmiss, wr_err;
42
43 logic [DW-1:0] reg_rdata_next;
44
45 tlul_pkg::tl_h2d_t tl_reg_h2d;
46 tlul_pkg::tl_d2h_t tl_reg_d2h;
47
Timothy Chend2c08a52021-02-12 15:39:24 -080048 // incoming payload check
Timothy Chen6e6b7ba2021-03-02 19:12:12 -080049 logic intg_err;
50 tlul_cmd_intg_chk u_chk (
Timothy Chend2c08a52021-02-12 15:39:24 -080051 .tl_i,
Timothy Chen915df692021-03-05 13:16:36 -080052 .err_o(intg_err)
Timothy Chend2c08a52021-02-12 15:39:24 -080053 );
54
Timothy Chen915df692021-03-05 13:16:36 -080055 logic intg_err_q;
Timothy Chen6e6b7ba2021-03-02 19:12:12 -080056 always_ff @(posedge clk_i or negedge rst_ni) begin
57 if (!rst_ni) begin
Timothy Chen915df692021-03-05 13:16:36 -080058 intg_err_q <= '0;
Timothy Chen6e6b7ba2021-03-02 19:12:12 -080059 end else if (intg_err) begin
Timothy Chen915df692021-03-05 13:16:36 -080060 intg_err_q <= 1'b1;
Timothy Chen6e6b7ba2021-03-02 19:12:12 -080061 end
62 end
63
Timothy Chen915df692021-03-05 13:16:36 -080064 // integrity error output is permanent and should be used for alert generation
65 // register errors are transactional
66 assign intg_err_o = intg_err_q | intg_err;
67
Timothy Chen6e6b7ba2021-03-02 19:12:12 -080068 // outgoing integrity generation
Timothy Chend2c08a52021-02-12 15:39:24 -080069 tlul_pkg::tl_d2h_t tl_o_pre;
Timothy Chen62dabf72021-03-24 12:09:27 -070070 tlul_rsp_intg_gen #(
71 .EnableRspIntgGen(1),
72 .EnableDataIntgGen(1)
73 ) u_rsp_intg_gen (
Timothy Chend2c08a52021-02-12 15:39:24 -080074 .tl_i(tl_o_pre),
75 .tl_o
76 );
77
Michael Schaffner51c61442019-10-01 15:49:10 -070078 assign tl_reg_h2d = tl_i;
Timothy Chend2c08a52021-02-12 15:39:24 -080079 assign tl_o_pre = tl_reg_d2h;
Michael Schaffner51c61442019-10-01 15:49:10 -070080
81 tlul_adapter_reg #(
82 .RegAw(AW),
Timothy Chen62dabf72021-03-24 12:09:27 -070083 .RegDw(DW),
84 .EnableDataIntgGen(0)
Michael Schaffner51c61442019-10-01 15:49:10 -070085 ) u_reg_if (
86 .clk_i,
87 .rst_ni,
88
89 .tl_i (tl_reg_h2d),
90 .tl_o (tl_reg_d2h),
91
92 .we_o (reg_we),
93 .re_o (reg_re),
94 .addr_o (reg_addr),
95 .wdata_o (reg_wdata),
96 .be_o (reg_be),
97 .rdata_i (reg_rdata),
98 .error_i (reg_error)
99 );
100
101 assign reg_rdata = reg_rdata_next ;
Timothy Chen6e6b7ba2021-03-02 19:12:12 -0800102 assign reg_error = (devmode_i & addrmiss) | wr_err | intg_err;
Michael Schaffner51c61442019-10-01 15:49:10 -0700103
104 // Define SW related signals
105 // Format: <reg>_<field>_{wd|we|qs}
106 // or <reg>_{wd|we|qs} if field == 1 or 0
Michael Schaffneraf488fb2021-06-07 17:31:14 -0700107 logic alert_test_wd;
108 logic alert_test_we;
Michael Schaffner06fdb112021-02-10 16:52:56 -0800109 logic mio_periph_insel_regwen_0_qs;
110 logic mio_periph_insel_regwen_0_wd;
111 logic mio_periph_insel_regwen_0_we;
112 logic mio_periph_insel_regwen_1_qs;
113 logic mio_periph_insel_regwen_1_wd;
114 logic mio_periph_insel_regwen_1_we;
115 logic mio_periph_insel_regwen_2_qs;
116 logic mio_periph_insel_regwen_2_wd;
117 logic mio_periph_insel_regwen_2_we;
118 logic mio_periph_insel_regwen_3_qs;
119 logic mio_periph_insel_regwen_3_wd;
120 logic mio_periph_insel_regwen_3_we;
121 logic mio_periph_insel_regwen_4_qs;
122 logic mio_periph_insel_regwen_4_wd;
123 logic mio_periph_insel_regwen_4_we;
124 logic mio_periph_insel_regwen_5_qs;
125 logic mio_periph_insel_regwen_5_wd;
126 logic mio_periph_insel_regwen_5_we;
127 logic mio_periph_insel_regwen_6_qs;
128 logic mio_periph_insel_regwen_6_wd;
129 logic mio_periph_insel_regwen_6_we;
130 logic mio_periph_insel_regwen_7_qs;
131 logic mio_periph_insel_regwen_7_wd;
132 logic mio_periph_insel_regwen_7_we;
133 logic mio_periph_insel_regwen_8_qs;
134 logic mio_periph_insel_regwen_8_wd;
135 logic mio_periph_insel_regwen_8_we;
136 logic mio_periph_insel_regwen_9_qs;
137 logic mio_periph_insel_regwen_9_wd;
138 logic mio_periph_insel_regwen_9_we;
139 logic mio_periph_insel_regwen_10_qs;
140 logic mio_periph_insel_regwen_10_wd;
141 logic mio_periph_insel_regwen_10_we;
142 logic mio_periph_insel_regwen_11_qs;
143 logic mio_periph_insel_regwen_11_wd;
144 logic mio_periph_insel_regwen_11_we;
145 logic mio_periph_insel_regwen_12_qs;
146 logic mio_periph_insel_regwen_12_wd;
147 logic mio_periph_insel_regwen_12_we;
148 logic mio_periph_insel_regwen_13_qs;
149 logic mio_periph_insel_regwen_13_wd;
150 logic mio_periph_insel_regwen_13_we;
151 logic mio_periph_insel_regwen_14_qs;
152 logic mio_periph_insel_regwen_14_wd;
153 logic mio_periph_insel_regwen_14_we;
154 logic mio_periph_insel_regwen_15_qs;
155 logic mio_periph_insel_regwen_15_wd;
156 logic mio_periph_insel_regwen_15_we;
157 logic mio_periph_insel_regwen_16_qs;
158 logic mio_periph_insel_regwen_16_wd;
159 logic mio_periph_insel_regwen_16_we;
160 logic mio_periph_insel_regwen_17_qs;
161 logic mio_periph_insel_regwen_17_wd;
162 logic mio_periph_insel_regwen_17_we;
163 logic mio_periph_insel_regwen_18_qs;
164 logic mio_periph_insel_regwen_18_wd;
165 logic mio_periph_insel_regwen_18_we;
166 logic mio_periph_insel_regwen_19_qs;
167 logic mio_periph_insel_regwen_19_wd;
168 logic mio_periph_insel_regwen_19_we;
169 logic mio_periph_insel_regwen_20_qs;
170 logic mio_periph_insel_regwen_20_wd;
171 logic mio_periph_insel_regwen_20_we;
172 logic mio_periph_insel_regwen_21_qs;
173 logic mio_periph_insel_regwen_21_wd;
174 logic mio_periph_insel_regwen_21_we;
175 logic mio_periph_insel_regwen_22_qs;
176 logic mio_periph_insel_regwen_22_wd;
177 logic mio_periph_insel_regwen_22_we;
178 logic mio_periph_insel_regwen_23_qs;
179 logic mio_periph_insel_regwen_23_wd;
180 logic mio_periph_insel_regwen_23_we;
181 logic mio_periph_insel_regwen_24_qs;
182 logic mio_periph_insel_regwen_24_wd;
183 logic mio_periph_insel_regwen_24_we;
184 logic mio_periph_insel_regwen_25_qs;
185 logic mio_periph_insel_regwen_25_wd;
186 logic mio_periph_insel_regwen_25_we;
187 logic mio_periph_insel_regwen_26_qs;
188 logic mio_periph_insel_regwen_26_wd;
189 logic mio_periph_insel_regwen_26_we;
190 logic mio_periph_insel_regwen_27_qs;
191 logic mio_periph_insel_regwen_27_wd;
192 logic mio_periph_insel_regwen_27_we;
193 logic mio_periph_insel_regwen_28_qs;
194 logic mio_periph_insel_regwen_28_wd;
195 logic mio_periph_insel_regwen_28_we;
196 logic mio_periph_insel_regwen_29_qs;
197 logic mio_periph_insel_regwen_29_wd;
198 logic mio_periph_insel_regwen_29_we;
199 logic mio_periph_insel_regwen_30_qs;
200 logic mio_periph_insel_regwen_30_wd;
201 logic mio_periph_insel_regwen_30_we;
202 logic mio_periph_insel_regwen_31_qs;
203 logic mio_periph_insel_regwen_31_wd;
204 logic mio_periph_insel_regwen_31_we;
205 logic mio_periph_insel_regwen_32_qs;
206 logic mio_periph_insel_regwen_32_wd;
207 logic mio_periph_insel_regwen_32_we;
208 logic [5:0] mio_periph_insel_0_qs;
209 logic [5:0] mio_periph_insel_0_wd;
210 logic mio_periph_insel_0_we;
211 logic [5:0] mio_periph_insel_1_qs;
212 logic [5:0] mio_periph_insel_1_wd;
213 logic mio_periph_insel_1_we;
214 logic [5:0] mio_periph_insel_2_qs;
215 logic [5:0] mio_periph_insel_2_wd;
216 logic mio_periph_insel_2_we;
217 logic [5:0] mio_periph_insel_3_qs;
218 logic [5:0] mio_periph_insel_3_wd;
219 logic mio_periph_insel_3_we;
220 logic [5:0] mio_periph_insel_4_qs;
221 logic [5:0] mio_periph_insel_4_wd;
222 logic mio_periph_insel_4_we;
223 logic [5:0] mio_periph_insel_5_qs;
224 logic [5:0] mio_periph_insel_5_wd;
225 logic mio_periph_insel_5_we;
226 logic [5:0] mio_periph_insel_6_qs;
227 logic [5:0] mio_periph_insel_6_wd;
228 logic mio_periph_insel_6_we;
229 logic [5:0] mio_periph_insel_7_qs;
230 logic [5:0] mio_periph_insel_7_wd;
231 logic mio_periph_insel_7_we;
232 logic [5:0] mio_periph_insel_8_qs;
233 logic [5:0] mio_periph_insel_8_wd;
234 logic mio_periph_insel_8_we;
235 logic [5:0] mio_periph_insel_9_qs;
236 logic [5:0] mio_periph_insel_9_wd;
237 logic mio_periph_insel_9_we;
238 logic [5:0] mio_periph_insel_10_qs;
239 logic [5:0] mio_periph_insel_10_wd;
240 logic mio_periph_insel_10_we;
241 logic [5:0] mio_periph_insel_11_qs;
242 logic [5:0] mio_periph_insel_11_wd;
243 logic mio_periph_insel_11_we;
244 logic [5:0] mio_periph_insel_12_qs;
245 logic [5:0] mio_periph_insel_12_wd;
246 logic mio_periph_insel_12_we;
247 logic [5:0] mio_periph_insel_13_qs;
248 logic [5:0] mio_periph_insel_13_wd;
249 logic mio_periph_insel_13_we;
250 logic [5:0] mio_periph_insel_14_qs;
251 logic [5:0] mio_periph_insel_14_wd;
252 logic mio_periph_insel_14_we;
253 logic [5:0] mio_periph_insel_15_qs;
254 logic [5:0] mio_periph_insel_15_wd;
255 logic mio_periph_insel_15_we;
256 logic [5:0] mio_periph_insel_16_qs;
257 logic [5:0] mio_periph_insel_16_wd;
258 logic mio_periph_insel_16_we;
259 logic [5:0] mio_periph_insel_17_qs;
260 logic [5:0] mio_periph_insel_17_wd;
261 logic mio_periph_insel_17_we;
262 logic [5:0] mio_periph_insel_18_qs;
263 logic [5:0] mio_periph_insel_18_wd;
264 logic mio_periph_insel_18_we;
265 logic [5:0] mio_periph_insel_19_qs;
266 logic [5:0] mio_periph_insel_19_wd;
267 logic mio_periph_insel_19_we;
268 logic [5:0] mio_periph_insel_20_qs;
269 logic [5:0] mio_periph_insel_20_wd;
270 logic mio_periph_insel_20_we;
271 logic [5:0] mio_periph_insel_21_qs;
272 logic [5:0] mio_periph_insel_21_wd;
273 logic mio_periph_insel_21_we;
274 logic [5:0] mio_periph_insel_22_qs;
275 logic [5:0] mio_periph_insel_22_wd;
276 logic mio_periph_insel_22_we;
277 logic [5:0] mio_periph_insel_23_qs;
278 logic [5:0] mio_periph_insel_23_wd;
279 logic mio_periph_insel_23_we;
280 logic [5:0] mio_periph_insel_24_qs;
281 logic [5:0] mio_periph_insel_24_wd;
282 logic mio_periph_insel_24_we;
283 logic [5:0] mio_periph_insel_25_qs;
284 logic [5:0] mio_periph_insel_25_wd;
285 logic mio_periph_insel_25_we;
286 logic [5:0] mio_periph_insel_26_qs;
287 logic [5:0] mio_periph_insel_26_wd;
288 logic mio_periph_insel_26_we;
289 logic [5:0] mio_periph_insel_27_qs;
290 logic [5:0] mio_periph_insel_27_wd;
291 logic mio_periph_insel_27_we;
292 logic [5:0] mio_periph_insel_28_qs;
293 logic [5:0] mio_periph_insel_28_wd;
294 logic mio_periph_insel_28_we;
295 logic [5:0] mio_periph_insel_29_qs;
296 logic [5:0] mio_periph_insel_29_wd;
297 logic mio_periph_insel_29_we;
298 logic [5:0] mio_periph_insel_30_qs;
299 logic [5:0] mio_periph_insel_30_wd;
300 logic mio_periph_insel_30_we;
301 logic [5:0] mio_periph_insel_31_qs;
302 logic [5:0] mio_periph_insel_31_wd;
303 logic mio_periph_insel_31_we;
304 logic [5:0] mio_periph_insel_32_qs;
305 logic [5:0] mio_periph_insel_32_wd;
306 logic mio_periph_insel_32_we;
307 logic mio_outsel_regwen_0_qs;
308 logic mio_outsel_regwen_0_wd;
309 logic mio_outsel_regwen_0_we;
310 logic mio_outsel_regwen_1_qs;
311 logic mio_outsel_regwen_1_wd;
312 logic mio_outsel_regwen_1_we;
313 logic mio_outsel_regwen_2_qs;
314 logic mio_outsel_regwen_2_wd;
315 logic mio_outsel_regwen_2_we;
316 logic mio_outsel_regwen_3_qs;
317 logic mio_outsel_regwen_3_wd;
318 logic mio_outsel_regwen_3_we;
319 logic mio_outsel_regwen_4_qs;
320 logic mio_outsel_regwen_4_wd;
321 logic mio_outsel_regwen_4_we;
322 logic mio_outsel_regwen_5_qs;
323 logic mio_outsel_regwen_5_wd;
324 logic mio_outsel_regwen_5_we;
325 logic mio_outsel_regwen_6_qs;
326 logic mio_outsel_regwen_6_wd;
327 logic mio_outsel_regwen_6_we;
328 logic mio_outsel_regwen_7_qs;
329 logic mio_outsel_regwen_7_wd;
330 logic mio_outsel_regwen_7_we;
331 logic mio_outsel_regwen_8_qs;
332 logic mio_outsel_regwen_8_wd;
333 logic mio_outsel_regwen_8_we;
334 logic mio_outsel_regwen_9_qs;
335 logic mio_outsel_regwen_9_wd;
336 logic mio_outsel_regwen_9_we;
337 logic mio_outsel_regwen_10_qs;
338 logic mio_outsel_regwen_10_wd;
339 logic mio_outsel_regwen_10_we;
340 logic mio_outsel_regwen_11_qs;
341 logic mio_outsel_regwen_11_wd;
342 logic mio_outsel_regwen_11_we;
343 logic mio_outsel_regwen_12_qs;
344 logic mio_outsel_regwen_12_wd;
345 logic mio_outsel_regwen_12_we;
346 logic mio_outsel_regwen_13_qs;
347 logic mio_outsel_regwen_13_wd;
348 logic mio_outsel_regwen_13_we;
349 logic mio_outsel_regwen_14_qs;
350 logic mio_outsel_regwen_14_wd;
351 logic mio_outsel_regwen_14_we;
352 logic mio_outsel_regwen_15_qs;
353 logic mio_outsel_regwen_15_wd;
354 logic mio_outsel_regwen_15_we;
355 logic mio_outsel_regwen_16_qs;
356 logic mio_outsel_regwen_16_wd;
357 logic mio_outsel_regwen_16_we;
358 logic mio_outsel_regwen_17_qs;
359 logic mio_outsel_regwen_17_wd;
360 logic mio_outsel_regwen_17_we;
361 logic mio_outsel_regwen_18_qs;
362 logic mio_outsel_regwen_18_wd;
363 logic mio_outsel_regwen_18_we;
364 logic mio_outsel_regwen_19_qs;
365 logic mio_outsel_regwen_19_wd;
366 logic mio_outsel_regwen_19_we;
367 logic mio_outsel_regwen_20_qs;
368 logic mio_outsel_regwen_20_wd;
369 logic mio_outsel_regwen_20_we;
370 logic mio_outsel_regwen_21_qs;
371 logic mio_outsel_regwen_21_wd;
372 logic mio_outsel_regwen_21_we;
373 logic mio_outsel_regwen_22_qs;
374 logic mio_outsel_regwen_22_wd;
375 logic mio_outsel_regwen_22_we;
376 logic mio_outsel_regwen_23_qs;
377 logic mio_outsel_regwen_23_wd;
378 logic mio_outsel_regwen_23_we;
379 logic mio_outsel_regwen_24_qs;
380 logic mio_outsel_regwen_24_wd;
381 logic mio_outsel_regwen_24_we;
382 logic mio_outsel_regwen_25_qs;
383 logic mio_outsel_regwen_25_wd;
384 logic mio_outsel_regwen_25_we;
385 logic mio_outsel_regwen_26_qs;
386 logic mio_outsel_regwen_26_wd;
387 logic mio_outsel_regwen_26_we;
388 logic mio_outsel_regwen_27_qs;
389 logic mio_outsel_regwen_27_wd;
390 logic mio_outsel_regwen_27_we;
391 logic mio_outsel_regwen_28_qs;
392 logic mio_outsel_regwen_28_wd;
393 logic mio_outsel_regwen_28_we;
394 logic mio_outsel_regwen_29_qs;
395 logic mio_outsel_regwen_29_wd;
396 logic mio_outsel_regwen_29_we;
397 logic mio_outsel_regwen_30_qs;
398 logic mio_outsel_regwen_30_wd;
399 logic mio_outsel_regwen_30_we;
400 logic mio_outsel_regwen_31_qs;
401 logic mio_outsel_regwen_31_wd;
402 logic mio_outsel_regwen_31_we;
403 logic [5:0] mio_outsel_0_qs;
404 logic [5:0] mio_outsel_0_wd;
405 logic mio_outsel_0_we;
406 logic [5:0] mio_outsel_1_qs;
407 logic [5:0] mio_outsel_1_wd;
408 logic mio_outsel_1_we;
409 logic [5:0] mio_outsel_2_qs;
410 logic [5:0] mio_outsel_2_wd;
411 logic mio_outsel_2_we;
412 logic [5:0] mio_outsel_3_qs;
413 logic [5:0] mio_outsel_3_wd;
414 logic mio_outsel_3_we;
415 logic [5:0] mio_outsel_4_qs;
416 logic [5:0] mio_outsel_4_wd;
417 logic mio_outsel_4_we;
418 logic [5:0] mio_outsel_5_qs;
419 logic [5:0] mio_outsel_5_wd;
420 logic mio_outsel_5_we;
421 logic [5:0] mio_outsel_6_qs;
422 logic [5:0] mio_outsel_6_wd;
423 logic mio_outsel_6_we;
424 logic [5:0] mio_outsel_7_qs;
425 logic [5:0] mio_outsel_7_wd;
426 logic mio_outsel_7_we;
427 logic [5:0] mio_outsel_8_qs;
428 logic [5:0] mio_outsel_8_wd;
429 logic mio_outsel_8_we;
430 logic [5:0] mio_outsel_9_qs;
431 logic [5:0] mio_outsel_9_wd;
432 logic mio_outsel_9_we;
433 logic [5:0] mio_outsel_10_qs;
434 logic [5:0] mio_outsel_10_wd;
435 logic mio_outsel_10_we;
436 logic [5:0] mio_outsel_11_qs;
437 logic [5:0] mio_outsel_11_wd;
438 logic mio_outsel_11_we;
439 logic [5:0] mio_outsel_12_qs;
440 logic [5:0] mio_outsel_12_wd;
441 logic mio_outsel_12_we;
442 logic [5:0] mio_outsel_13_qs;
443 logic [5:0] mio_outsel_13_wd;
444 logic mio_outsel_13_we;
445 logic [5:0] mio_outsel_14_qs;
446 logic [5:0] mio_outsel_14_wd;
447 logic mio_outsel_14_we;
448 logic [5:0] mio_outsel_15_qs;
449 logic [5:0] mio_outsel_15_wd;
450 logic mio_outsel_15_we;
451 logic [5:0] mio_outsel_16_qs;
452 logic [5:0] mio_outsel_16_wd;
453 logic mio_outsel_16_we;
454 logic [5:0] mio_outsel_17_qs;
455 logic [5:0] mio_outsel_17_wd;
456 logic mio_outsel_17_we;
457 logic [5:0] mio_outsel_18_qs;
458 logic [5:0] mio_outsel_18_wd;
459 logic mio_outsel_18_we;
460 logic [5:0] mio_outsel_19_qs;
461 logic [5:0] mio_outsel_19_wd;
462 logic mio_outsel_19_we;
463 logic [5:0] mio_outsel_20_qs;
464 logic [5:0] mio_outsel_20_wd;
465 logic mio_outsel_20_we;
466 logic [5:0] mio_outsel_21_qs;
467 logic [5:0] mio_outsel_21_wd;
468 logic mio_outsel_21_we;
469 logic [5:0] mio_outsel_22_qs;
470 logic [5:0] mio_outsel_22_wd;
471 logic mio_outsel_22_we;
472 logic [5:0] mio_outsel_23_qs;
473 logic [5:0] mio_outsel_23_wd;
474 logic mio_outsel_23_we;
475 logic [5:0] mio_outsel_24_qs;
476 logic [5:0] mio_outsel_24_wd;
477 logic mio_outsel_24_we;
478 logic [5:0] mio_outsel_25_qs;
479 logic [5:0] mio_outsel_25_wd;
480 logic mio_outsel_25_we;
481 logic [5:0] mio_outsel_26_qs;
482 logic [5:0] mio_outsel_26_wd;
483 logic mio_outsel_26_we;
484 logic [5:0] mio_outsel_27_qs;
485 logic [5:0] mio_outsel_27_wd;
486 logic mio_outsel_27_we;
487 logic [5:0] mio_outsel_28_qs;
488 logic [5:0] mio_outsel_28_wd;
489 logic mio_outsel_28_we;
490 logic [5:0] mio_outsel_29_qs;
491 logic [5:0] mio_outsel_29_wd;
492 logic mio_outsel_29_we;
493 logic [5:0] mio_outsel_30_qs;
494 logic [5:0] mio_outsel_30_wd;
495 logic mio_outsel_30_we;
496 logic [5:0] mio_outsel_31_qs;
497 logic [5:0] mio_outsel_31_wd;
498 logic mio_outsel_31_we;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800499 logic mio_pad_attr_regwen_0_qs;
500 logic mio_pad_attr_regwen_0_wd;
501 logic mio_pad_attr_regwen_0_we;
502 logic mio_pad_attr_regwen_1_qs;
503 logic mio_pad_attr_regwen_1_wd;
504 logic mio_pad_attr_regwen_1_we;
505 logic mio_pad_attr_regwen_2_qs;
506 logic mio_pad_attr_regwen_2_wd;
507 logic mio_pad_attr_regwen_2_we;
508 logic mio_pad_attr_regwen_3_qs;
509 logic mio_pad_attr_regwen_3_wd;
510 logic mio_pad_attr_regwen_3_we;
511 logic mio_pad_attr_regwen_4_qs;
512 logic mio_pad_attr_regwen_4_wd;
513 logic mio_pad_attr_regwen_4_we;
514 logic mio_pad_attr_regwen_5_qs;
515 logic mio_pad_attr_regwen_5_wd;
516 logic mio_pad_attr_regwen_5_we;
517 logic mio_pad_attr_regwen_6_qs;
518 logic mio_pad_attr_regwen_6_wd;
519 logic mio_pad_attr_regwen_6_we;
520 logic mio_pad_attr_regwen_7_qs;
521 logic mio_pad_attr_regwen_7_wd;
522 logic mio_pad_attr_regwen_7_we;
523 logic mio_pad_attr_regwen_8_qs;
524 logic mio_pad_attr_regwen_8_wd;
525 logic mio_pad_attr_regwen_8_we;
526 logic mio_pad_attr_regwen_9_qs;
527 logic mio_pad_attr_regwen_9_wd;
528 logic mio_pad_attr_regwen_9_we;
529 logic mio_pad_attr_regwen_10_qs;
530 logic mio_pad_attr_regwen_10_wd;
531 logic mio_pad_attr_regwen_10_we;
532 logic mio_pad_attr_regwen_11_qs;
533 logic mio_pad_attr_regwen_11_wd;
534 logic mio_pad_attr_regwen_11_we;
535 logic mio_pad_attr_regwen_12_qs;
536 logic mio_pad_attr_regwen_12_wd;
537 logic mio_pad_attr_regwen_12_we;
538 logic mio_pad_attr_regwen_13_qs;
539 logic mio_pad_attr_regwen_13_wd;
540 logic mio_pad_attr_regwen_13_we;
541 logic mio_pad_attr_regwen_14_qs;
542 logic mio_pad_attr_regwen_14_wd;
543 logic mio_pad_attr_regwen_14_we;
544 logic mio_pad_attr_regwen_15_qs;
545 logic mio_pad_attr_regwen_15_wd;
546 logic mio_pad_attr_regwen_15_we;
547 logic mio_pad_attr_regwen_16_qs;
548 logic mio_pad_attr_regwen_16_wd;
549 logic mio_pad_attr_regwen_16_we;
550 logic mio_pad_attr_regwen_17_qs;
551 logic mio_pad_attr_regwen_17_wd;
552 logic mio_pad_attr_regwen_17_we;
553 logic mio_pad_attr_regwen_18_qs;
554 logic mio_pad_attr_regwen_18_wd;
555 logic mio_pad_attr_regwen_18_we;
556 logic mio_pad_attr_regwen_19_qs;
557 logic mio_pad_attr_regwen_19_wd;
558 logic mio_pad_attr_regwen_19_we;
559 logic mio_pad_attr_regwen_20_qs;
560 logic mio_pad_attr_regwen_20_wd;
561 logic mio_pad_attr_regwen_20_we;
562 logic mio_pad_attr_regwen_21_qs;
563 logic mio_pad_attr_regwen_21_wd;
564 logic mio_pad_attr_regwen_21_we;
565 logic mio_pad_attr_regwen_22_qs;
566 logic mio_pad_attr_regwen_22_wd;
567 logic mio_pad_attr_regwen_22_we;
568 logic mio_pad_attr_regwen_23_qs;
569 logic mio_pad_attr_regwen_23_wd;
570 logic mio_pad_attr_regwen_23_we;
571 logic mio_pad_attr_regwen_24_qs;
572 logic mio_pad_attr_regwen_24_wd;
573 logic mio_pad_attr_regwen_24_we;
574 logic mio_pad_attr_regwen_25_qs;
575 logic mio_pad_attr_regwen_25_wd;
576 logic mio_pad_attr_regwen_25_we;
577 logic mio_pad_attr_regwen_26_qs;
578 logic mio_pad_attr_regwen_26_wd;
579 logic mio_pad_attr_regwen_26_we;
580 logic mio_pad_attr_regwen_27_qs;
581 logic mio_pad_attr_regwen_27_wd;
582 logic mio_pad_attr_regwen_27_we;
583 logic mio_pad_attr_regwen_28_qs;
584 logic mio_pad_attr_regwen_28_wd;
585 logic mio_pad_attr_regwen_28_we;
586 logic mio_pad_attr_regwen_29_qs;
587 logic mio_pad_attr_regwen_29_wd;
588 logic mio_pad_attr_regwen_29_we;
589 logic mio_pad_attr_regwen_30_qs;
590 logic mio_pad_attr_regwen_30_wd;
591 logic mio_pad_attr_regwen_30_we;
592 logic mio_pad_attr_regwen_31_qs;
593 logic mio_pad_attr_regwen_31_wd;
594 logic mio_pad_attr_regwen_31_we;
Michael Schaffner6766d262021-04-08 18:23:58 -0700595 logic [12:0] mio_pad_attr_0_qs;
596 logic [12:0] mio_pad_attr_0_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800597 logic mio_pad_attr_0_we;
598 logic mio_pad_attr_0_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700599 logic [12:0] mio_pad_attr_1_qs;
600 logic [12:0] mio_pad_attr_1_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800601 logic mio_pad_attr_1_we;
602 logic mio_pad_attr_1_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700603 logic [12:0] mio_pad_attr_2_qs;
604 logic [12:0] mio_pad_attr_2_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800605 logic mio_pad_attr_2_we;
606 logic mio_pad_attr_2_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700607 logic [12:0] mio_pad_attr_3_qs;
608 logic [12:0] mio_pad_attr_3_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800609 logic mio_pad_attr_3_we;
610 logic mio_pad_attr_3_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700611 logic [12:0] mio_pad_attr_4_qs;
612 logic [12:0] mio_pad_attr_4_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800613 logic mio_pad_attr_4_we;
614 logic mio_pad_attr_4_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700615 logic [12:0] mio_pad_attr_5_qs;
616 logic [12:0] mio_pad_attr_5_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800617 logic mio_pad_attr_5_we;
618 logic mio_pad_attr_5_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700619 logic [12:0] mio_pad_attr_6_qs;
620 logic [12:0] mio_pad_attr_6_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800621 logic mio_pad_attr_6_we;
622 logic mio_pad_attr_6_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700623 logic [12:0] mio_pad_attr_7_qs;
624 logic [12:0] mio_pad_attr_7_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800625 logic mio_pad_attr_7_we;
626 logic mio_pad_attr_7_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700627 logic [12:0] mio_pad_attr_8_qs;
628 logic [12:0] mio_pad_attr_8_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800629 logic mio_pad_attr_8_we;
630 logic mio_pad_attr_8_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700631 logic [12:0] mio_pad_attr_9_qs;
632 logic [12:0] mio_pad_attr_9_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800633 logic mio_pad_attr_9_we;
634 logic mio_pad_attr_9_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700635 logic [12:0] mio_pad_attr_10_qs;
636 logic [12:0] mio_pad_attr_10_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800637 logic mio_pad_attr_10_we;
638 logic mio_pad_attr_10_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700639 logic [12:0] mio_pad_attr_11_qs;
640 logic [12:0] mio_pad_attr_11_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800641 logic mio_pad_attr_11_we;
642 logic mio_pad_attr_11_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700643 logic [12:0] mio_pad_attr_12_qs;
644 logic [12:0] mio_pad_attr_12_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800645 logic mio_pad_attr_12_we;
646 logic mio_pad_attr_12_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700647 logic [12:0] mio_pad_attr_13_qs;
648 logic [12:0] mio_pad_attr_13_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800649 logic mio_pad_attr_13_we;
650 logic mio_pad_attr_13_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700651 logic [12:0] mio_pad_attr_14_qs;
652 logic [12:0] mio_pad_attr_14_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800653 logic mio_pad_attr_14_we;
654 logic mio_pad_attr_14_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700655 logic [12:0] mio_pad_attr_15_qs;
656 logic [12:0] mio_pad_attr_15_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800657 logic mio_pad_attr_15_we;
658 logic mio_pad_attr_15_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700659 logic [12:0] mio_pad_attr_16_qs;
660 logic [12:0] mio_pad_attr_16_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800661 logic mio_pad_attr_16_we;
662 logic mio_pad_attr_16_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700663 logic [12:0] mio_pad_attr_17_qs;
664 logic [12:0] mio_pad_attr_17_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800665 logic mio_pad_attr_17_we;
666 logic mio_pad_attr_17_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700667 logic [12:0] mio_pad_attr_18_qs;
668 logic [12:0] mio_pad_attr_18_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800669 logic mio_pad_attr_18_we;
670 logic mio_pad_attr_18_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700671 logic [12:0] mio_pad_attr_19_qs;
672 logic [12:0] mio_pad_attr_19_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800673 logic mio_pad_attr_19_we;
674 logic mio_pad_attr_19_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700675 logic [12:0] mio_pad_attr_20_qs;
676 logic [12:0] mio_pad_attr_20_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800677 logic mio_pad_attr_20_we;
678 logic mio_pad_attr_20_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700679 logic [12:0] mio_pad_attr_21_qs;
680 logic [12:0] mio_pad_attr_21_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800681 logic mio_pad_attr_21_we;
682 logic mio_pad_attr_21_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700683 logic [12:0] mio_pad_attr_22_qs;
684 logic [12:0] mio_pad_attr_22_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800685 logic mio_pad_attr_22_we;
686 logic mio_pad_attr_22_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700687 logic [12:0] mio_pad_attr_23_qs;
688 logic [12:0] mio_pad_attr_23_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800689 logic mio_pad_attr_23_we;
690 logic mio_pad_attr_23_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700691 logic [12:0] mio_pad_attr_24_qs;
692 logic [12:0] mio_pad_attr_24_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800693 logic mio_pad_attr_24_we;
694 logic mio_pad_attr_24_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700695 logic [12:0] mio_pad_attr_25_qs;
696 logic [12:0] mio_pad_attr_25_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800697 logic mio_pad_attr_25_we;
698 logic mio_pad_attr_25_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700699 logic [12:0] mio_pad_attr_26_qs;
700 logic [12:0] mio_pad_attr_26_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800701 logic mio_pad_attr_26_we;
702 logic mio_pad_attr_26_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700703 logic [12:0] mio_pad_attr_27_qs;
704 logic [12:0] mio_pad_attr_27_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800705 logic mio_pad_attr_27_we;
706 logic mio_pad_attr_27_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700707 logic [12:0] mio_pad_attr_28_qs;
708 logic [12:0] mio_pad_attr_28_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800709 logic mio_pad_attr_28_we;
710 logic mio_pad_attr_28_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700711 logic [12:0] mio_pad_attr_29_qs;
712 logic [12:0] mio_pad_attr_29_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800713 logic mio_pad_attr_29_we;
714 logic mio_pad_attr_29_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700715 logic [12:0] mio_pad_attr_30_qs;
716 logic [12:0] mio_pad_attr_30_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800717 logic mio_pad_attr_30_we;
718 logic mio_pad_attr_30_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700719 logic [12:0] mio_pad_attr_31_qs;
720 logic [12:0] mio_pad_attr_31_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800721 logic mio_pad_attr_31_we;
722 logic mio_pad_attr_31_re;
723 logic dio_pad_attr_regwen_0_qs;
724 logic dio_pad_attr_regwen_0_wd;
725 logic dio_pad_attr_regwen_0_we;
726 logic dio_pad_attr_regwen_1_qs;
727 logic dio_pad_attr_regwen_1_wd;
728 logic dio_pad_attr_regwen_1_we;
729 logic dio_pad_attr_regwen_2_qs;
730 logic dio_pad_attr_regwen_2_wd;
731 logic dio_pad_attr_regwen_2_we;
732 logic dio_pad_attr_regwen_3_qs;
733 logic dio_pad_attr_regwen_3_wd;
734 logic dio_pad_attr_regwen_3_we;
735 logic dio_pad_attr_regwen_4_qs;
736 logic dio_pad_attr_regwen_4_wd;
737 logic dio_pad_attr_regwen_4_we;
738 logic dio_pad_attr_regwen_5_qs;
739 logic dio_pad_attr_regwen_5_wd;
740 logic dio_pad_attr_regwen_5_we;
741 logic dio_pad_attr_regwen_6_qs;
742 logic dio_pad_attr_regwen_6_wd;
743 logic dio_pad_attr_regwen_6_we;
744 logic dio_pad_attr_regwen_7_qs;
745 logic dio_pad_attr_regwen_7_wd;
746 logic dio_pad_attr_regwen_7_we;
747 logic dio_pad_attr_regwen_8_qs;
748 logic dio_pad_attr_regwen_8_wd;
749 logic dio_pad_attr_regwen_8_we;
750 logic dio_pad_attr_regwen_9_qs;
751 logic dio_pad_attr_regwen_9_wd;
752 logic dio_pad_attr_regwen_9_we;
753 logic dio_pad_attr_regwen_10_qs;
754 logic dio_pad_attr_regwen_10_wd;
755 logic dio_pad_attr_regwen_10_we;
756 logic dio_pad_attr_regwen_11_qs;
757 logic dio_pad_attr_regwen_11_wd;
758 logic dio_pad_attr_regwen_11_we;
759 logic dio_pad_attr_regwen_12_qs;
760 logic dio_pad_attr_regwen_12_wd;
761 logic dio_pad_attr_regwen_12_we;
762 logic dio_pad_attr_regwen_13_qs;
763 logic dio_pad_attr_regwen_13_wd;
764 logic dio_pad_attr_regwen_13_we;
765 logic dio_pad_attr_regwen_14_qs;
766 logic dio_pad_attr_regwen_14_wd;
767 logic dio_pad_attr_regwen_14_we;
768 logic dio_pad_attr_regwen_15_qs;
769 logic dio_pad_attr_regwen_15_wd;
770 logic dio_pad_attr_regwen_15_we;
Michael Schaffner6766d262021-04-08 18:23:58 -0700771 logic [12:0] dio_pad_attr_0_qs;
772 logic [12:0] dio_pad_attr_0_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800773 logic dio_pad_attr_0_we;
774 logic dio_pad_attr_0_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700775 logic [12:0] dio_pad_attr_1_qs;
776 logic [12:0] dio_pad_attr_1_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800777 logic dio_pad_attr_1_we;
778 logic dio_pad_attr_1_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700779 logic [12:0] dio_pad_attr_2_qs;
780 logic [12:0] dio_pad_attr_2_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800781 logic dio_pad_attr_2_we;
782 logic dio_pad_attr_2_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700783 logic [12:0] dio_pad_attr_3_qs;
784 logic [12:0] dio_pad_attr_3_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800785 logic dio_pad_attr_3_we;
786 logic dio_pad_attr_3_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700787 logic [12:0] dio_pad_attr_4_qs;
788 logic [12:0] dio_pad_attr_4_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800789 logic dio_pad_attr_4_we;
790 logic dio_pad_attr_4_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700791 logic [12:0] dio_pad_attr_5_qs;
792 logic [12:0] dio_pad_attr_5_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800793 logic dio_pad_attr_5_we;
794 logic dio_pad_attr_5_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700795 logic [12:0] dio_pad_attr_6_qs;
796 logic [12:0] dio_pad_attr_6_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800797 logic dio_pad_attr_6_we;
798 logic dio_pad_attr_6_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700799 logic [12:0] dio_pad_attr_7_qs;
800 logic [12:0] dio_pad_attr_7_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800801 logic dio_pad_attr_7_we;
802 logic dio_pad_attr_7_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700803 logic [12:0] dio_pad_attr_8_qs;
804 logic [12:0] dio_pad_attr_8_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800805 logic dio_pad_attr_8_we;
806 logic dio_pad_attr_8_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700807 logic [12:0] dio_pad_attr_9_qs;
808 logic [12:0] dio_pad_attr_9_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800809 logic dio_pad_attr_9_we;
810 logic dio_pad_attr_9_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700811 logic [12:0] dio_pad_attr_10_qs;
812 logic [12:0] dio_pad_attr_10_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800813 logic dio_pad_attr_10_we;
814 logic dio_pad_attr_10_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700815 logic [12:0] dio_pad_attr_11_qs;
816 logic [12:0] dio_pad_attr_11_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800817 logic dio_pad_attr_11_we;
818 logic dio_pad_attr_11_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700819 logic [12:0] dio_pad_attr_12_qs;
820 logic [12:0] dio_pad_attr_12_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800821 logic dio_pad_attr_12_we;
822 logic dio_pad_attr_12_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700823 logic [12:0] dio_pad_attr_13_qs;
824 logic [12:0] dio_pad_attr_13_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800825 logic dio_pad_attr_13_we;
826 logic dio_pad_attr_13_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700827 logic [12:0] dio_pad_attr_14_qs;
828 logic [12:0] dio_pad_attr_14_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800829 logic dio_pad_attr_14_we;
830 logic dio_pad_attr_14_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700831 logic [12:0] dio_pad_attr_15_qs;
832 logic [12:0] dio_pad_attr_15_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800833 logic dio_pad_attr_15_we;
834 logic dio_pad_attr_15_re;
835 logic mio_pad_sleep_status_en_0_qs;
836 logic mio_pad_sleep_status_en_0_wd;
837 logic mio_pad_sleep_status_en_0_we;
838 logic mio_pad_sleep_status_en_1_qs;
839 logic mio_pad_sleep_status_en_1_wd;
840 logic mio_pad_sleep_status_en_1_we;
841 logic mio_pad_sleep_status_en_2_qs;
842 logic mio_pad_sleep_status_en_2_wd;
843 logic mio_pad_sleep_status_en_2_we;
844 logic mio_pad_sleep_status_en_3_qs;
845 logic mio_pad_sleep_status_en_3_wd;
846 logic mio_pad_sleep_status_en_3_we;
847 logic mio_pad_sleep_status_en_4_qs;
848 logic mio_pad_sleep_status_en_4_wd;
849 logic mio_pad_sleep_status_en_4_we;
850 logic mio_pad_sleep_status_en_5_qs;
851 logic mio_pad_sleep_status_en_5_wd;
852 logic mio_pad_sleep_status_en_5_we;
853 logic mio_pad_sleep_status_en_6_qs;
854 logic mio_pad_sleep_status_en_6_wd;
855 logic mio_pad_sleep_status_en_6_we;
856 logic mio_pad_sleep_status_en_7_qs;
857 logic mio_pad_sleep_status_en_7_wd;
858 logic mio_pad_sleep_status_en_7_we;
859 logic mio_pad_sleep_status_en_8_qs;
860 logic mio_pad_sleep_status_en_8_wd;
861 logic mio_pad_sleep_status_en_8_we;
862 logic mio_pad_sleep_status_en_9_qs;
863 logic mio_pad_sleep_status_en_9_wd;
864 logic mio_pad_sleep_status_en_9_we;
865 logic mio_pad_sleep_status_en_10_qs;
866 logic mio_pad_sleep_status_en_10_wd;
867 logic mio_pad_sleep_status_en_10_we;
868 logic mio_pad_sleep_status_en_11_qs;
869 logic mio_pad_sleep_status_en_11_wd;
870 logic mio_pad_sleep_status_en_11_we;
871 logic mio_pad_sleep_status_en_12_qs;
872 logic mio_pad_sleep_status_en_12_wd;
873 logic mio_pad_sleep_status_en_12_we;
874 logic mio_pad_sleep_status_en_13_qs;
875 logic mio_pad_sleep_status_en_13_wd;
876 logic mio_pad_sleep_status_en_13_we;
877 logic mio_pad_sleep_status_en_14_qs;
878 logic mio_pad_sleep_status_en_14_wd;
879 logic mio_pad_sleep_status_en_14_we;
880 logic mio_pad_sleep_status_en_15_qs;
881 logic mio_pad_sleep_status_en_15_wd;
882 logic mio_pad_sleep_status_en_15_we;
883 logic mio_pad_sleep_status_en_16_qs;
884 logic mio_pad_sleep_status_en_16_wd;
885 logic mio_pad_sleep_status_en_16_we;
886 logic mio_pad_sleep_status_en_17_qs;
887 logic mio_pad_sleep_status_en_17_wd;
888 logic mio_pad_sleep_status_en_17_we;
889 logic mio_pad_sleep_status_en_18_qs;
890 logic mio_pad_sleep_status_en_18_wd;
891 logic mio_pad_sleep_status_en_18_we;
892 logic mio_pad_sleep_status_en_19_qs;
893 logic mio_pad_sleep_status_en_19_wd;
894 logic mio_pad_sleep_status_en_19_we;
895 logic mio_pad_sleep_status_en_20_qs;
896 logic mio_pad_sleep_status_en_20_wd;
897 logic mio_pad_sleep_status_en_20_we;
898 logic mio_pad_sleep_status_en_21_qs;
899 logic mio_pad_sleep_status_en_21_wd;
900 logic mio_pad_sleep_status_en_21_we;
901 logic mio_pad_sleep_status_en_22_qs;
902 logic mio_pad_sleep_status_en_22_wd;
903 logic mio_pad_sleep_status_en_22_we;
904 logic mio_pad_sleep_status_en_23_qs;
905 logic mio_pad_sleep_status_en_23_wd;
906 logic mio_pad_sleep_status_en_23_we;
907 logic mio_pad_sleep_status_en_24_qs;
908 logic mio_pad_sleep_status_en_24_wd;
909 logic mio_pad_sleep_status_en_24_we;
910 logic mio_pad_sleep_status_en_25_qs;
911 logic mio_pad_sleep_status_en_25_wd;
912 logic mio_pad_sleep_status_en_25_we;
913 logic mio_pad_sleep_status_en_26_qs;
914 logic mio_pad_sleep_status_en_26_wd;
915 logic mio_pad_sleep_status_en_26_we;
916 logic mio_pad_sleep_status_en_27_qs;
917 logic mio_pad_sleep_status_en_27_wd;
918 logic mio_pad_sleep_status_en_27_we;
919 logic mio_pad_sleep_status_en_28_qs;
920 logic mio_pad_sleep_status_en_28_wd;
921 logic mio_pad_sleep_status_en_28_we;
922 logic mio_pad_sleep_status_en_29_qs;
923 logic mio_pad_sleep_status_en_29_wd;
924 logic mio_pad_sleep_status_en_29_we;
925 logic mio_pad_sleep_status_en_30_qs;
926 logic mio_pad_sleep_status_en_30_wd;
927 logic mio_pad_sleep_status_en_30_we;
928 logic mio_pad_sleep_status_en_31_qs;
929 logic mio_pad_sleep_status_en_31_wd;
930 logic mio_pad_sleep_status_en_31_we;
931 logic mio_pad_sleep_regwen_0_qs;
932 logic mio_pad_sleep_regwen_0_wd;
933 logic mio_pad_sleep_regwen_0_we;
934 logic mio_pad_sleep_regwen_1_qs;
935 logic mio_pad_sleep_regwen_1_wd;
936 logic mio_pad_sleep_regwen_1_we;
937 logic mio_pad_sleep_regwen_2_qs;
938 logic mio_pad_sleep_regwen_2_wd;
939 logic mio_pad_sleep_regwen_2_we;
940 logic mio_pad_sleep_regwen_3_qs;
941 logic mio_pad_sleep_regwen_3_wd;
942 logic mio_pad_sleep_regwen_3_we;
943 logic mio_pad_sleep_regwen_4_qs;
944 logic mio_pad_sleep_regwen_4_wd;
945 logic mio_pad_sleep_regwen_4_we;
946 logic mio_pad_sleep_regwen_5_qs;
947 logic mio_pad_sleep_regwen_5_wd;
948 logic mio_pad_sleep_regwen_5_we;
949 logic mio_pad_sleep_regwen_6_qs;
950 logic mio_pad_sleep_regwen_6_wd;
951 logic mio_pad_sleep_regwen_6_we;
952 logic mio_pad_sleep_regwen_7_qs;
953 logic mio_pad_sleep_regwen_7_wd;
954 logic mio_pad_sleep_regwen_7_we;
955 logic mio_pad_sleep_regwen_8_qs;
956 logic mio_pad_sleep_regwen_8_wd;
957 logic mio_pad_sleep_regwen_8_we;
958 logic mio_pad_sleep_regwen_9_qs;
959 logic mio_pad_sleep_regwen_9_wd;
960 logic mio_pad_sleep_regwen_9_we;
961 logic mio_pad_sleep_regwen_10_qs;
962 logic mio_pad_sleep_regwen_10_wd;
963 logic mio_pad_sleep_regwen_10_we;
964 logic mio_pad_sleep_regwen_11_qs;
965 logic mio_pad_sleep_regwen_11_wd;
966 logic mio_pad_sleep_regwen_11_we;
967 logic mio_pad_sleep_regwen_12_qs;
968 logic mio_pad_sleep_regwen_12_wd;
969 logic mio_pad_sleep_regwen_12_we;
970 logic mio_pad_sleep_regwen_13_qs;
971 logic mio_pad_sleep_regwen_13_wd;
972 logic mio_pad_sleep_regwen_13_we;
973 logic mio_pad_sleep_regwen_14_qs;
974 logic mio_pad_sleep_regwen_14_wd;
975 logic mio_pad_sleep_regwen_14_we;
976 logic mio_pad_sleep_regwen_15_qs;
977 logic mio_pad_sleep_regwen_15_wd;
978 logic mio_pad_sleep_regwen_15_we;
979 logic mio_pad_sleep_regwen_16_qs;
980 logic mio_pad_sleep_regwen_16_wd;
981 logic mio_pad_sleep_regwen_16_we;
982 logic mio_pad_sleep_regwen_17_qs;
983 logic mio_pad_sleep_regwen_17_wd;
984 logic mio_pad_sleep_regwen_17_we;
985 logic mio_pad_sleep_regwen_18_qs;
986 logic mio_pad_sleep_regwen_18_wd;
987 logic mio_pad_sleep_regwen_18_we;
988 logic mio_pad_sleep_regwen_19_qs;
989 logic mio_pad_sleep_regwen_19_wd;
990 logic mio_pad_sleep_regwen_19_we;
991 logic mio_pad_sleep_regwen_20_qs;
992 logic mio_pad_sleep_regwen_20_wd;
993 logic mio_pad_sleep_regwen_20_we;
994 logic mio_pad_sleep_regwen_21_qs;
995 logic mio_pad_sleep_regwen_21_wd;
996 logic mio_pad_sleep_regwen_21_we;
997 logic mio_pad_sleep_regwen_22_qs;
998 logic mio_pad_sleep_regwen_22_wd;
999 logic mio_pad_sleep_regwen_22_we;
1000 logic mio_pad_sleep_regwen_23_qs;
1001 logic mio_pad_sleep_regwen_23_wd;
1002 logic mio_pad_sleep_regwen_23_we;
1003 logic mio_pad_sleep_regwen_24_qs;
1004 logic mio_pad_sleep_regwen_24_wd;
1005 logic mio_pad_sleep_regwen_24_we;
1006 logic mio_pad_sleep_regwen_25_qs;
1007 logic mio_pad_sleep_regwen_25_wd;
1008 logic mio_pad_sleep_regwen_25_we;
1009 logic mio_pad_sleep_regwen_26_qs;
1010 logic mio_pad_sleep_regwen_26_wd;
1011 logic mio_pad_sleep_regwen_26_we;
1012 logic mio_pad_sleep_regwen_27_qs;
1013 logic mio_pad_sleep_regwen_27_wd;
1014 logic mio_pad_sleep_regwen_27_we;
1015 logic mio_pad_sleep_regwen_28_qs;
1016 logic mio_pad_sleep_regwen_28_wd;
1017 logic mio_pad_sleep_regwen_28_we;
1018 logic mio_pad_sleep_regwen_29_qs;
1019 logic mio_pad_sleep_regwen_29_wd;
1020 logic mio_pad_sleep_regwen_29_we;
1021 logic mio_pad_sleep_regwen_30_qs;
1022 logic mio_pad_sleep_regwen_30_wd;
1023 logic mio_pad_sleep_regwen_30_we;
1024 logic mio_pad_sleep_regwen_31_qs;
1025 logic mio_pad_sleep_regwen_31_wd;
1026 logic mio_pad_sleep_regwen_31_we;
1027 logic mio_pad_sleep_en_0_qs;
1028 logic mio_pad_sleep_en_0_wd;
1029 logic mio_pad_sleep_en_0_we;
1030 logic mio_pad_sleep_en_1_qs;
1031 logic mio_pad_sleep_en_1_wd;
1032 logic mio_pad_sleep_en_1_we;
1033 logic mio_pad_sleep_en_2_qs;
1034 logic mio_pad_sleep_en_2_wd;
1035 logic mio_pad_sleep_en_2_we;
1036 logic mio_pad_sleep_en_3_qs;
1037 logic mio_pad_sleep_en_3_wd;
1038 logic mio_pad_sleep_en_3_we;
1039 logic mio_pad_sleep_en_4_qs;
1040 logic mio_pad_sleep_en_4_wd;
1041 logic mio_pad_sleep_en_4_we;
1042 logic mio_pad_sleep_en_5_qs;
1043 logic mio_pad_sleep_en_5_wd;
1044 logic mio_pad_sleep_en_5_we;
1045 logic mio_pad_sleep_en_6_qs;
1046 logic mio_pad_sleep_en_6_wd;
1047 logic mio_pad_sleep_en_6_we;
1048 logic mio_pad_sleep_en_7_qs;
1049 logic mio_pad_sleep_en_7_wd;
1050 logic mio_pad_sleep_en_7_we;
1051 logic mio_pad_sleep_en_8_qs;
1052 logic mio_pad_sleep_en_8_wd;
1053 logic mio_pad_sleep_en_8_we;
1054 logic mio_pad_sleep_en_9_qs;
1055 logic mio_pad_sleep_en_9_wd;
1056 logic mio_pad_sleep_en_9_we;
1057 logic mio_pad_sleep_en_10_qs;
1058 logic mio_pad_sleep_en_10_wd;
1059 logic mio_pad_sleep_en_10_we;
1060 logic mio_pad_sleep_en_11_qs;
1061 logic mio_pad_sleep_en_11_wd;
1062 logic mio_pad_sleep_en_11_we;
1063 logic mio_pad_sleep_en_12_qs;
1064 logic mio_pad_sleep_en_12_wd;
1065 logic mio_pad_sleep_en_12_we;
1066 logic mio_pad_sleep_en_13_qs;
1067 logic mio_pad_sleep_en_13_wd;
1068 logic mio_pad_sleep_en_13_we;
1069 logic mio_pad_sleep_en_14_qs;
1070 logic mio_pad_sleep_en_14_wd;
1071 logic mio_pad_sleep_en_14_we;
1072 logic mio_pad_sleep_en_15_qs;
1073 logic mio_pad_sleep_en_15_wd;
1074 logic mio_pad_sleep_en_15_we;
1075 logic mio_pad_sleep_en_16_qs;
1076 logic mio_pad_sleep_en_16_wd;
1077 logic mio_pad_sleep_en_16_we;
1078 logic mio_pad_sleep_en_17_qs;
1079 logic mio_pad_sleep_en_17_wd;
1080 logic mio_pad_sleep_en_17_we;
1081 logic mio_pad_sleep_en_18_qs;
1082 logic mio_pad_sleep_en_18_wd;
1083 logic mio_pad_sleep_en_18_we;
1084 logic mio_pad_sleep_en_19_qs;
1085 logic mio_pad_sleep_en_19_wd;
1086 logic mio_pad_sleep_en_19_we;
1087 logic mio_pad_sleep_en_20_qs;
1088 logic mio_pad_sleep_en_20_wd;
1089 logic mio_pad_sleep_en_20_we;
1090 logic mio_pad_sleep_en_21_qs;
1091 logic mio_pad_sleep_en_21_wd;
1092 logic mio_pad_sleep_en_21_we;
1093 logic mio_pad_sleep_en_22_qs;
1094 logic mio_pad_sleep_en_22_wd;
1095 logic mio_pad_sleep_en_22_we;
1096 logic mio_pad_sleep_en_23_qs;
1097 logic mio_pad_sleep_en_23_wd;
1098 logic mio_pad_sleep_en_23_we;
1099 logic mio_pad_sleep_en_24_qs;
1100 logic mio_pad_sleep_en_24_wd;
1101 logic mio_pad_sleep_en_24_we;
1102 logic mio_pad_sleep_en_25_qs;
1103 logic mio_pad_sleep_en_25_wd;
1104 logic mio_pad_sleep_en_25_we;
1105 logic mio_pad_sleep_en_26_qs;
1106 logic mio_pad_sleep_en_26_wd;
1107 logic mio_pad_sleep_en_26_we;
1108 logic mio_pad_sleep_en_27_qs;
1109 logic mio_pad_sleep_en_27_wd;
1110 logic mio_pad_sleep_en_27_we;
1111 logic mio_pad_sleep_en_28_qs;
1112 logic mio_pad_sleep_en_28_wd;
1113 logic mio_pad_sleep_en_28_we;
1114 logic mio_pad_sleep_en_29_qs;
1115 logic mio_pad_sleep_en_29_wd;
1116 logic mio_pad_sleep_en_29_we;
1117 logic mio_pad_sleep_en_30_qs;
1118 logic mio_pad_sleep_en_30_wd;
1119 logic mio_pad_sleep_en_30_we;
1120 logic mio_pad_sleep_en_31_qs;
1121 logic mio_pad_sleep_en_31_wd;
1122 logic mio_pad_sleep_en_31_we;
1123 logic [1:0] mio_pad_sleep_mode_0_qs;
1124 logic [1:0] mio_pad_sleep_mode_0_wd;
1125 logic mio_pad_sleep_mode_0_we;
1126 logic [1:0] mio_pad_sleep_mode_1_qs;
1127 logic [1:0] mio_pad_sleep_mode_1_wd;
1128 logic mio_pad_sleep_mode_1_we;
1129 logic [1:0] mio_pad_sleep_mode_2_qs;
1130 logic [1:0] mio_pad_sleep_mode_2_wd;
1131 logic mio_pad_sleep_mode_2_we;
1132 logic [1:0] mio_pad_sleep_mode_3_qs;
1133 logic [1:0] mio_pad_sleep_mode_3_wd;
1134 logic mio_pad_sleep_mode_3_we;
1135 logic [1:0] mio_pad_sleep_mode_4_qs;
1136 logic [1:0] mio_pad_sleep_mode_4_wd;
1137 logic mio_pad_sleep_mode_4_we;
1138 logic [1:0] mio_pad_sleep_mode_5_qs;
1139 logic [1:0] mio_pad_sleep_mode_5_wd;
1140 logic mio_pad_sleep_mode_5_we;
1141 logic [1:0] mio_pad_sleep_mode_6_qs;
1142 logic [1:0] mio_pad_sleep_mode_6_wd;
1143 logic mio_pad_sleep_mode_6_we;
1144 logic [1:0] mio_pad_sleep_mode_7_qs;
1145 logic [1:0] mio_pad_sleep_mode_7_wd;
1146 logic mio_pad_sleep_mode_7_we;
1147 logic [1:0] mio_pad_sleep_mode_8_qs;
1148 logic [1:0] mio_pad_sleep_mode_8_wd;
1149 logic mio_pad_sleep_mode_8_we;
1150 logic [1:0] mio_pad_sleep_mode_9_qs;
1151 logic [1:0] mio_pad_sleep_mode_9_wd;
1152 logic mio_pad_sleep_mode_9_we;
1153 logic [1:0] mio_pad_sleep_mode_10_qs;
1154 logic [1:0] mio_pad_sleep_mode_10_wd;
1155 logic mio_pad_sleep_mode_10_we;
1156 logic [1:0] mio_pad_sleep_mode_11_qs;
1157 logic [1:0] mio_pad_sleep_mode_11_wd;
1158 logic mio_pad_sleep_mode_11_we;
1159 logic [1:0] mio_pad_sleep_mode_12_qs;
1160 logic [1:0] mio_pad_sleep_mode_12_wd;
1161 logic mio_pad_sleep_mode_12_we;
1162 logic [1:0] mio_pad_sleep_mode_13_qs;
1163 logic [1:0] mio_pad_sleep_mode_13_wd;
1164 logic mio_pad_sleep_mode_13_we;
1165 logic [1:0] mio_pad_sleep_mode_14_qs;
1166 logic [1:0] mio_pad_sleep_mode_14_wd;
1167 logic mio_pad_sleep_mode_14_we;
1168 logic [1:0] mio_pad_sleep_mode_15_qs;
1169 logic [1:0] mio_pad_sleep_mode_15_wd;
1170 logic mio_pad_sleep_mode_15_we;
1171 logic [1:0] mio_pad_sleep_mode_16_qs;
1172 logic [1:0] mio_pad_sleep_mode_16_wd;
1173 logic mio_pad_sleep_mode_16_we;
1174 logic [1:0] mio_pad_sleep_mode_17_qs;
1175 logic [1:0] mio_pad_sleep_mode_17_wd;
1176 logic mio_pad_sleep_mode_17_we;
1177 logic [1:0] mio_pad_sleep_mode_18_qs;
1178 logic [1:0] mio_pad_sleep_mode_18_wd;
1179 logic mio_pad_sleep_mode_18_we;
1180 logic [1:0] mio_pad_sleep_mode_19_qs;
1181 logic [1:0] mio_pad_sleep_mode_19_wd;
1182 logic mio_pad_sleep_mode_19_we;
1183 logic [1:0] mio_pad_sleep_mode_20_qs;
1184 logic [1:0] mio_pad_sleep_mode_20_wd;
1185 logic mio_pad_sleep_mode_20_we;
1186 logic [1:0] mio_pad_sleep_mode_21_qs;
1187 logic [1:0] mio_pad_sleep_mode_21_wd;
1188 logic mio_pad_sleep_mode_21_we;
1189 logic [1:0] mio_pad_sleep_mode_22_qs;
1190 logic [1:0] mio_pad_sleep_mode_22_wd;
1191 logic mio_pad_sleep_mode_22_we;
1192 logic [1:0] mio_pad_sleep_mode_23_qs;
1193 logic [1:0] mio_pad_sleep_mode_23_wd;
1194 logic mio_pad_sleep_mode_23_we;
1195 logic [1:0] mio_pad_sleep_mode_24_qs;
1196 logic [1:0] mio_pad_sleep_mode_24_wd;
1197 logic mio_pad_sleep_mode_24_we;
1198 logic [1:0] mio_pad_sleep_mode_25_qs;
1199 logic [1:0] mio_pad_sleep_mode_25_wd;
1200 logic mio_pad_sleep_mode_25_we;
1201 logic [1:0] mio_pad_sleep_mode_26_qs;
1202 logic [1:0] mio_pad_sleep_mode_26_wd;
1203 logic mio_pad_sleep_mode_26_we;
1204 logic [1:0] mio_pad_sleep_mode_27_qs;
1205 logic [1:0] mio_pad_sleep_mode_27_wd;
1206 logic mio_pad_sleep_mode_27_we;
1207 logic [1:0] mio_pad_sleep_mode_28_qs;
1208 logic [1:0] mio_pad_sleep_mode_28_wd;
1209 logic mio_pad_sleep_mode_28_we;
1210 logic [1:0] mio_pad_sleep_mode_29_qs;
1211 logic [1:0] mio_pad_sleep_mode_29_wd;
1212 logic mio_pad_sleep_mode_29_we;
1213 logic [1:0] mio_pad_sleep_mode_30_qs;
1214 logic [1:0] mio_pad_sleep_mode_30_wd;
1215 logic mio_pad_sleep_mode_30_we;
1216 logic [1:0] mio_pad_sleep_mode_31_qs;
1217 logic [1:0] mio_pad_sleep_mode_31_wd;
1218 logic mio_pad_sleep_mode_31_we;
1219 logic dio_pad_sleep_status_en_0_qs;
1220 logic dio_pad_sleep_status_en_0_wd;
1221 logic dio_pad_sleep_status_en_0_we;
1222 logic dio_pad_sleep_status_en_1_qs;
1223 logic dio_pad_sleep_status_en_1_wd;
1224 logic dio_pad_sleep_status_en_1_we;
1225 logic dio_pad_sleep_status_en_2_qs;
1226 logic dio_pad_sleep_status_en_2_wd;
1227 logic dio_pad_sleep_status_en_2_we;
1228 logic dio_pad_sleep_status_en_3_qs;
1229 logic dio_pad_sleep_status_en_3_wd;
1230 logic dio_pad_sleep_status_en_3_we;
1231 logic dio_pad_sleep_status_en_4_qs;
1232 logic dio_pad_sleep_status_en_4_wd;
1233 logic dio_pad_sleep_status_en_4_we;
1234 logic dio_pad_sleep_status_en_5_qs;
1235 logic dio_pad_sleep_status_en_5_wd;
1236 logic dio_pad_sleep_status_en_5_we;
1237 logic dio_pad_sleep_status_en_6_qs;
1238 logic dio_pad_sleep_status_en_6_wd;
1239 logic dio_pad_sleep_status_en_6_we;
1240 logic dio_pad_sleep_status_en_7_qs;
1241 logic dio_pad_sleep_status_en_7_wd;
1242 logic dio_pad_sleep_status_en_7_we;
1243 logic dio_pad_sleep_status_en_8_qs;
1244 logic dio_pad_sleep_status_en_8_wd;
1245 logic dio_pad_sleep_status_en_8_we;
1246 logic dio_pad_sleep_status_en_9_qs;
1247 logic dio_pad_sleep_status_en_9_wd;
1248 logic dio_pad_sleep_status_en_9_we;
1249 logic dio_pad_sleep_status_en_10_qs;
1250 logic dio_pad_sleep_status_en_10_wd;
1251 logic dio_pad_sleep_status_en_10_we;
1252 logic dio_pad_sleep_status_en_11_qs;
1253 logic dio_pad_sleep_status_en_11_wd;
1254 logic dio_pad_sleep_status_en_11_we;
1255 logic dio_pad_sleep_status_en_12_qs;
1256 logic dio_pad_sleep_status_en_12_wd;
1257 logic dio_pad_sleep_status_en_12_we;
1258 logic dio_pad_sleep_status_en_13_qs;
1259 logic dio_pad_sleep_status_en_13_wd;
1260 logic dio_pad_sleep_status_en_13_we;
1261 logic dio_pad_sleep_status_en_14_qs;
1262 logic dio_pad_sleep_status_en_14_wd;
1263 logic dio_pad_sleep_status_en_14_we;
1264 logic dio_pad_sleep_status_en_15_qs;
1265 logic dio_pad_sleep_status_en_15_wd;
1266 logic dio_pad_sleep_status_en_15_we;
1267 logic dio_pad_sleep_regwen_0_qs;
1268 logic dio_pad_sleep_regwen_0_wd;
1269 logic dio_pad_sleep_regwen_0_we;
1270 logic dio_pad_sleep_regwen_1_qs;
1271 logic dio_pad_sleep_regwen_1_wd;
1272 logic dio_pad_sleep_regwen_1_we;
1273 logic dio_pad_sleep_regwen_2_qs;
1274 logic dio_pad_sleep_regwen_2_wd;
1275 logic dio_pad_sleep_regwen_2_we;
1276 logic dio_pad_sleep_regwen_3_qs;
1277 logic dio_pad_sleep_regwen_3_wd;
1278 logic dio_pad_sleep_regwen_3_we;
1279 logic dio_pad_sleep_regwen_4_qs;
1280 logic dio_pad_sleep_regwen_4_wd;
1281 logic dio_pad_sleep_regwen_4_we;
1282 logic dio_pad_sleep_regwen_5_qs;
1283 logic dio_pad_sleep_regwen_5_wd;
1284 logic dio_pad_sleep_regwen_5_we;
1285 logic dio_pad_sleep_regwen_6_qs;
1286 logic dio_pad_sleep_regwen_6_wd;
1287 logic dio_pad_sleep_regwen_6_we;
1288 logic dio_pad_sleep_regwen_7_qs;
1289 logic dio_pad_sleep_regwen_7_wd;
1290 logic dio_pad_sleep_regwen_7_we;
1291 logic dio_pad_sleep_regwen_8_qs;
1292 logic dio_pad_sleep_regwen_8_wd;
1293 logic dio_pad_sleep_regwen_8_we;
1294 logic dio_pad_sleep_regwen_9_qs;
1295 logic dio_pad_sleep_regwen_9_wd;
1296 logic dio_pad_sleep_regwen_9_we;
1297 logic dio_pad_sleep_regwen_10_qs;
1298 logic dio_pad_sleep_regwen_10_wd;
1299 logic dio_pad_sleep_regwen_10_we;
1300 logic dio_pad_sleep_regwen_11_qs;
1301 logic dio_pad_sleep_regwen_11_wd;
1302 logic dio_pad_sleep_regwen_11_we;
1303 logic dio_pad_sleep_regwen_12_qs;
1304 logic dio_pad_sleep_regwen_12_wd;
1305 logic dio_pad_sleep_regwen_12_we;
1306 logic dio_pad_sleep_regwen_13_qs;
1307 logic dio_pad_sleep_regwen_13_wd;
1308 logic dio_pad_sleep_regwen_13_we;
1309 logic dio_pad_sleep_regwen_14_qs;
1310 logic dio_pad_sleep_regwen_14_wd;
1311 logic dio_pad_sleep_regwen_14_we;
1312 logic dio_pad_sleep_regwen_15_qs;
1313 logic dio_pad_sleep_regwen_15_wd;
1314 logic dio_pad_sleep_regwen_15_we;
1315 logic dio_pad_sleep_en_0_qs;
1316 logic dio_pad_sleep_en_0_wd;
1317 logic dio_pad_sleep_en_0_we;
1318 logic dio_pad_sleep_en_1_qs;
1319 logic dio_pad_sleep_en_1_wd;
1320 logic dio_pad_sleep_en_1_we;
1321 logic dio_pad_sleep_en_2_qs;
1322 logic dio_pad_sleep_en_2_wd;
1323 logic dio_pad_sleep_en_2_we;
1324 logic dio_pad_sleep_en_3_qs;
1325 logic dio_pad_sleep_en_3_wd;
1326 logic dio_pad_sleep_en_3_we;
1327 logic dio_pad_sleep_en_4_qs;
1328 logic dio_pad_sleep_en_4_wd;
1329 logic dio_pad_sleep_en_4_we;
1330 logic dio_pad_sleep_en_5_qs;
1331 logic dio_pad_sleep_en_5_wd;
1332 logic dio_pad_sleep_en_5_we;
1333 logic dio_pad_sleep_en_6_qs;
1334 logic dio_pad_sleep_en_6_wd;
1335 logic dio_pad_sleep_en_6_we;
1336 logic dio_pad_sleep_en_7_qs;
1337 logic dio_pad_sleep_en_7_wd;
1338 logic dio_pad_sleep_en_7_we;
1339 logic dio_pad_sleep_en_8_qs;
1340 logic dio_pad_sleep_en_8_wd;
1341 logic dio_pad_sleep_en_8_we;
1342 logic dio_pad_sleep_en_9_qs;
1343 logic dio_pad_sleep_en_9_wd;
1344 logic dio_pad_sleep_en_9_we;
1345 logic dio_pad_sleep_en_10_qs;
1346 logic dio_pad_sleep_en_10_wd;
1347 logic dio_pad_sleep_en_10_we;
1348 logic dio_pad_sleep_en_11_qs;
1349 logic dio_pad_sleep_en_11_wd;
1350 logic dio_pad_sleep_en_11_we;
1351 logic dio_pad_sleep_en_12_qs;
1352 logic dio_pad_sleep_en_12_wd;
1353 logic dio_pad_sleep_en_12_we;
1354 logic dio_pad_sleep_en_13_qs;
1355 logic dio_pad_sleep_en_13_wd;
1356 logic dio_pad_sleep_en_13_we;
1357 logic dio_pad_sleep_en_14_qs;
1358 logic dio_pad_sleep_en_14_wd;
1359 logic dio_pad_sleep_en_14_we;
1360 logic dio_pad_sleep_en_15_qs;
1361 logic dio_pad_sleep_en_15_wd;
1362 logic dio_pad_sleep_en_15_we;
1363 logic [1:0] dio_pad_sleep_mode_0_qs;
1364 logic [1:0] dio_pad_sleep_mode_0_wd;
1365 logic dio_pad_sleep_mode_0_we;
1366 logic [1:0] dio_pad_sleep_mode_1_qs;
1367 logic [1:0] dio_pad_sleep_mode_1_wd;
1368 logic dio_pad_sleep_mode_1_we;
1369 logic [1:0] dio_pad_sleep_mode_2_qs;
1370 logic [1:0] dio_pad_sleep_mode_2_wd;
1371 logic dio_pad_sleep_mode_2_we;
1372 logic [1:0] dio_pad_sleep_mode_3_qs;
1373 logic [1:0] dio_pad_sleep_mode_3_wd;
1374 logic dio_pad_sleep_mode_3_we;
1375 logic [1:0] dio_pad_sleep_mode_4_qs;
1376 logic [1:0] dio_pad_sleep_mode_4_wd;
1377 logic dio_pad_sleep_mode_4_we;
1378 logic [1:0] dio_pad_sleep_mode_5_qs;
1379 logic [1:0] dio_pad_sleep_mode_5_wd;
1380 logic dio_pad_sleep_mode_5_we;
1381 logic [1:0] dio_pad_sleep_mode_6_qs;
1382 logic [1:0] dio_pad_sleep_mode_6_wd;
1383 logic dio_pad_sleep_mode_6_we;
1384 logic [1:0] dio_pad_sleep_mode_7_qs;
1385 logic [1:0] dio_pad_sleep_mode_7_wd;
1386 logic dio_pad_sleep_mode_7_we;
1387 logic [1:0] dio_pad_sleep_mode_8_qs;
1388 logic [1:0] dio_pad_sleep_mode_8_wd;
1389 logic dio_pad_sleep_mode_8_we;
1390 logic [1:0] dio_pad_sleep_mode_9_qs;
1391 logic [1:0] dio_pad_sleep_mode_9_wd;
1392 logic dio_pad_sleep_mode_9_we;
1393 logic [1:0] dio_pad_sleep_mode_10_qs;
1394 logic [1:0] dio_pad_sleep_mode_10_wd;
1395 logic dio_pad_sleep_mode_10_we;
1396 logic [1:0] dio_pad_sleep_mode_11_qs;
1397 logic [1:0] dio_pad_sleep_mode_11_wd;
1398 logic dio_pad_sleep_mode_11_we;
1399 logic [1:0] dio_pad_sleep_mode_12_qs;
1400 logic [1:0] dio_pad_sleep_mode_12_wd;
1401 logic dio_pad_sleep_mode_12_we;
1402 logic [1:0] dio_pad_sleep_mode_13_qs;
1403 logic [1:0] dio_pad_sleep_mode_13_wd;
1404 logic dio_pad_sleep_mode_13_we;
1405 logic [1:0] dio_pad_sleep_mode_14_qs;
1406 logic [1:0] dio_pad_sleep_mode_14_wd;
1407 logic dio_pad_sleep_mode_14_we;
1408 logic [1:0] dio_pad_sleep_mode_15_qs;
1409 logic [1:0] dio_pad_sleep_mode_15_wd;
1410 logic dio_pad_sleep_mode_15_we;
Michael Schaffner06fdb112021-02-10 16:52:56 -08001411 logic wkup_detector_regwen_0_qs;
1412 logic wkup_detector_regwen_0_wd;
1413 logic wkup_detector_regwen_0_we;
1414 logic wkup_detector_regwen_1_qs;
1415 logic wkup_detector_regwen_1_wd;
1416 logic wkup_detector_regwen_1_we;
1417 logic wkup_detector_regwen_2_qs;
1418 logic wkup_detector_regwen_2_wd;
1419 logic wkup_detector_regwen_2_we;
1420 logic wkup_detector_regwen_3_qs;
1421 logic wkup_detector_regwen_3_wd;
1422 logic wkup_detector_regwen_3_we;
1423 logic wkup_detector_regwen_4_qs;
1424 logic wkup_detector_regwen_4_wd;
1425 logic wkup_detector_regwen_4_we;
1426 logic wkup_detector_regwen_5_qs;
1427 logic wkup_detector_regwen_5_wd;
1428 logic wkup_detector_regwen_5_we;
1429 logic wkup_detector_regwen_6_qs;
1430 logic wkup_detector_regwen_6_wd;
1431 logic wkup_detector_regwen_6_we;
1432 logic wkup_detector_regwen_7_qs;
1433 logic wkup_detector_regwen_7_wd;
1434 logic wkup_detector_regwen_7_we;
1435 logic wkup_detector_en_0_qs;
1436 logic wkup_detector_en_0_wd;
1437 logic wkup_detector_en_0_we;
1438 logic wkup_detector_en_1_qs;
1439 logic wkup_detector_en_1_wd;
1440 logic wkup_detector_en_1_we;
1441 logic wkup_detector_en_2_qs;
1442 logic wkup_detector_en_2_wd;
1443 logic wkup_detector_en_2_we;
1444 logic wkup_detector_en_3_qs;
1445 logic wkup_detector_en_3_wd;
1446 logic wkup_detector_en_3_we;
1447 logic wkup_detector_en_4_qs;
1448 logic wkup_detector_en_4_wd;
1449 logic wkup_detector_en_4_we;
1450 logic wkup_detector_en_5_qs;
1451 logic wkup_detector_en_5_wd;
1452 logic wkup_detector_en_5_we;
1453 logic wkup_detector_en_6_qs;
1454 logic wkup_detector_en_6_wd;
1455 logic wkup_detector_en_6_we;
1456 logic wkup_detector_en_7_qs;
1457 logic wkup_detector_en_7_wd;
1458 logic wkup_detector_en_7_we;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +02001459 logic [2:0] wkup_detector_0_mode_0_qs;
1460 logic [2:0] wkup_detector_0_mode_0_wd;
1461 logic wkup_detector_0_mode_0_we;
1462 logic wkup_detector_0_filter_0_qs;
1463 logic wkup_detector_0_filter_0_wd;
1464 logic wkup_detector_0_filter_0_we;
1465 logic wkup_detector_0_miodio_0_qs;
1466 logic wkup_detector_0_miodio_0_wd;
1467 logic wkup_detector_0_miodio_0_we;
1468 logic [2:0] wkup_detector_1_mode_1_qs;
1469 logic [2:0] wkup_detector_1_mode_1_wd;
1470 logic wkup_detector_1_mode_1_we;
1471 logic wkup_detector_1_filter_1_qs;
1472 logic wkup_detector_1_filter_1_wd;
1473 logic wkup_detector_1_filter_1_we;
1474 logic wkup_detector_1_miodio_1_qs;
1475 logic wkup_detector_1_miodio_1_wd;
1476 logic wkup_detector_1_miodio_1_we;
1477 logic [2:0] wkup_detector_2_mode_2_qs;
1478 logic [2:0] wkup_detector_2_mode_2_wd;
1479 logic wkup_detector_2_mode_2_we;
1480 logic wkup_detector_2_filter_2_qs;
1481 logic wkup_detector_2_filter_2_wd;
1482 logic wkup_detector_2_filter_2_we;
1483 logic wkup_detector_2_miodio_2_qs;
1484 logic wkup_detector_2_miodio_2_wd;
1485 logic wkup_detector_2_miodio_2_we;
1486 logic [2:0] wkup_detector_3_mode_3_qs;
1487 logic [2:0] wkup_detector_3_mode_3_wd;
1488 logic wkup_detector_3_mode_3_we;
1489 logic wkup_detector_3_filter_3_qs;
1490 logic wkup_detector_3_filter_3_wd;
1491 logic wkup_detector_3_filter_3_we;
1492 logic wkup_detector_3_miodio_3_qs;
1493 logic wkup_detector_3_miodio_3_wd;
1494 logic wkup_detector_3_miodio_3_we;
1495 logic [2:0] wkup_detector_4_mode_4_qs;
1496 logic [2:0] wkup_detector_4_mode_4_wd;
1497 logic wkup_detector_4_mode_4_we;
1498 logic wkup_detector_4_filter_4_qs;
1499 logic wkup_detector_4_filter_4_wd;
1500 logic wkup_detector_4_filter_4_we;
1501 logic wkup_detector_4_miodio_4_qs;
1502 logic wkup_detector_4_miodio_4_wd;
1503 logic wkup_detector_4_miodio_4_we;
1504 logic [2:0] wkup_detector_5_mode_5_qs;
1505 logic [2:0] wkup_detector_5_mode_5_wd;
1506 logic wkup_detector_5_mode_5_we;
1507 logic wkup_detector_5_filter_5_qs;
1508 logic wkup_detector_5_filter_5_wd;
1509 logic wkup_detector_5_filter_5_we;
1510 logic wkup_detector_5_miodio_5_qs;
1511 logic wkup_detector_5_miodio_5_wd;
1512 logic wkup_detector_5_miodio_5_we;
1513 logic [2:0] wkup_detector_6_mode_6_qs;
1514 logic [2:0] wkup_detector_6_mode_6_wd;
1515 logic wkup_detector_6_mode_6_we;
1516 logic wkup_detector_6_filter_6_qs;
1517 logic wkup_detector_6_filter_6_wd;
1518 logic wkup_detector_6_filter_6_we;
1519 logic wkup_detector_6_miodio_6_qs;
1520 logic wkup_detector_6_miodio_6_wd;
1521 logic wkup_detector_6_miodio_6_we;
1522 logic [2:0] wkup_detector_7_mode_7_qs;
1523 logic [2:0] wkup_detector_7_mode_7_wd;
1524 logic wkup_detector_7_mode_7_we;
1525 logic wkup_detector_7_filter_7_qs;
1526 logic wkup_detector_7_filter_7_wd;
1527 logic wkup_detector_7_filter_7_we;
1528 logic wkup_detector_7_miodio_7_qs;
1529 logic wkup_detector_7_miodio_7_wd;
1530 logic wkup_detector_7_miodio_7_we;
Michael Schaffner06fdb112021-02-10 16:52:56 -08001531 logic [7:0] wkup_detector_cnt_th_0_qs;
1532 logic [7:0] wkup_detector_cnt_th_0_wd;
1533 logic wkup_detector_cnt_th_0_we;
1534 logic [7:0] wkup_detector_cnt_th_1_qs;
1535 logic [7:0] wkup_detector_cnt_th_1_wd;
1536 logic wkup_detector_cnt_th_1_we;
1537 logic [7:0] wkup_detector_cnt_th_2_qs;
1538 logic [7:0] wkup_detector_cnt_th_2_wd;
1539 logic wkup_detector_cnt_th_2_we;
1540 logic [7:0] wkup_detector_cnt_th_3_qs;
1541 logic [7:0] wkup_detector_cnt_th_3_wd;
1542 logic wkup_detector_cnt_th_3_we;
1543 logic [7:0] wkup_detector_cnt_th_4_qs;
1544 logic [7:0] wkup_detector_cnt_th_4_wd;
1545 logic wkup_detector_cnt_th_4_we;
1546 logic [7:0] wkup_detector_cnt_th_5_qs;
1547 logic [7:0] wkup_detector_cnt_th_5_wd;
1548 logic wkup_detector_cnt_th_5_we;
1549 logic [7:0] wkup_detector_cnt_th_6_qs;
1550 logic [7:0] wkup_detector_cnt_th_6_wd;
1551 logic wkup_detector_cnt_th_6_we;
1552 logic [7:0] wkup_detector_cnt_th_7_qs;
1553 logic [7:0] wkup_detector_cnt_th_7_wd;
1554 logic wkup_detector_cnt_th_7_we;
1555 logic [5:0] wkup_detector_padsel_0_qs;
1556 logic [5:0] wkup_detector_padsel_0_wd;
1557 logic wkup_detector_padsel_0_we;
1558 logic [5:0] wkup_detector_padsel_1_qs;
1559 logic [5:0] wkup_detector_padsel_1_wd;
1560 logic wkup_detector_padsel_1_we;
1561 logic [5:0] wkup_detector_padsel_2_qs;
1562 logic [5:0] wkup_detector_padsel_2_wd;
1563 logic wkup_detector_padsel_2_we;
1564 logic [5:0] wkup_detector_padsel_3_qs;
1565 logic [5:0] wkup_detector_padsel_3_wd;
1566 logic wkup_detector_padsel_3_we;
1567 logic [5:0] wkup_detector_padsel_4_qs;
1568 logic [5:0] wkup_detector_padsel_4_wd;
1569 logic wkup_detector_padsel_4_we;
1570 logic [5:0] wkup_detector_padsel_5_qs;
1571 logic [5:0] wkup_detector_padsel_5_wd;
1572 logic wkup_detector_padsel_5_we;
1573 logic [5:0] wkup_detector_padsel_6_qs;
1574 logic [5:0] wkup_detector_padsel_6_wd;
1575 logic wkup_detector_padsel_6_we;
1576 logic [5:0] wkup_detector_padsel_7_qs;
1577 logic [5:0] wkup_detector_padsel_7_wd;
1578 logic wkup_detector_padsel_7_we;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +02001579 logic wkup_cause_cause_0_qs;
1580 logic wkup_cause_cause_0_wd;
1581 logic wkup_cause_cause_0_we;
1582 logic wkup_cause_cause_0_re;
1583 logic wkup_cause_cause_1_qs;
1584 logic wkup_cause_cause_1_wd;
1585 logic wkup_cause_cause_1_we;
1586 logic wkup_cause_cause_1_re;
1587 logic wkup_cause_cause_2_qs;
1588 logic wkup_cause_cause_2_wd;
1589 logic wkup_cause_cause_2_we;
1590 logic wkup_cause_cause_2_re;
1591 logic wkup_cause_cause_3_qs;
1592 logic wkup_cause_cause_3_wd;
1593 logic wkup_cause_cause_3_we;
1594 logic wkup_cause_cause_3_re;
1595 logic wkup_cause_cause_4_qs;
1596 logic wkup_cause_cause_4_wd;
1597 logic wkup_cause_cause_4_we;
1598 logic wkup_cause_cause_4_re;
1599 logic wkup_cause_cause_5_qs;
1600 logic wkup_cause_cause_5_wd;
1601 logic wkup_cause_cause_5_we;
1602 logic wkup_cause_cause_5_re;
1603 logic wkup_cause_cause_6_qs;
1604 logic wkup_cause_cause_6_wd;
1605 logic wkup_cause_cause_6_we;
1606 logic wkup_cause_cause_6_re;
1607 logic wkup_cause_cause_7_qs;
1608 logic wkup_cause_cause_7_wd;
1609 logic wkup_cause_cause_7_we;
1610 logic wkup_cause_cause_7_re;
Michael Schaffner51c61442019-10-01 15:49:10 -07001611
1612 // Register instances
Michael Schaffneraf488fb2021-06-07 17:31:14 -07001613 // R[alert_test]: V(True)
1614
1615 prim_subreg_ext #(
1616 .DW (1)
1617 ) u_alert_test (
1618 .re (1'b0),
1619 .we (alert_test_we),
1620 .wd (alert_test_wd),
1621 .d ('0),
1622 .qre (),
1623 .qe (reg2hw.alert_test.qe),
1624 .q (reg2hw.alert_test.q),
1625 .qs ()
1626 );
1627
1628
Michael Schaffner06fdb112021-02-10 16:52:56 -08001629
1630 // Subregister 0 of Multireg mio_periph_insel_regwen
1631 // R[mio_periph_insel_regwen_0]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001632
1633 prim_subreg #(
1634 .DW (1),
Michael Schaffnerd86ff082019-10-01 17:22:59 -07001635 .SWACCESS("W0C"),
Michael Schaffner51c61442019-10-01 15:49:10 -07001636 .RESVAL (1'h1)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001637 ) u_mio_periph_insel_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001638 .clk_i (clk_i),
1639 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001640
1641 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08001642 .we (mio_periph_insel_regwen_0_we),
1643 .wd (mio_periph_insel_regwen_0_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001644
1645 // from internal hardware
1646 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001647 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001648
1649 // to internal hardware
1650 .qe (),
1651 .q (),
1652
1653 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001654 .qs (mio_periph_insel_regwen_0_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001655 );
1656
Michael Schaffner06fdb112021-02-10 16:52:56 -08001657 // Subregister 1 of Multireg mio_periph_insel_regwen
1658 // R[mio_periph_insel_regwen_1]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001659
Michael Schaffner51c61442019-10-01 15:49:10 -07001660 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001661 .DW (1),
1662 .SWACCESS("W0C"),
1663 .RESVAL (1'h1)
1664 ) u_mio_periph_insel_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001665 .clk_i (clk_i),
1666 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001667
Michael Schaffner06fdb112021-02-10 16:52:56 -08001668 // from register interface
1669 .we (mio_periph_insel_regwen_1_we),
1670 .wd (mio_periph_insel_regwen_1_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001671
1672 // from internal hardware
1673 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001674 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001675
1676 // to internal hardware
1677 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001678 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001679
1680 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001681 .qs (mio_periph_insel_regwen_1_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001682 );
1683
Michael Schaffner06fdb112021-02-10 16:52:56 -08001684 // Subregister 2 of Multireg mio_periph_insel_regwen
1685 // R[mio_periph_insel_regwen_2]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001686
Michael Schaffner51c61442019-10-01 15:49:10 -07001687 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001688 .DW (1),
1689 .SWACCESS("W0C"),
1690 .RESVAL (1'h1)
1691 ) u_mio_periph_insel_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001692 .clk_i (clk_i),
1693 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001694
Michael Schaffner06fdb112021-02-10 16:52:56 -08001695 // from register interface
1696 .we (mio_periph_insel_regwen_2_we),
1697 .wd (mio_periph_insel_regwen_2_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001698
1699 // from internal hardware
1700 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001701 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001702
1703 // to internal hardware
1704 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001705 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001706
1707 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001708 .qs (mio_periph_insel_regwen_2_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001709 );
1710
Michael Schaffner06fdb112021-02-10 16:52:56 -08001711 // Subregister 3 of Multireg mio_periph_insel_regwen
1712 // R[mio_periph_insel_regwen_3]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001713
Michael Schaffner51c61442019-10-01 15:49:10 -07001714 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001715 .DW (1),
1716 .SWACCESS("W0C"),
1717 .RESVAL (1'h1)
1718 ) u_mio_periph_insel_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001719 .clk_i (clk_i),
1720 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001721
Michael Schaffner06fdb112021-02-10 16:52:56 -08001722 // from register interface
1723 .we (mio_periph_insel_regwen_3_we),
1724 .wd (mio_periph_insel_regwen_3_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001725
1726 // from internal hardware
1727 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001728 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001729
1730 // to internal hardware
1731 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001732 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001733
1734 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001735 .qs (mio_periph_insel_regwen_3_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001736 );
1737
Michael Schaffner06fdb112021-02-10 16:52:56 -08001738 // Subregister 4 of Multireg mio_periph_insel_regwen
1739 // R[mio_periph_insel_regwen_4]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001740
Michael Schaffner51c61442019-10-01 15:49:10 -07001741 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001742 .DW (1),
1743 .SWACCESS("W0C"),
1744 .RESVAL (1'h1)
1745 ) u_mio_periph_insel_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001746 .clk_i (clk_i),
1747 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001748
Michael Schaffner06fdb112021-02-10 16:52:56 -08001749 // from register interface
1750 .we (mio_periph_insel_regwen_4_we),
1751 .wd (mio_periph_insel_regwen_4_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001752
1753 // from internal hardware
1754 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001755 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001756
1757 // to internal hardware
1758 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001759 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001760
1761 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001762 .qs (mio_periph_insel_regwen_4_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001763 );
1764
Michael Schaffner06fdb112021-02-10 16:52:56 -08001765 // Subregister 5 of Multireg mio_periph_insel_regwen
1766 // R[mio_periph_insel_regwen_5]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001767
Michael Schaffner51c61442019-10-01 15:49:10 -07001768 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001769 .DW (1),
1770 .SWACCESS("W0C"),
1771 .RESVAL (1'h1)
1772 ) u_mio_periph_insel_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001773 .clk_i (clk_i),
1774 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001775
Michael Schaffner06fdb112021-02-10 16:52:56 -08001776 // from register interface
1777 .we (mio_periph_insel_regwen_5_we),
1778 .wd (mio_periph_insel_regwen_5_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001779
1780 // from internal hardware
1781 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001782 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001783
1784 // to internal hardware
1785 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001786 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001787
1788 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001789 .qs (mio_periph_insel_regwen_5_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001790 );
1791
Michael Schaffner06fdb112021-02-10 16:52:56 -08001792 // Subregister 6 of Multireg mio_periph_insel_regwen
1793 // R[mio_periph_insel_regwen_6]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001794
Michael Schaffner51c61442019-10-01 15:49:10 -07001795 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001796 .DW (1),
1797 .SWACCESS("W0C"),
1798 .RESVAL (1'h1)
1799 ) u_mio_periph_insel_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001800 .clk_i (clk_i),
1801 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001802
Michael Schaffner06fdb112021-02-10 16:52:56 -08001803 // from register interface
1804 .we (mio_periph_insel_regwen_6_we),
1805 .wd (mio_periph_insel_regwen_6_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001806
1807 // from internal hardware
1808 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001809 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001810
1811 // to internal hardware
1812 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001813 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001814
1815 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001816 .qs (mio_periph_insel_regwen_6_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001817 );
1818
Michael Schaffner06fdb112021-02-10 16:52:56 -08001819 // Subregister 7 of Multireg mio_periph_insel_regwen
1820 // R[mio_periph_insel_regwen_7]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001821
Michael Schaffner51c61442019-10-01 15:49:10 -07001822 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001823 .DW (1),
1824 .SWACCESS("W0C"),
1825 .RESVAL (1'h1)
1826 ) u_mio_periph_insel_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001827 .clk_i (clk_i),
1828 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001829
Michael Schaffner06fdb112021-02-10 16:52:56 -08001830 // from register interface
1831 .we (mio_periph_insel_regwen_7_we),
1832 .wd (mio_periph_insel_regwen_7_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001833
1834 // from internal hardware
1835 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001836 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001837
1838 // to internal hardware
1839 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001840 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001841
1842 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001843 .qs (mio_periph_insel_regwen_7_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001844 );
1845
Michael Schaffner06fdb112021-02-10 16:52:56 -08001846 // Subregister 8 of Multireg mio_periph_insel_regwen
1847 // R[mio_periph_insel_regwen_8]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001848
Michael Schaffner51c61442019-10-01 15:49:10 -07001849 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001850 .DW (1),
1851 .SWACCESS("W0C"),
1852 .RESVAL (1'h1)
1853 ) u_mio_periph_insel_regwen_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001854 .clk_i (clk_i),
1855 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001856
Michael Schaffner06fdb112021-02-10 16:52:56 -08001857 // from register interface
1858 .we (mio_periph_insel_regwen_8_we),
1859 .wd (mio_periph_insel_regwen_8_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001860
1861 // from internal hardware
1862 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001863 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001864
1865 // to internal hardware
1866 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001867 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001868
1869 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001870 .qs (mio_periph_insel_regwen_8_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001871 );
1872
Michael Schaffner06fdb112021-02-10 16:52:56 -08001873 // Subregister 9 of Multireg mio_periph_insel_regwen
1874 // R[mio_periph_insel_regwen_9]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001875
Michael Schaffnerfb801932019-10-02 10:49:15 -07001876 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001877 .DW (1),
1878 .SWACCESS("W0C"),
1879 .RESVAL (1'h1)
1880 ) u_mio_periph_insel_regwen_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001881 .clk_i (clk_i),
1882 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001883
Michael Schaffner06fdb112021-02-10 16:52:56 -08001884 // from register interface
1885 .we (mio_periph_insel_regwen_9_we),
1886 .wd (mio_periph_insel_regwen_9_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001887
1888 // from internal hardware
1889 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001890 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001891
1892 // to internal hardware
1893 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001894 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001895
1896 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001897 .qs (mio_periph_insel_regwen_9_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001898 );
1899
Michael Schaffner06fdb112021-02-10 16:52:56 -08001900 // Subregister 10 of Multireg mio_periph_insel_regwen
1901 // R[mio_periph_insel_regwen_10]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001902
Michael Schaffnerfb801932019-10-02 10:49:15 -07001903 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001904 .DW (1),
1905 .SWACCESS("W0C"),
1906 .RESVAL (1'h1)
1907 ) u_mio_periph_insel_regwen_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001908 .clk_i (clk_i),
1909 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001910
Michael Schaffner06fdb112021-02-10 16:52:56 -08001911 // from register interface
1912 .we (mio_periph_insel_regwen_10_we),
1913 .wd (mio_periph_insel_regwen_10_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001914
1915 // from internal hardware
1916 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001917 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001918
1919 // to internal hardware
1920 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001921 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001922
1923 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001924 .qs (mio_periph_insel_regwen_10_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001925 );
1926
Michael Schaffner06fdb112021-02-10 16:52:56 -08001927 // Subregister 11 of Multireg mio_periph_insel_regwen
1928 // R[mio_periph_insel_regwen_11]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001929
Michael Schaffnerfb801932019-10-02 10:49:15 -07001930 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001931 .DW (1),
1932 .SWACCESS("W0C"),
1933 .RESVAL (1'h1)
1934 ) u_mio_periph_insel_regwen_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001935 .clk_i (clk_i),
1936 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001937
Michael Schaffner06fdb112021-02-10 16:52:56 -08001938 // from register interface
1939 .we (mio_periph_insel_regwen_11_we),
1940 .wd (mio_periph_insel_regwen_11_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001941
1942 // from internal hardware
1943 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001944 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001945
1946 // to internal hardware
1947 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001948 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001949
1950 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001951 .qs (mio_periph_insel_regwen_11_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001952 );
1953
Michael Schaffner06fdb112021-02-10 16:52:56 -08001954 // Subregister 12 of Multireg mio_periph_insel_regwen
1955 // R[mio_periph_insel_regwen_12]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001956
Michael Schaffnerfb801932019-10-02 10:49:15 -07001957 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001958 .DW (1),
1959 .SWACCESS("W0C"),
1960 .RESVAL (1'h1)
1961 ) u_mio_periph_insel_regwen_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001962 .clk_i (clk_i),
1963 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001964
Michael Schaffner06fdb112021-02-10 16:52:56 -08001965 // from register interface
1966 .we (mio_periph_insel_regwen_12_we),
1967 .wd (mio_periph_insel_regwen_12_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001968
1969 // from internal hardware
1970 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001971 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001972
1973 // to internal hardware
1974 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001975 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001976
1977 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001978 .qs (mio_periph_insel_regwen_12_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001979 );
1980
Michael Schaffner06fdb112021-02-10 16:52:56 -08001981 // Subregister 13 of Multireg mio_periph_insel_regwen
1982 // R[mio_periph_insel_regwen_13]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001983
Michael Schaffnerfb801932019-10-02 10:49:15 -07001984 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001985 .DW (1),
1986 .SWACCESS("W0C"),
1987 .RESVAL (1'h1)
1988 ) u_mio_periph_insel_regwen_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001989 .clk_i (clk_i),
1990 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001991
Michael Schaffner06fdb112021-02-10 16:52:56 -08001992 // from register interface
1993 .we (mio_periph_insel_regwen_13_we),
1994 .wd (mio_periph_insel_regwen_13_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001995
1996 // from internal hardware
1997 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001998 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001999
2000 // to internal hardware
2001 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002002 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002003
2004 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002005 .qs (mio_periph_insel_regwen_13_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07002006 );
2007
Michael Schaffner06fdb112021-02-10 16:52:56 -08002008 // Subregister 14 of Multireg mio_periph_insel_regwen
2009 // R[mio_periph_insel_regwen_14]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07002010
Michael Schaffnerfb801932019-10-02 10:49:15 -07002011 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002012 .DW (1),
2013 .SWACCESS("W0C"),
2014 .RESVAL (1'h1)
2015 ) u_mio_periph_insel_regwen_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002016 .clk_i (clk_i),
2017 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002018
Michael Schaffner06fdb112021-02-10 16:52:56 -08002019 // from register interface
2020 .we (mio_periph_insel_regwen_14_we),
2021 .wd (mio_periph_insel_regwen_14_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002022
2023 // from internal hardware
2024 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002025 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002026
2027 // to internal hardware
2028 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002029 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002030
2031 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002032 .qs (mio_periph_insel_regwen_14_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07002033 );
2034
Michael Schaffner06fdb112021-02-10 16:52:56 -08002035 // Subregister 15 of Multireg mio_periph_insel_regwen
2036 // R[mio_periph_insel_regwen_15]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07002037
Michael Schaffnerfb801932019-10-02 10:49:15 -07002038 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002039 .DW (1),
2040 .SWACCESS("W0C"),
2041 .RESVAL (1'h1)
2042 ) u_mio_periph_insel_regwen_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002043 .clk_i (clk_i),
2044 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002045
Michael Schaffner06fdb112021-02-10 16:52:56 -08002046 // from register interface
2047 .we (mio_periph_insel_regwen_15_we),
2048 .wd (mio_periph_insel_regwen_15_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002049
2050 // from internal hardware
2051 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002052 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002053
2054 // to internal hardware
2055 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002056 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002057
2058 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002059 .qs (mio_periph_insel_regwen_15_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07002060 );
2061
Michael Schaffner06fdb112021-02-10 16:52:56 -08002062 // Subregister 16 of Multireg mio_periph_insel_regwen
2063 // R[mio_periph_insel_regwen_16]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07002064
Michael Schaffnerfb801932019-10-02 10:49:15 -07002065 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002066 .DW (1),
2067 .SWACCESS("W0C"),
2068 .RESVAL (1'h1)
2069 ) u_mio_periph_insel_regwen_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002070 .clk_i (clk_i),
2071 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002072
Michael Schaffner06fdb112021-02-10 16:52:56 -08002073 // from register interface
2074 .we (mio_periph_insel_regwen_16_we),
2075 .wd (mio_periph_insel_regwen_16_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002076
2077 // from internal hardware
2078 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002079 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002080
2081 // to internal hardware
2082 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002083 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002084
2085 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002086 .qs (mio_periph_insel_regwen_16_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002087 );
2088
Michael Schaffner06fdb112021-02-10 16:52:56 -08002089 // Subregister 17 of Multireg mio_periph_insel_regwen
2090 // R[mio_periph_insel_regwen_17]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002091
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002092 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002093 .DW (1),
2094 .SWACCESS("W0C"),
2095 .RESVAL (1'h1)
2096 ) u_mio_periph_insel_regwen_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002097 .clk_i (clk_i),
2098 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002099
Michael Schaffner06fdb112021-02-10 16:52:56 -08002100 // from register interface
2101 .we (mio_periph_insel_regwen_17_we),
2102 .wd (mio_periph_insel_regwen_17_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002103
2104 // from internal hardware
2105 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002106 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002107
2108 // to internal hardware
2109 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002110 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002111
2112 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002113 .qs (mio_periph_insel_regwen_17_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002114 );
2115
Michael Schaffner06fdb112021-02-10 16:52:56 -08002116 // Subregister 18 of Multireg mio_periph_insel_regwen
2117 // R[mio_periph_insel_regwen_18]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002118
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002119 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002120 .DW (1),
2121 .SWACCESS("W0C"),
2122 .RESVAL (1'h1)
2123 ) u_mio_periph_insel_regwen_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002124 .clk_i (clk_i),
2125 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002126
Michael Schaffner06fdb112021-02-10 16:52:56 -08002127 // from register interface
2128 .we (mio_periph_insel_regwen_18_we),
2129 .wd (mio_periph_insel_regwen_18_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002130
2131 // from internal hardware
2132 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002133 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002134
2135 // to internal hardware
2136 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002137 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002138
2139 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002140 .qs (mio_periph_insel_regwen_18_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002141 );
2142
Michael Schaffner06fdb112021-02-10 16:52:56 -08002143 // Subregister 19 of Multireg mio_periph_insel_regwen
2144 // R[mio_periph_insel_regwen_19]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002145
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002146 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002147 .DW (1),
2148 .SWACCESS("W0C"),
2149 .RESVAL (1'h1)
2150 ) u_mio_periph_insel_regwen_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002151 .clk_i (clk_i),
2152 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002153
Michael Schaffner06fdb112021-02-10 16:52:56 -08002154 // from register interface
2155 .we (mio_periph_insel_regwen_19_we),
2156 .wd (mio_periph_insel_regwen_19_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002157
2158 // from internal hardware
2159 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002160 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002161
2162 // to internal hardware
2163 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002164 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002165
2166 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002167 .qs (mio_periph_insel_regwen_19_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002168 );
2169
Michael Schaffner06fdb112021-02-10 16:52:56 -08002170 // Subregister 20 of Multireg mio_periph_insel_regwen
2171 // R[mio_periph_insel_regwen_20]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002172
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002173 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002174 .DW (1),
2175 .SWACCESS("W0C"),
2176 .RESVAL (1'h1)
2177 ) u_mio_periph_insel_regwen_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002178 .clk_i (clk_i),
2179 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002180
Michael Schaffner06fdb112021-02-10 16:52:56 -08002181 // from register interface
2182 .we (mio_periph_insel_regwen_20_we),
2183 .wd (mio_periph_insel_regwen_20_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002184
2185 // from internal hardware
2186 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002187 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002188
2189 // to internal hardware
2190 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002191 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002192
2193 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002194 .qs (mio_periph_insel_regwen_20_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002195 );
2196
Michael Schaffner06fdb112021-02-10 16:52:56 -08002197 // Subregister 21 of Multireg mio_periph_insel_regwen
2198 // R[mio_periph_insel_regwen_21]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002199
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002200 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002201 .DW (1),
2202 .SWACCESS("W0C"),
2203 .RESVAL (1'h1)
2204 ) u_mio_periph_insel_regwen_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002205 .clk_i (clk_i),
2206 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002207
Michael Schaffner06fdb112021-02-10 16:52:56 -08002208 // from register interface
2209 .we (mio_periph_insel_regwen_21_we),
2210 .wd (mio_periph_insel_regwen_21_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002211
2212 // from internal hardware
2213 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002214 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002215
2216 // to internal hardware
2217 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002218 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002219
2220 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002221 .qs (mio_periph_insel_regwen_21_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002222 );
2223
Michael Schaffner06fdb112021-02-10 16:52:56 -08002224 // Subregister 22 of Multireg mio_periph_insel_regwen
2225 // R[mio_periph_insel_regwen_22]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002226
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002227 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002228 .DW (1),
2229 .SWACCESS("W0C"),
2230 .RESVAL (1'h1)
2231 ) u_mio_periph_insel_regwen_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002232 .clk_i (clk_i),
2233 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002234
Michael Schaffner06fdb112021-02-10 16:52:56 -08002235 // from register interface
2236 .we (mio_periph_insel_regwen_22_we),
2237 .wd (mio_periph_insel_regwen_22_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002238
2239 // from internal hardware
2240 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002241 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002242
2243 // to internal hardware
2244 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002245 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002246
2247 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002248 .qs (mio_periph_insel_regwen_22_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002249 );
2250
Michael Schaffner06fdb112021-02-10 16:52:56 -08002251 // Subregister 23 of Multireg mio_periph_insel_regwen
2252 // R[mio_periph_insel_regwen_23]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002253
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002254 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002255 .DW (1),
2256 .SWACCESS("W0C"),
2257 .RESVAL (1'h1)
2258 ) u_mio_periph_insel_regwen_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002259 .clk_i (clk_i),
2260 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002261
Michael Schaffner06fdb112021-02-10 16:52:56 -08002262 // from register interface
2263 .we (mio_periph_insel_regwen_23_we),
2264 .wd (mio_periph_insel_regwen_23_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002265
2266 // from internal hardware
2267 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002268 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002269
2270 // to internal hardware
2271 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002272 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002273
2274 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002275 .qs (mio_periph_insel_regwen_23_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002276 );
2277
Michael Schaffner06fdb112021-02-10 16:52:56 -08002278 // Subregister 24 of Multireg mio_periph_insel_regwen
2279 // R[mio_periph_insel_regwen_24]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002280
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002281 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002282 .DW (1),
2283 .SWACCESS("W0C"),
2284 .RESVAL (1'h1)
2285 ) u_mio_periph_insel_regwen_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002286 .clk_i (clk_i),
2287 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002288
Michael Schaffner06fdb112021-02-10 16:52:56 -08002289 // from register interface
2290 .we (mio_periph_insel_regwen_24_we),
2291 .wd (mio_periph_insel_regwen_24_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002292
2293 // from internal hardware
2294 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002295 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002296
2297 // to internal hardware
2298 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002299 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002300
2301 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002302 .qs (mio_periph_insel_regwen_24_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002303 );
2304
Michael Schaffner06fdb112021-02-10 16:52:56 -08002305 // Subregister 25 of Multireg mio_periph_insel_regwen
2306 // R[mio_periph_insel_regwen_25]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002307
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002308 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002309 .DW (1),
2310 .SWACCESS("W0C"),
2311 .RESVAL (1'h1)
2312 ) u_mio_periph_insel_regwen_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002313 .clk_i (clk_i),
2314 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002315
Michael Schaffner06fdb112021-02-10 16:52:56 -08002316 // from register interface
2317 .we (mio_periph_insel_regwen_25_we),
2318 .wd (mio_periph_insel_regwen_25_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002319
2320 // from internal hardware
2321 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002322 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002323
2324 // to internal hardware
2325 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002326 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002327
2328 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002329 .qs (mio_periph_insel_regwen_25_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002330 );
2331
Michael Schaffner06fdb112021-02-10 16:52:56 -08002332 // Subregister 26 of Multireg mio_periph_insel_regwen
2333 // R[mio_periph_insel_regwen_26]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002334
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002335 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002336 .DW (1),
2337 .SWACCESS("W0C"),
2338 .RESVAL (1'h1)
2339 ) u_mio_periph_insel_regwen_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002340 .clk_i (clk_i),
2341 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002342
Michael Schaffner06fdb112021-02-10 16:52:56 -08002343 // from register interface
2344 .we (mio_periph_insel_regwen_26_we),
2345 .wd (mio_periph_insel_regwen_26_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002346
2347 // from internal hardware
2348 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002349 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002350
2351 // to internal hardware
2352 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002353 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002354
2355 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002356 .qs (mio_periph_insel_regwen_26_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002357 );
2358
Michael Schaffner06fdb112021-02-10 16:52:56 -08002359 // Subregister 27 of Multireg mio_periph_insel_regwen
2360 // R[mio_periph_insel_regwen_27]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002361
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002362 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002363 .DW (1),
2364 .SWACCESS("W0C"),
2365 .RESVAL (1'h1)
2366 ) u_mio_periph_insel_regwen_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002367 .clk_i (clk_i),
2368 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002369
Michael Schaffner06fdb112021-02-10 16:52:56 -08002370 // from register interface
2371 .we (mio_periph_insel_regwen_27_we),
2372 .wd (mio_periph_insel_regwen_27_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002373
2374 // from internal hardware
2375 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002376 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002377
2378 // to internal hardware
2379 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002380 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002381
2382 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002383 .qs (mio_periph_insel_regwen_27_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002384 );
2385
Michael Schaffner06fdb112021-02-10 16:52:56 -08002386 // Subregister 28 of Multireg mio_periph_insel_regwen
2387 // R[mio_periph_insel_regwen_28]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002388
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002389 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002390 .DW (1),
2391 .SWACCESS("W0C"),
2392 .RESVAL (1'h1)
2393 ) u_mio_periph_insel_regwen_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002394 .clk_i (clk_i),
2395 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002396
Michael Schaffner06fdb112021-02-10 16:52:56 -08002397 // from register interface
2398 .we (mio_periph_insel_regwen_28_we),
2399 .wd (mio_periph_insel_regwen_28_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002400
2401 // from internal hardware
2402 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002403 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002404
2405 // to internal hardware
2406 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002407 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002408
2409 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002410 .qs (mio_periph_insel_regwen_28_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002411 );
2412
Michael Schaffner06fdb112021-02-10 16:52:56 -08002413 // Subregister 29 of Multireg mio_periph_insel_regwen
2414 // R[mio_periph_insel_regwen_29]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002415
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002416 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002417 .DW (1),
2418 .SWACCESS("W0C"),
2419 .RESVAL (1'h1)
2420 ) u_mio_periph_insel_regwen_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002421 .clk_i (clk_i),
2422 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002423
Michael Schaffner06fdb112021-02-10 16:52:56 -08002424 // from register interface
2425 .we (mio_periph_insel_regwen_29_we),
2426 .wd (mio_periph_insel_regwen_29_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002427
2428 // from internal hardware
2429 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002430 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002431
2432 // to internal hardware
2433 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002434 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002435
2436 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002437 .qs (mio_periph_insel_regwen_29_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002438 );
2439
Michael Schaffner06fdb112021-02-10 16:52:56 -08002440 // Subregister 30 of Multireg mio_periph_insel_regwen
2441 // R[mio_periph_insel_regwen_30]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002442
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002443 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002444 .DW (1),
2445 .SWACCESS("W0C"),
2446 .RESVAL (1'h1)
2447 ) u_mio_periph_insel_regwen_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002448 .clk_i (clk_i),
2449 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002450
Michael Schaffner06fdb112021-02-10 16:52:56 -08002451 // from register interface
2452 .we (mio_periph_insel_regwen_30_we),
2453 .wd (mio_periph_insel_regwen_30_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002454
2455 // from internal hardware
2456 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002457 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002458
2459 // to internal hardware
2460 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002461 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002462
2463 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002464 .qs (mio_periph_insel_regwen_30_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002465 );
2466
Michael Schaffner06fdb112021-02-10 16:52:56 -08002467 // Subregister 31 of Multireg mio_periph_insel_regwen
2468 // R[mio_periph_insel_regwen_31]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002469
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002470 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002471 .DW (1),
2472 .SWACCESS("W0C"),
2473 .RESVAL (1'h1)
2474 ) u_mio_periph_insel_regwen_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002475 .clk_i (clk_i),
2476 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002477
Michael Schaffner06fdb112021-02-10 16:52:56 -08002478 // from register interface
2479 .we (mio_periph_insel_regwen_31_we),
2480 .wd (mio_periph_insel_regwen_31_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002481
2482 // from internal hardware
2483 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002484 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002485
2486 // to internal hardware
2487 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002488 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002489
2490 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002491 .qs (mio_periph_insel_regwen_31_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002492 );
2493
Michael Schaffner06fdb112021-02-10 16:52:56 -08002494 // Subregister 32 of Multireg mio_periph_insel_regwen
2495 // R[mio_periph_insel_regwen_32]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002496
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002497 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002498 .DW (1),
2499 .SWACCESS("W0C"),
2500 .RESVAL (1'h1)
2501 ) u_mio_periph_insel_regwen_32 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002502 .clk_i (clk_i),
2503 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002504
Michael Schaffner06fdb112021-02-10 16:52:56 -08002505 // from register interface
2506 .we (mio_periph_insel_regwen_32_we),
2507 .wd (mio_periph_insel_regwen_32_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002508
2509 // from internal hardware
2510 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002511 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002512
2513 // to internal hardware
2514 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002515 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002516
2517 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002518 .qs (mio_periph_insel_regwen_32_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07002519 );
2520
2521
2522
Michael Schaffner06fdb112021-02-10 16:52:56 -08002523 // Subregister 0 of Multireg mio_periph_insel
2524 // R[mio_periph_insel_0]: V(False)
2525
2526 prim_subreg #(
2527 .DW (6),
2528 .SWACCESS("RW"),
2529 .RESVAL (6'h0)
2530 ) u_mio_periph_insel_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002531 .clk_i (clk_i),
2532 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002533
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002534 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002535 .we (mio_periph_insel_0_we & mio_periph_insel_regwen_0_qs),
2536 .wd (mio_periph_insel_0_wd),
2537
2538 // from internal hardware
2539 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002540 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002541
2542 // to internal hardware
2543 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002544 .q (reg2hw.mio_periph_insel[0].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002545
2546 // to register interface (read)
2547 .qs (mio_periph_insel_0_qs)
2548 );
2549
2550 // Subregister 1 of Multireg mio_periph_insel
2551 // R[mio_periph_insel_1]: V(False)
2552
2553 prim_subreg #(
2554 .DW (6),
2555 .SWACCESS("RW"),
2556 .RESVAL (6'h0)
2557 ) u_mio_periph_insel_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002558 .clk_i (clk_i),
2559 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002560
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002561 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002562 .we (mio_periph_insel_1_we & mio_periph_insel_regwen_1_qs),
2563 .wd (mio_periph_insel_1_wd),
2564
2565 // from internal hardware
2566 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002567 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002568
2569 // to internal hardware
2570 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002571 .q (reg2hw.mio_periph_insel[1].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002572
2573 // to register interface (read)
2574 .qs (mio_periph_insel_1_qs)
2575 );
2576
2577 // Subregister 2 of Multireg mio_periph_insel
2578 // R[mio_periph_insel_2]: V(False)
2579
2580 prim_subreg #(
2581 .DW (6),
2582 .SWACCESS("RW"),
2583 .RESVAL (6'h0)
2584 ) u_mio_periph_insel_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002585 .clk_i (clk_i),
2586 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002587
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002588 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002589 .we (mio_periph_insel_2_we & mio_periph_insel_regwen_2_qs),
2590 .wd (mio_periph_insel_2_wd),
2591
2592 // from internal hardware
2593 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002594 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002595
2596 // to internal hardware
2597 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002598 .q (reg2hw.mio_periph_insel[2].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002599
2600 // to register interface (read)
2601 .qs (mio_periph_insel_2_qs)
2602 );
2603
2604 // Subregister 3 of Multireg mio_periph_insel
2605 // R[mio_periph_insel_3]: V(False)
2606
2607 prim_subreg #(
2608 .DW (6),
2609 .SWACCESS("RW"),
2610 .RESVAL (6'h0)
2611 ) u_mio_periph_insel_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002612 .clk_i (clk_i),
2613 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002614
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002615 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002616 .we (mio_periph_insel_3_we & mio_periph_insel_regwen_3_qs),
2617 .wd (mio_periph_insel_3_wd),
2618
2619 // from internal hardware
2620 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002621 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002622
2623 // to internal hardware
2624 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002625 .q (reg2hw.mio_periph_insel[3].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002626
2627 // to register interface (read)
2628 .qs (mio_periph_insel_3_qs)
2629 );
2630
2631 // Subregister 4 of Multireg mio_periph_insel
2632 // R[mio_periph_insel_4]: V(False)
2633
2634 prim_subreg #(
2635 .DW (6),
2636 .SWACCESS("RW"),
2637 .RESVAL (6'h0)
2638 ) u_mio_periph_insel_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002639 .clk_i (clk_i),
2640 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002641
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002642 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002643 .we (mio_periph_insel_4_we & mio_periph_insel_regwen_4_qs),
2644 .wd (mio_periph_insel_4_wd),
2645
2646 // from internal hardware
2647 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002648 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002649
2650 // to internal hardware
2651 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002652 .q (reg2hw.mio_periph_insel[4].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002653
2654 // to register interface (read)
2655 .qs (mio_periph_insel_4_qs)
2656 );
2657
2658 // Subregister 5 of Multireg mio_periph_insel
2659 // R[mio_periph_insel_5]: V(False)
2660
2661 prim_subreg #(
2662 .DW (6),
2663 .SWACCESS("RW"),
2664 .RESVAL (6'h0)
2665 ) u_mio_periph_insel_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002666 .clk_i (clk_i),
2667 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002668
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002669 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002670 .we (mio_periph_insel_5_we & mio_periph_insel_regwen_5_qs),
2671 .wd (mio_periph_insel_5_wd),
2672
2673 // from internal hardware
2674 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002675 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002676
2677 // to internal hardware
2678 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002679 .q (reg2hw.mio_periph_insel[5].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002680
2681 // to register interface (read)
2682 .qs (mio_periph_insel_5_qs)
2683 );
2684
2685 // Subregister 6 of Multireg mio_periph_insel
2686 // R[mio_periph_insel_6]: V(False)
2687
2688 prim_subreg #(
2689 .DW (6),
2690 .SWACCESS("RW"),
2691 .RESVAL (6'h0)
2692 ) u_mio_periph_insel_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002693 .clk_i (clk_i),
2694 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002695
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002696 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002697 .we (mio_periph_insel_6_we & mio_periph_insel_regwen_6_qs),
2698 .wd (mio_periph_insel_6_wd),
2699
2700 // from internal hardware
2701 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002702 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002703
2704 // to internal hardware
2705 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002706 .q (reg2hw.mio_periph_insel[6].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002707
2708 // to register interface (read)
2709 .qs (mio_periph_insel_6_qs)
2710 );
2711
2712 // Subregister 7 of Multireg mio_periph_insel
2713 // R[mio_periph_insel_7]: V(False)
2714
2715 prim_subreg #(
2716 .DW (6),
2717 .SWACCESS("RW"),
2718 .RESVAL (6'h0)
2719 ) u_mio_periph_insel_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002720 .clk_i (clk_i),
2721 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002722
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002723 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002724 .we (mio_periph_insel_7_we & mio_periph_insel_regwen_7_qs),
2725 .wd (mio_periph_insel_7_wd),
2726
2727 // from internal hardware
2728 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002729 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002730
2731 // to internal hardware
2732 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002733 .q (reg2hw.mio_periph_insel[7].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002734
2735 // to register interface (read)
2736 .qs (mio_periph_insel_7_qs)
2737 );
2738
2739 // Subregister 8 of Multireg mio_periph_insel
2740 // R[mio_periph_insel_8]: V(False)
2741
2742 prim_subreg #(
2743 .DW (6),
2744 .SWACCESS("RW"),
2745 .RESVAL (6'h0)
2746 ) u_mio_periph_insel_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002747 .clk_i (clk_i),
2748 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002749
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002750 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002751 .we (mio_periph_insel_8_we & mio_periph_insel_regwen_8_qs),
2752 .wd (mio_periph_insel_8_wd),
2753
2754 // from internal hardware
2755 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002756 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002757
2758 // to internal hardware
2759 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002760 .q (reg2hw.mio_periph_insel[8].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002761
2762 // to register interface (read)
2763 .qs (mio_periph_insel_8_qs)
2764 );
2765
2766 // Subregister 9 of Multireg mio_periph_insel
2767 // R[mio_periph_insel_9]: V(False)
2768
2769 prim_subreg #(
2770 .DW (6),
2771 .SWACCESS("RW"),
2772 .RESVAL (6'h0)
2773 ) u_mio_periph_insel_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002774 .clk_i (clk_i),
2775 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002776
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002777 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002778 .we (mio_periph_insel_9_we & mio_periph_insel_regwen_9_qs),
2779 .wd (mio_periph_insel_9_wd),
2780
2781 // from internal hardware
2782 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002783 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002784
2785 // to internal hardware
2786 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002787 .q (reg2hw.mio_periph_insel[9].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002788
2789 // to register interface (read)
2790 .qs (mio_periph_insel_9_qs)
2791 );
2792
2793 // Subregister 10 of Multireg mio_periph_insel
2794 // R[mio_periph_insel_10]: V(False)
2795
2796 prim_subreg #(
2797 .DW (6),
2798 .SWACCESS("RW"),
2799 .RESVAL (6'h0)
2800 ) u_mio_periph_insel_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002801 .clk_i (clk_i),
2802 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002803
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002804 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002805 .we (mio_periph_insel_10_we & mio_periph_insel_regwen_10_qs),
2806 .wd (mio_periph_insel_10_wd),
2807
2808 // from internal hardware
2809 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002810 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002811
2812 // to internal hardware
2813 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002814 .q (reg2hw.mio_periph_insel[10].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002815
2816 // to register interface (read)
2817 .qs (mio_periph_insel_10_qs)
2818 );
2819
2820 // Subregister 11 of Multireg mio_periph_insel
2821 // R[mio_periph_insel_11]: V(False)
2822
2823 prim_subreg #(
2824 .DW (6),
2825 .SWACCESS("RW"),
2826 .RESVAL (6'h0)
2827 ) u_mio_periph_insel_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002828 .clk_i (clk_i),
2829 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002830
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002831 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002832 .we (mio_periph_insel_11_we & mio_periph_insel_regwen_11_qs),
2833 .wd (mio_periph_insel_11_wd),
2834
2835 // from internal hardware
2836 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002837 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002838
2839 // to internal hardware
2840 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002841 .q (reg2hw.mio_periph_insel[11].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002842
2843 // to register interface (read)
2844 .qs (mio_periph_insel_11_qs)
2845 );
2846
2847 // Subregister 12 of Multireg mio_periph_insel
2848 // R[mio_periph_insel_12]: V(False)
2849
2850 prim_subreg #(
2851 .DW (6),
2852 .SWACCESS("RW"),
2853 .RESVAL (6'h0)
2854 ) u_mio_periph_insel_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002855 .clk_i (clk_i),
2856 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002857
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002858 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002859 .we (mio_periph_insel_12_we & mio_periph_insel_regwen_12_qs),
2860 .wd (mio_periph_insel_12_wd),
2861
2862 // from internal hardware
2863 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002864 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002865
2866 // to internal hardware
2867 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002868 .q (reg2hw.mio_periph_insel[12].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002869
2870 // to register interface (read)
2871 .qs (mio_periph_insel_12_qs)
2872 );
2873
2874 // Subregister 13 of Multireg mio_periph_insel
2875 // R[mio_periph_insel_13]: V(False)
2876
2877 prim_subreg #(
2878 .DW (6),
2879 .SWACCESS("RW"),
2880 .RESVAL (6'h0)
2881 ) u_mio_periph_insel_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002882 .clk_i (clk_i),
2883 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002884
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002885 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002886 .we (mio_periph_insel_13_we & mio_periph_insel_regwen_13_qs),
2887 .wd (mio_periph_insel_13_wd),
2888
2889 // from internal hardware
2890 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002891 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002892
2893 // to internal hardware
2894 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002895 .q (reg2hw.mio_periph_insel[13].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002896
2897 // to register interface (read)
2898 .qs (mio_periph_insel_13_qs)
2899 );
2900
2901 // Subregister 14 of Multireg mio_periph_insel
2902 // R[mio_periph_insel_14]: V(False)
2903
2904 prim_subreg #(
2905 .DW (6),
2906 .SWACCESS("RW"),
2907 .RESVAL (6'h0)
2908 ) u_mio_periph_insel_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002909 .clk_i (clk_i),
2910 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002911
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002912 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002913 .we (mio_periph_insel_14_we & mio_periph_insel_regwen_14_qs),
2914 .wd (mio_periph_insel_14_wd),
2915
2916 // from internal hardware
2917 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002918 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002919
2920 // to internal hardware
2921 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002922 .q (reg2hw.mio_periph_insel[14].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002923
2924 // to register interface (read)
2925 .qs (mio_periph_insel_14_qs)
2926 );
2927
2928 // Subregister 15 of Multireg mio_periph_insel
2929 // R[mio_periph_insel_15]: V(False)
2930
2931 prim_subreg #(
2932 .DW (6),
2933 .SWACCESS("RW"),
2934 .RESVAL (6'h0)
2935 ) u_mio_periph_insel_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002936 .clk_i (clk_i),
2937 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002938
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002939 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002940 .we (mio_periph_insel_15_we & mio_periph_insel_regwen_15_qs),
2941 .wd (mio_periph_insel_15_wd),
2942
2943 // from internal hardware
2944 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002945 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002946
2947 // to internal hardware
2948 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002949 .q (reg2hw.mio_periph_insel[15].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002950
2951 // to register interface (read)
2952 .qs (mio_periph_insel_15_qs)
2953 );
2954
2955 // Subregister 16 of Multireg mio_periph_insel
2956 // R[mio_periph_insel_16]: V(False)
2957
2958 prim_subreg #(
2959 .DW (6),
2960 .SWACCESS("RW"),
2961 .RESVAL (6'h0)
2962 ) u_mio_periph_insel_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002963 .clk_i (clk_i),
2964 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002965
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002966 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002967 .we (mio_periph_insel_16_we & mio_periph_insel_regwen_16_qs),
2968 .wd (mio_periph_insel_16_wd),
2969
2970 // from internal hardware
2971 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002972 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002973
2974 // to internal hardware
2975 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002976 .q (reg2hw.mio_periph_insel[16].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002977
2978 // to register interface (read)
2979 .qs (mio_periph_insel_16_qs)
2980 );
2981
2982 // Subregister 17 of Multireg mio_periph_insel
2983 // R[mio_periph_insel_17]: V(False)
2984
2985 prim_subreg #(
2986 .DW (6),
2987 .SWACCESS("RW"),
2988 .RESVAL (6'h0)
2989 ) u_mio_periph_insel_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002990 .clk_i (clk_i),
2991 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002992
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002993 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002994 .we (mio_periph_insel_17_we & mio_periph_insel_regwen_17_qs),
2995 .wd (mio_periph_insel_17_wd),
2996
2997 // from internal hardware
2998 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002999 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003000
3001 // to internal hardware
3002 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003003 .q (reg2hw.mio_periph_insel[17].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003004
3005 // to register interface (read)
3006 .qs (mio_periph_insel_17_qs)
3007 );
3008
3009 // Subregister 18 of Multireg mio_periph_insel
3010 // R[mio_periph_insel_18]: V(False)
3011
3012 prim_subreg #(
3013 .DW (6),
3014 .SWACCESS("RW"),
3015 .RESVAL (6'h0)
3016 ) u_mio_periph_insel_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003017 .clk_i (clk_i),
3018 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003019
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003020 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003021 .we (mio_periph_insel_18_we & mio_periph_insel_regwen_18_qs),
3022 .wd (mio_periph_insel_18_wd),
3023
3024 // from internal hardware
3025 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003026 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003027
3028 // to internal hardware
3029 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003030 .q (reg2hw.mio_periph_insel[18].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003031
3032 // to register interface (read)
3033 .qs (mio_periph_insel_18_qs)
3034 );
3035
3036 // Subregister 19 of Multireg mio_periph_insel
3037 // R[mio_periph_insel_19]: V(False)
3038
3039 prim_subreg #(
3040 .DW (6),
3041 .SWACCESS("RW"),
3042 .RESVAL (6'h0)
3043 ) u_mio_periph_insel_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003044 .clk_i (clk_i),
3045 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003046
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003047 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003048 .we (mio_periph_insel_19_we & mio_periph_insel_regwen_19_qs),
3049 .wd (mio_periph_insel_19_wd),
3050
3051 // from internal hardware
3052 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003053 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003054
3055 // to internal hardware
3056 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003057 .q (reg2hw.mio_periph_insel[19].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003058
3059 // to register interface (read)
3060 .qs (mio_periph_insel_19_qs)
3061 );
3062
3063 // Subregister 20 of Multireg mio_periph_insel
3064 // R[mio_periph_insel_20]: V(False)
3065
3066 prim_subreg #(
3067 .DW (6),
3068 .SWACCESS("RW"),
3069 .RESVAL (6'h0)
3070 ) u_mio_periph_insel_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003071 .clk_i (clk_i),
3072 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003073
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003074 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003075 .we (mio_periph_insel_20_we & mio_periph_insel_regwen_20_qs),
3076 .wd (mio_periph_insel_20_wd),
3077
3078 // from internal hardware
3079 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003080 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003081
3082 // to internal hardware
3083 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003084 .q (reg2hw.mio_periph_insel[20].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003085
3086 // to register interface (read)
3087 .qs (mio_periph_insel_20_qs)
3088 );
3089
3090 // Subregister 21 of Multireg mio_periph_insel
3091 // R[mio_periph_insel_21]: V(False)
3092
3093 prim_subreg #(
3094 .DW (6),
3095 .SWACCESS("RW"),
3096 .RESVAL (6'h0)
3097 ) u_mio_periph_insel_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003098 .clk_i (clk_i),
3099 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003100
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003101 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003102 .we (mio_periph_insel_21_we & mio_periph_insel_regwen_21_qs),
3103 .wd (mio_periph_insel_21_wd),
3104
3105 // from internal hardware
3106 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003107 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003108
3109 // to internal hardware
3110 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003111 .q (reg2hw.mio_periph_insel[21].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003112
3113 // to register interface (read)
3114 .qs (mio_periph_insel_21_qs)
3115 );
3116
3117 // Subregister 22 of Multireg mio_periph_insel
3118 // R[mio_periph_insel_22]: V(False)
3119
3120 prim_subreg #(
3121 .DW (6),
3122 .SWACCESS("RW"),
3123 .RESVAL (6'h0)
3124 ) u_mio_periph_insel_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003125 .clk_i (clk_i),
3126 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003127
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003128 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003129 .we (mio_periph_insel_22_we & mio_periph_insel_regwen_22_qs),
3130 .wd (mio_periph_insel_22_wd),
3131
3132 // from internal hardware
3133 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003134 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003135
3136 // to internal hardware
3137 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003138 .q (reg2hw.mio_periph_insel[22].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003139
3140 // to register interface (read)
3141 .qs (mio_periph_insel_22_qs)
3142 );
3143
3144 // Subregister 23 of Multireg mio_periph_insel
3145 // R[mio_periph_insel_23]: V(False)
3146
3147 prim_subreg #(
3148 .DW (6),
3149 .SWACCESS("RW"),
3150 .RESVAL (6'h0)
3151 ) u_mio_periph_insel_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003152 .clk_i (clk_i),
3153 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003154
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003155 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003156 .we (mio_periph_insel_23_we & mio_periph_insel_regwen_23_qs),
3157 .wd (mio_periph_insel_23_wd),
3158
3159 // from internal hardware
3160 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003161 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003162
3163 // to internal hardware
3164 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003165 .q (reg2hw.mio_periph_insel[23].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003166
3167 // to register interface (read)
3168 .qs (mio_periph_insel_23_qs)
3169 );
3170
3171 // Subregister 24 of Multireg mio_periph_insel
3172 // R[mio_periph_insel_24]: V(False)
3173
3174 prim_subreg #(
3175 .DW (6),
3176 .SWACCESS("RW"),
3177 .RESVAL (6'h0)
3178 ) u_mio_periph_insel_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003179 .clk_i (clk_i),
3180 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003181
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003182 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003183 .we (mio_periph_insel_24_we & mio_periph_insel_regwen_24_qs),
3184 .wd (mio_periph_insel_24_wd),
3185
3186 // from internal hardware
3187 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003188 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003189
3190 // to internal hardware
3191 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003192 .q (reg2hw.mio_periph_insel[24].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003193
3194 // to register interface (read)
3195 .qs (mio_periph_insel_24_qs)
3196 );
3197
3198 // Subregister 25 of Multireg mio_periph_insel
3199 // R[mio_periph_insel_25]: V(False)
3200
3201 prim_subreg #(
3202 .DW (6),
3203 .SWACCESS("RW"),
3204 .RESVAL (6'h0)
3205 ) u_mio_periph_insel_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003206 .clk_i (clk_i),
3207 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003208
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003209 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003210 .we (mio_periph_insel_25_we & mio_periph_insel_regwen_25_qs),
3211 .wd (mio_periph_insel_25_wd),
3212
3213 // from internal hardware
3214 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003215 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003216
3217 // to internal hardware
3218 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003219 .q (reg2hw.mio_periph_insel[25].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003220
3221 // to register interface (read)
3222 .qs (mio_periph_insel_25_qs)
3223 );
3224
3225 // Subregister 26 of Multireg mio_periph_insel
3226 // R[mio_periph_insel_26]: V(False)
3227
3228 prim_subreg #(
3229 .DW (6),
3230 .SWACCESS("RW"),
3231 .RESVAL (6'h0)
3232 ) u_mio_periph_insel_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003233 .clk_i (clk_i),
3234 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003235
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003236 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003237 .we (mio_periph_insel_26_we & mio_periph_insel_regwen_26_qs),
3238 .wd (mio_periph_insel_26_wd),
3239
3240 // from internal hardware
3241 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003242 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003243
3244 // to internal hardware
3245 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003246 .q (reg2hw.mio_periph_insel[26].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003247
3248 // to register interface (read)
3249 .qs (mio_periph_insel_26_qs)
3250 );
3251
3252 // Subregister 27 of Multireg mio_periph_insel
3253 // R[mio_periph_insel_27]: V(False)
3254
3255 prim_subreg #(
3256 .DW (6),
3257 .SWACCESS("RW"),
3258 .RESVAL (6'h0)
3259 ) u_mio_periph_insel_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003260 .clk_i (clk_i),
3261 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003262
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003263 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003264 .we (mio_periph_insel_27_we & mio_periph_insel_regwen_27_qs),
3265 .wd (mio_periph_insel_27_wd),
3266
3267 // from internal hardware
3268 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003269 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003270
3271 // to internal hardware
3272 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003273 .q (reg2hw.mio_periph_insel[27].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003274
3275 // to register interface (read)
3276 .qs (mio_periph_insel_27_qs)
3277 );
3278
3279 // Subregister 28 of Multireg mio_periph_insel
3280 // R[mio_periph_insel_28]: V(False)
3281
3282 prim_subreg #(
3283 .DW (6),
3284 .SWACCESS("RW"),
3285 .RESVAL (6'h0)
3286 ) u_mio_periph_insel_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003287 .clk_i (clk_i),
3288 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003289
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003290 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003291 .we (mio_periph_insel_28_we & mio_periph_insel_regwen_28_qs),
3292 .wd (mio_periph_insel_28_wd),
3293
3294 // from internal hardware
3295 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003296 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003297
3298 // to internal hardware
3299 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003300 .q (reg2hw.mio_periph_insel[28].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003301
3302 // to register interface (read)
3303 .qs (mio_periph_insel_28_qs)
3304 );
3305
3306 // Subregister 29 of Multireg mio_periph_insel
3307 // R[mio_periph_insel_29]: V(False)
3308
3309 prim_subreg #(
3310 .DW (6),
3311 .SWACCESS("RW"),
3312 .RESVAL (6'h0)
3313 ) u_mio_periph_insel_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003314 .clk_i (clk_i),
3315 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003316
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003317 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003318 .we (mio_periph_insel_29_we & mio_periph_insel_regwen_29_qs),
3319 .wd (mio_periph_insel_29_wd),
3320
3321 // from internal hardware
3322 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003323 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003324
3325 // to internal hardware
3326 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003327 .q (reg2hw.mio_periph_insel[29].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003328
3329 // to register interface (read)
3330 .qs (mio_periph_insel_29_qs)
3331 );
3332
3333 // Subregister 30 of Multireg mio_periph_insel
3334 // R[mio_periph_insel_30]: V(False)
3335
3336 prim_subreg #(
3337 .DW (6),
3338 .SWACCESS("RW"),
3339 .RESVAL (6'h0)
3340 ) u_mio_periph_insel_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003341 .clk_i (clk_i),
3342 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003343
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003344 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003345 .we (mio_periph_insel_30_we & mio_periph_insel_regwen_30_qs),
3346 .wd (mio_periph_insel_30_wd),
3347
3348 // from internal hardware
3349 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003350 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003351
3352 // to internal hardware
3353 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003354 .q (reg2hw.mio_periph_insel[30].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003355
3356 // to register interface (read)
3357 .qs (mio_periph_insel_30_qs)
3358 );
3359
3360 // Subregister 31 of Multireg mio_periph_insel
3361 // R[mio_periph_insel_31]: V(False)
3362
3363 prim_subreg #(
3364 .DW (6),
3365 .SWACCESS("RW"),
3366 .RESVAL (6'h0)
3367 ) u_mio_periph_insel_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003368 .clk_i (clk_i),
3369 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003370
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003371 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003372 .we (mio_periph_insel_31_we & mio_periph_insel_regwen_31_qs),
3373 .wd (mio_periph_insel_31_wd),
3374
3375 // from internal hardware
3376 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003377 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003378
3379 // to internal hardware
3380 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003381 .q (reg2hw.mio_periph_insel[31].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003382
3383 // to register interface (read)
3384 .qs (mio_periph_insel_31_qs)
3385 );
3386
3387 // Subregister 32 of Multireg mio_periph_insel
3388 // R[mio_periph_insel_32]: V(False)
3389
3390 prim_subreg #(
3391 .DW (6),
3392 .SWACCESS("RW"),
3393 .RESVAL (6'h0)
3394 ) u_mio_periph_insel_32 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003395 .clk_i (clk_i),
3396 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003397
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003398 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003399 .we (mio_periph_insel_32_we & mio_periph_insel_regwen_32_qs),
3400 .wd (mio_periph_insel_32_wd),
3401
3402 // from internal hardware
3403 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003404 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003405
3406 // to internal hardware
3407 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003408 .q (reg2hw.mio_periph_insel[32].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003409
3410 // to register interface (read)
3411 .qs (mio_periph_insel_32_qs)
3412 );
3413
3414
3415
3416 // Subregister 0 of Multireg mio_outsel_regwen
3417 // R[mio_outsel_regwen_0]: V(False)
3418
3419 prim_subreg #(
3420 .DW (1),
3421 .SWACCESS("W0C"),
3422 .RESVAL (1'h1)
3423 ) u_mio_outsel_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003424 .clk_i (clk_i),
3425 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003426
3427 // from register interface
3428 .we (mio_outsel_regwen_0_we),
3429 .wd (mio_outsel_regwen_0_wd),
3430
3431 // from internal hardware
3432 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003433 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003434
3435 // to internal hardware
3436 .qe (),
3437 .q (),
3438
3439 // to register interface (read)
3440 .qs (mio_outsel_regwen_0_qs)
3441 );
3442
3443 // Subregister 1 of Multireg mio_outsel_regwen
3444 // R[mio_outsel_regwen_1]: V(False)
3445
3446 prim_subreg #(
3447 .DW (1),
3448 .SWACCESS("W0C"),
3449 .RESVAL (1'h1)
3450 ) u_mio_outsel_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003451 .clk_i (clk_i),
3452 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003453
3454 // from register interface
3455 .we (mio_outsel_regwen_1_we),
3456 .wd (mio_outsel_regwen_1_wd),
3457
3458 // from internal hardware
3459 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003460 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003461
3462 // to internal hardware
3463 .qe (),
3464 .q (),
3465
3466 // to register interface (read)
3467 .qs (mio_outsel_regwen_1_qs)
3468 );
3469
3470 // Subregister 2 of Multireg mio_outsel_regwen
3471 // R[mio_outsel_regwen_2]: V(False)
3472
3473 prim_subreg #(
3474 .DW (1),
3475 .SWACCESS("W0C"),
3476 .RESVAL (1'h1)
3477 ) u_mio_outsel_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003478 .clk_i (clk_i),
3479 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003480
3481 // from register interface
3482 .we (mio_outsel_regwen_2_we),
3483 .wd (mio_outsel_regwen_2_wd),
3484
3485 // from internal hardware
3486 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003487 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003488
3489 // to internal hardware
3490 .qe (),
3491 .q (),
3492
3493 // to register interface (read)
3494 .qs (mio_outsel_regwen_2_qs)
3495 );
3496
3497 // Subregister 3 of Multireg mio_outsel_regwen
3498 // R[mio_outsel_regwen_3]: V(False)
3499
3500 prim_subreg #(
3501 .DW (1),
3502 .SWACCESS("W0C"),
3503 .RESVAL (1'h1)
3504 ) u_mio_outsel_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003505 .clk_i (clk_i),
3506 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003507
3508 // from register interface
3509 .we (mio_outsel_regwen_3_we),
3510 .wd (mio_outsel_regwen_3_wd),
3511
3512 // from internal hardware
3513 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003514 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003515
3516 // to internal hardware
3517 .qe (),
3518 .q (),
3519
3520 // to register interface (read)
3521 .qs (mio_outsel_regwen_3_qs)
3522 );
3523
3524 // Subregister 4 of Multireg mio_outsel_regwen
3525 // R[mio_outsel_regwen_4]: V(False)
3526
3527 prim_subreg #(
3528 .DW (1),
3529 .SWACCESS("W0C"),
3530 .RESVAL (1'h1)
3531 ) u_mio_outsel_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003532 .clk_i (clk_i),
3533 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003534
3535 // from register interface
3536 .we (mio_outsel_regwen_4_we),
3537 .wd (mio_outsel_regwen_4_wd),
3538
3539 // from internal hardware
3540 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003541 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003542
3543 // to internal hardware
3544 .qe (),
3545 .q (),
3546
3547 // to register interface (read)
3548 .qs (mio_outsel_regwen_4_qs)
3549 );
3550
3551 // Subregister 5 of Multireg mio_outsel_regwen
3552 // R[mio_outsel_regwen_5]: V(False)
3553
3554 prim_subreg #(
3555 .DW (1),
3556 .SWACCESS("W0C"),
3557 .RESVAL (1'h1)
3558 ) u_mio_outsel_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003559 .clk_i (clk_i),
3560 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003561
3562 // from register interface
3563 .we (mio_outsel_regwen_5_we),
3564 .wd (mio_outsel_regwen_5_wd),
3565
3566 // from internal hardware
3567 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003568 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003569
3570 // to internal hardware
3571 .qe (),
3572 .q (),
3573
3574 // to register interface (read)
3575 .qs (mio_outsel_regwen_5_qs)
3576 );
3577
3578 // Subregister 6 of Multireg mio_outsel_regwen
3579 // R[mio_outsel_regwen_6]: V(False)
3580
3581 prim_subreg #(
3582 .DW (1),
3583 .SWACCESS("W0C"),
3584 .RESVAL (1'h1)
3585 ) u_mio_outsel_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003586 .clk_i (clk_i),
3587 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003588
3589 // from register interface
3590 .we (mio_outsel_regwen_6_we),
3591 .wd (mio_outsel_regwen_6_wd),
3592
3593 // from internal hardware
3594 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003595 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003596
3597 // to internal hardware
3598 .qe (),
3599 .q (),
3600
3601 // to register interface (read)
3602 .qs (mio_outsel_regwen_6_qs)
3603 );
3604
3605 // Subregister 7 of Multireg mio_outsel_regwen
3606 // R[mio_outsel_regwen_7]: V(False)
3607
3608 prim_subreg #(
3609 .DW (1),
3610 .SWACCESS("W0C"),
3611 .RESVAL (1'h1)
3612 ) u_mio_outsel_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003613 .clk_i (clk_i),
3614 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003615
3616 // from register interface
3617 .we (mio_outsel_regwen_7_we),
3618 .wd (mio_outsel_regwen_7_wd),
3619
3620 // from internal hardware
3621 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003622 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003623
3624 // to internal hardware
3625 .qe (),
3626 .q (),
3627
3628 // to register interface (read)
3629 .qs (mio_outsel_regwen_7_qs)
3630 );
3631
3632 // Subregister 8 of Multireg mio_outsel_regwen
3633 // R[mio_outsel_regwen_8]: V(False)
3634
3635 prim_subreg #(
3636 .DW (1),
3637 .SWACCESS("W0C"),
3638 .RESVAL (1'h1)
3639 ) u_mio_outsel_regwen_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003640 .clk_i (clk_i),
3641 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003642
3643 // from register interface
3644 .we (mio_outsel_regwen_8_we),
3645 .wd (mio_outsel_regwen_8_wd),
3646
3647 // from internal hardware
3648 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003649 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003650
3651 // to internal hardware
3652 .qe (),
3653 .q (),
3654
3655 // to register interface (read)
3656 .qs (mio_outsel_regwen_8_qs)
3657 );
3658
3659 // Subregister 9 of Multireg mio_outsel_regwen
3660 // R[mio_outsel_regwen_9]: V(False)
3661
3662 prim_subreg #(
3663 .DW (1),
3664 .SWACCESS("W0C"),
3665 .RESVAL (1'h1)
3666 ) u_mio_outsel_regwen_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003667 .clk_i (clk_i),
3668 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003669
3670 // from register interface
3671 .we (mio_outsel_regwen_9_we),
3672 .wd (mio_outsel_regwen_9_wd),
3673
3674 // from internal hardware
3675 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003676 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003677
3678 // to internal hardware
3679 .qe (),
3680 .q (),
3681
3682 // to register interface (read)
3683 .qs (mio_outsel_regwen_9_qs)
3684 );
3685
3686 // Subregister 10 of Multireg mio_outsel_regwen
3687 // R[mio_outsel_regwen_10]: V(False)
3688
3689 prim_subreg #(
3690 .DW (1),
3691 .SWACCESS("W0C"),
3692 .RESVAL (1'h1)
3693 ) u_mio_outsel_regwen_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003694 .clk_i (clk_i),
3695 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003696
3697 // from register interface
3698 .we (mio_outsel_regwen_10_we),
3699 .wd (mio_outsel_regwen_10_wd),
3700
3701 // from internal hardware
3702 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003703 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003704
3705 // to internal hardware
3706 .qe (),
3707 .q (),
3708
3709 // to register interface (read)
3710 .qs (mio_outsel_regwen_10_qs)
3711 );
3712
3713 // Subregister 11 of Multireg mio_outsel_regwen
3714 // R[mio_outsel_regwen_11]: V(False)
3715
3716 prim_subreg #(
3717 .DW (1),
3718 .SWACCESS("W0C"),
3719 .RESVAL (1'h1)
3720 ) u_mio_outsel_regwen_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003721 .clk_i (clk_i),
3722 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003723
3724 // from register interface
3725 .we (mio_outsel_regwen_11_we),
3726 .wd (mio_outsel_regwen_11_wd),
3727
3728 // from internal hardware
3729 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003730 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003731
3732 // to internal hardware
3733 .qe (),
3734 .q (),
3735
3736 // to register interface (read)
3737 .qs (mio_outsel_regwen_11_qs)
3738 );
3739
3740 // Subregister 12 of Multireg mio_outsel_regwen
3741 // R[mio_outsel_regwen_12]: V(False)
3742
3743 prim_subreg #(
3744 .DW (1),
3745 .SWACCESS("W0C"),
3746 .RESVAL (1'h1)
3747 ) u_mio_outsel_regwen_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003748 .clk_i (clk_i),
3749 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003750
3751 // from register interface
3752 .we (mio_outsel_regwen_12_we),
3753 .wd (mio_outsel_regwen_12_wd),
3754
3755 // from internal hardware
3756 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003757 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003758
3759 // to internal hardware
3760 .qe (),
3761 .q (),
3762
3763 // to register interface (read)
3764 .qs (mio_outsel_regwen_12_qs)
3765 );
3766
3767 // Subregister 13 of Multireg mio_outsel_regwen
3768 // R[mio_outsel_regwen_13]: V(False)
3769
3770 prim_subreg #(
3771 .DW (1),
3772 .SWACCESS("W0C"),
3773 .RESVAL (1'h1)
3774 ) u_mio_outsel_regwen_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003775 .clk_i (clk_i),
3776 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003777
3778 // from register interface
3779 .we (mio_outsel_regwen_13_we),
3780 .wd (mio_outsel_regwen_13_wd),
3781
3782 // from internal hardware
3783 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003784 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003785
3786 // to internal hardware
3787 .qe (),
3788 .q (),
3789
3790 // to register interface (read)
3791 .qs (mio_outsel_regwen_13_qs)
3792 );
3793
3794 // Subregister 14 of Multireg mio_outsel_regwen
3795 // R[mio_outsel_regwen_14]: V(False)
3796
3797 prim_subreg #(
3798 .DW (1),
3799 .SWACCESS("W0C"),
3800 .RESVAL (1'h1)
3801 ) u_mio_outsel_regwen_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003802 .clk_i (clk_i),
3803 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003804
3805 // from register interface
3806 .we (mio_outsel_regwen_14_we),
3807 .wd (mio_outsel_regwen_14_wd),
3808
3809 // from internal hardware
3810 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003811 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003812
3813 // to internal hardware
3814 .qe (),
3815 .q (),
3816
3817 // to register interface (read)
3818 .qs (mio_outsel_regwen_14_qs)
3819 );
3820
3821 // Subregister 15 of Multireg mio_outsel_regwen
3822 // R[mio_outsel_regwen_15]: V(False)
3823
3824 prim_subreg #(
3825 .DW (1),
3826 .SWACCESS("W0C"),
3827 .RESVAL (1'h1)
3828 ) u_mio_outsel_regwen_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003829 .clk_i (clk_i),
3830 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003831
3832 // from register interface
3833 .we (mio_outsel_regwen_15_we),
3834 .wd (mio_outsel_regwen_15_wd),
3835
3836 // from internal hardware
3837 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003838 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003839
3840 // to internal hardware
3841 .qe (),
3842 .q (),
3843
3844 // to register interface (read)
3845 .qs (mio_outsel_regwen_15_qs)
3846 );
3847
3848 // Subregister 16 of Multireg mio_outsel_regwen
3849 // R[mio_outsel_regwen_16]: V(False)
3850
3851 prim_subreg #(
3852 .DW (1),
3853 .SWACCESS("W0C"),
3854 .RESVAL (1'h1)
3855 ) u_mio_outsel_regwen_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003856 .clk_i (clk_i),
3857 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003858
3859 // from register interface
3860 .we (mio_outsel_regwen_16_we),
3861 .wd (mio_outsel_regwen_16_wd),
3862
3863 // from internal hardware
3864 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003865 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003866
3867 // to internal hardware
3868 .qe (),
3869 .q (),
3870
3871 // to register interface (read)
3872 .qs (mio_outsel_regwen_16_qs)
3873 );
3874
3875 // Subregister 17 of Multireg mio_outsel_regwen
3876 // R[mio_outsel_regwen_17]: V(False)
3877
3878 prim_subreg #(
3879 .DW (1),
3880 .SWACCESS("W0C"),
3881 .RESVAL (1'h1)
3882 ) u_mio_outsel_regwen_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003883 .clk_i (clk_i),
3884 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003885
3886 // from register interface
3887 .we (mio_outsel_regwen_17_we),
3888 .wd (mio_outsel_regwen_17_wd),
3889
3890 // from internal hardware
3891 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003892 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003893
3894 // to internal hardware
3895 .qe (),
3896 .q (),
3897
3898 // to register interface (read)
3899 .qs (mio_outsel_regwen_17_qs)
3900 );
3901
3902 // Subregister 18 of Multireg mio_outsel_regwen
3903 // R[mio_outsel_regwen_18]: V(False)
3904
3905 prim_subreg #(
3906 .DW (1),
3907 .SWACCESS("W0C"),
3908 .RESVAL (1'h1)
3909 ) u_mio_outsel_regwen_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003910 .clk_i (clk_i),
3911 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003912
3913 // from register interface
3914 .we (mio_outsel_regwen_18_we),
3915 .wd (mio_outsel_regwen_18_wd),
3916
3917 // from internal hardware
3918 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003919 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003920
3921 // to internal hardware
3922 .qe (),
3923 .q (),
3924
3925 // to register interface (read)
3926 .qs (mio_outsel_regwen_18_qs)
3927 );
3928
3929 // Subregister 19 of Multireg mio_outsel_regwen
3930 // R[mio_outsel_regwen_19]: V(False)
3931
3932 prim_subreg #(
3933 .DW (1),
3934 .SWACCESS("W0C"),
3935 .RESVAL (1'h1)
3936 ) u_mio_outsel_regwen_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003937 .clk_i (clk_i),
3938 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003939
3940 // from register interface
3941 .we (mio_outsel_regwen_19_we),
3942 .wd (mio_outsel_regwen_19_wd),
3943
3944 // from internal hardware
3945 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003946 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003947
3948 // to internal hardware
3949 .qe (),
3950 .q (),
3951
3952 // to register interface (read)
3953 .qs (mio_outsel_regwen_19_qs)
3954 );
3955
3956 // Subregister 20 of Multireg mio_outsel_regwen
3957 // R[mio_outsel_regwen_20]: V(False)
3958
3959 prim_subreg #(
3960 .DW (1),
3961 .SWACCESS("W0C"),
3962 .RESVAL (1'h1)
3963 ) u_mio_outsel_regwen_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003964 .clk_i (clk_i),
3965 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003966
3967 // from register interface
3968 .we (mio_outsel_regwen_20_we),
3969 .wd (mio_outsel_regwen_20_wd),
3970
3971 // from internal hardware
3972 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003973 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003974
3975 // to internal hardware
3976 .qe (),
3977 .q (),
3978
3979 // to register interface (read)
3980 .qs (mio_outsel_regwen_20_qs)
3981 );
3982
3983 // Subregister 21 of Multireg mio_outsel_regwen
3984 // R[mio_outsel_regwen_21]: V(False)
3985
3986 prim_subreg #(
3987 .DW (1),
3988 .SWACCESS("W0C"),
3989 .RESVAL (1'h1)
3990 ) u_mio_outsel_regwen_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003991 .clk_i (clk_i),
3992 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003993
3994 // from register interface
3995 .we (mio_outsel_regwen_21_we),
3996 .wd (mio_outsel_regwen_21_wd),
3997
3998 // from internal hardware
3999 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004000 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004001
4002 // to internal hardware
4003 .qe (),
4004 .q (),
4005
4006 // to register interface (read)
4007 .qs (mio_outsel_regwen_21_qs)
4008 );
4009
4010 // Subregister 22 of Multireg mio_outsel_regwen
4011 // R[mio_outsel_regwen_22]: V(False)
4012
4013 prim_subreg #(
4014 .DW (1),
4015 .SWACCESS("W0C"),
4016 .RESVAL (1'h1)
4017 ) u_mio_outsel_regwen_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004018 .clk_i (clk_i),
4019 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004020
4021 // from register interface
4022 .we (mio_outsel_regwen_22_we),
4023 .wd (mio_outsel_regwen_22_wd),
4024
4025 // from internal hardware
4026 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004027 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004028
4029 // to internal hardware
4030 .qe (),
4031 .q (),
4032
4033 // to register interface (read)
4034 .qs (mio_outsel_regwen_22_qs)
4035 );
4036
4037 // Subregister 23 of Multireg mio_outsel_regwen
4038 // R[mio_outsel_regwen_23]: V(False)
4039
4040 prim_subreg #(
4041 .DW (1),
4042 .SWACCESS("W0C"),
4043 .RESVAL (1'h1)
4044 ) u_mio_outsel_regwen_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004045 .clk_i (clk_i),
4046 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004047
4048 // from register interface
4049 .we (mio_outsel_regwen_23_we),
4050 .wd (mio_outsel_regwen_23_wd),
4051
4052 // from internal hardware
4053 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004054 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004055
4056 // to internal hardware
4057 .qe (),
4058 .q (),
4059
4060 // to register interface (read)
4061 .qs (mio_outsel_regwen_23_qs)
4062 );
4063
4064 // Subregister 24 of Multireg mio_outsel_regwen
4065 // R[mio_outsel_regwen_24]: V(False)
4066
4067 prim_subreg #(
4068 .DW (1),
4069 .SWACCESS("W0C"),
4070 .RESVAL (1'h1)
4071 ) u_mio_outsel_regwen_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004072 .clk_i (clk_i),
4073 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004074
4075 // from register interface
4076 .we (mio_outsel_regwen_24_we),
4077 .wd (mio_outsel_regwen_24_wd),
4078
4079 // from internal hardware
4080 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004081 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004082
4083 // to internal hardware
4084 .qe (),
4085 .q (),
4086
4087 // to register interface (read)
4088 .qs (mio_outsel_regwen_24_qs)
4089 );
4090
4091 // Subregister 25 of Multireg mio_outsel_regwen
4092 // R[mio_outsel_regwen_25]: V(False)
4093
4094 prim_subreg #(
4095 .DW (1),
4096 .SWACCESS("W0C"),
4097 .RESVAL (1'h1)
4098 ) u_mio_outsel_regwen_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004099 .clk_i (clk_i),
4100 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004101
4102 // from register interface
4103 .we (mio_outsel_regwen_25_we),
4104 .wd (mio_outsel_regwen_25_wd),
4105
4106 // from internal hardware
4107 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004108 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004109
4110 // to internal hardware
4111 .qe (),
4112 .q (),
4113
4114 // to register interface (read)
4115 .qs (mio_outsel_regwen_25_qs)
4116 );
4117
4118 // Subregister 26 of Multireg mio_outsel_regwen
4119 // R[mio_outsel_regwen_26]: V(False)
4120
4121 prim_subreg #(
4122 .DW (1),
4123 .SWACCESS("W0C"),
4124 .RESVAL (1'h1)
4125 ) u_mio_outsel_regwen_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004126 .clk_i (clk_i),
4127 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004128
4129 // from register interface
4130 .we (mio_outsel_regwen_26_we),
4131 .wd (mio_outsel_regwen_26_wd),
4132
4133 // from internal hardware
4134 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004135 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004136
4137 // to internal hardware
4138 .qe (),
4139 .q (),
4140
4141 // to register interface (read)
4142 .qs (mio_outsel_regwen_26_qs)
4143 );
4144
4145 // Subregister 27 of Multireg mio_outsel_regwen
4146 // R[mio_outsel_regwen_27]: V(False)
4147
4148 prim_subreg #(
4149 .DW (1),
4150 .SWACCESS("W0C"),
4151 .RESVAL (1'h1)
4152 ) u_mio_outsel_regwen_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004153 .clk_i (clk_i),
4154 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004155
4156 // from register interface
4157 .we (mio_outsel_regwen_27_we),
4158 .wd (mio_outsel_regwen_27_wd),
4159
4160 // from internal hardware
4161 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004162 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004163
4164 // to internal hardware
4165 .qe (),
4166 .q (),
4167
4168 // to register interface (read)
4169 .qs (mio_outsel_regwen_27_qs)
4170 );
4171
4172 // Subregister 28 of Multireg mio_outsel_regwen
4173 // R[mio_outsel_regwen_28]: V(False)
4174
4175 prim_subreg #(
4176 .DW (1),
4177 .SWACCESS("W0C"),
4178 .RESVAL (1'h1)
4179 ) u_mio_outsel_regwen_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004180 .clk_i (clk_i),
4181 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004182
4183 // from register interface
4184 .we (mio_outsel_regwen_28_we),
4185 .wd (mio_outsel_regwen_28_wd),
4186
4187 // from internal hardware
4188 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004189 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004190
4191 // to internal hardware
4192 .qe (),
4193 .q (),
4194
4195 // to register interface (read)
4196 .qs (mio_outsel_regwen_28_qs)
4197 );
4198
4199 // Subregister 29 of Multireg mio_outsel_regwen
4200 // R[mio_outsel_regwen_29]: V(False)
4201
4202 prim_subreg #(
4203 .DW (1),
4204 .SWACCESS("W0C"),
4205 .RESVAL (1'h1)
4206 ) u_mio_outsel_regwen_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004207 .clk_i (clk_i),
4208 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004209
4210 // from register interface
4211 .we (mio_outsel_regwen_29_we),
4212 .wd (mio_outsel_regwen_29_wd),
4213
4214 // from internal hardware
4215 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004216 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004217
4218 // to internal hardware
4219 .qe (),
4220 .q (),
4221
4222 // to register interface (read)
4223 .qs (mio_outsel_regwen_29_qs)
4224 );
4225
4226 // Subregister 30 of Multireg mio_outsel_regwen
4227 // R[mio_outsel_regwen_30]: V(False)
4228
4229 prim_subreg #(
4230 .DW (1),
4231 .SWACCESS("W0C"),
4232 .RESVAL (1'h1)
4233 ) u_mio_outsel_regwen_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004234 .clk_i (clk_i),
4235 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004236
4237 // from register interface
4238 .we (mio_outsel_regwen_30_we),
4239 .wd (mio_outsel_regwen_30_wd),
4240
4241 // from internal hardware
4242 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004243 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004244
4245 // to internal hardware
4246 .qe (),
4247 .q (),
4248
4249 // to register interface (read)
4250 .qs (mio_outsel_regwen_30_qs)
4251 );
4252
4253 // Subregister 31 of Multireg mio_outsel_regwen
4254 // R[mio_outsel_regwen_31]: V(False)
4255
4256 prim_subreg #(
4257 .DW (1),
4258 .SWACCESS("W0C"),
4259 .RESVAL (1'h1)
4260 ) u_mio_outsel_regwen_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004261 .clk_i (clk_i),
4262 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004263
4264 // from register interface
4265 .we (mio_outsel_regwen_31_we),
4266 .wd (mio_outsel_regwen_31_wd),
4267
4268 // from internal hardware
4269 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004270 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004271
4272 // to internal hardware
4273 .qe (),
4274 .q (),
4275
4276 // to register interface (read)
4277 .qs (mio_outsel_regwen_31_qs)
4278 );
4279
4280
Michael Schaffner51c61442019-10-01 15:49:10 -07004281
4282 // Subregister 0 of Multireg mio_outsel
Pirmin Vogel4ec676e2020-08-05 08:33:06 +02004283 // R[mio_outsel_0]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004284
Michael Schaffner51c61442019-10-01 15:49:10 -07004285 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004286 .DW (6),
Michael Schaffner51c61442019-10-01 15:49:10 -07004287 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004288 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004289 ) u_mio_outsel_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004290 .clk_i (clk_i),
4291 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07004292
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004293 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004294 .we (mio_outsel_0_we & mio_outsel_regwen_0_qs),
4295 .wd (mio_outsel_0_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07004296
4297 // from internal hardware
4298 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004299 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07004300
4301 // to internal hardware
4302 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004303 .q (reg2hw.mio_outsel[0].q),
Michael Schaffner51c61442019-10-01 15:49:10 -07004304
4305 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004306 .qs (mio_outsel_0_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07004307 );
4308
Michael Schaffner06fdb112021-02-10 16:52:56 -08004309 // Subregister 1 of Multireg mio_outsel
4310 // R[mio_outsel_1]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004311
Michael Schaffner51c61442019-10-01 15:49:10 -07004312 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004313 .DW (6),
Michael Schaffner51c61442019-10-01 15:49:10 -07004314 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004315 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004316 ) u_mio_outsel_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004317 .clk_i (clk_i),
4318 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07004319
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004320 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004321 .we (mio_outsel_1_we & mio_outsel_regwen_1_qs),
4322 .wd (mio_outsel_1_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07004323
4324 // from internal hardware
4325 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004326 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07004327
4328 // to internal hardware
4329 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004330 .q (reg2hw.mio_outsel[1].q),
Michael Schaffner51c61442019-10-01 15:49:10 -07004331
4332 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004333 .qs (mio_outsel_1_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07004334 );
4335
Michael Schaffner06fdb112021-02-10 16:52:56 -08004336 // Subregister 2 of Multireg mio_outsel
4337 // R[mio_outsel_2]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004338
Michael Schaffner51c61442019-10-01 15:49:10 -07004339 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004340 .DW (6),
Michael Schaffner51c61442019-10-01 15:49:10 -07004341 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004342 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004343 ) u_mio_outsel_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004344 .clk_i (clk_i),
4345 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07004346
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004347 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004348 .we (mio_outsel_2_we & mio_outsel_regwen_2_qs),
4349 .wd (mio_outsel_2_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07004350
4351 // from internal hardware
4352 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004353 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07004354
4355 // to internal hardware
4356 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004357 .q (reg2hw.mio_outsel[2].q),
Michael Schaffner51c61442019-10-01 15:49:10 -07004358
4359 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004360 .qs (mio_outsel_2_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07004361 );
4362
Michael Schaffner06fdb112021-02-10 16:52:56 -08004363 // Subregister 3 of Multireg mio_outsel
4364 // R[mio_outsel_3]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004365
Michael Schaffner51c61442019-10-01 15:49:10 -07004366 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004367 .DW (6),
Michael Schaffner51c61442019-10-01 15:49:10 -07004368 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004369 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004370 ) u_mio_outsel_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004371 .clk_i (clk_i),
4372 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07004373
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004374 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004375 .we (mio_outsel_3_we & mio_outsel_regwen_3_qs),
4376 .wd (mio_outsel_3_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07004377
4378 // from internal hardware
4379 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004380 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07004381
4382 // to internal hardware
4383 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004384 .q (reg2hw.mio_outsel[3].q),
Michael Schaffner51c61442019-10-01 15:49:10 -07004385
4386 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004387 .qs (mio_outsel_3_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07004388 );
4389
Michael Schaffner06fdb112021-02-10 16:52:56 -08004390 // Subregister 4 of Multireg mio_outsel
4391 // R[mio_outsel_4]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004392
Michael Schaffnerfb801932019-10-02 10:49:15 -07004393 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004394 .DW (6),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004395 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004396 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004397 ) u_mio_outsel_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004398 .clk_i (clk_i),
4399 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004400
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004401 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004402 .we (mio_outsel_4_we & mio_outsel_regwen_4_qs),
4403 .wd (mio_outsel_4_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004404
4405 // from internal hardware
4406 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004407 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004408
4409 // to internal hardware
4410 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004411 .q (reg2hw.mio_outsel[4].q),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004412
4413 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004414 .qs (mio_outsel_4_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004415 );
4416
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004417 // Subregister 5 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004418 // R[mio_outsel_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004419
Michael Schaffnerfb801932019-10-02 10:49:15 -07004420 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004421 .DW (6),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004422 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004423 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004424 ) u_mio_outsel_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004425 .clk_i (clk_i),
4426 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004427
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004428 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004429 .we (mio_outsel_5_we & mio_outsel_regwen_5_qs),
4430 .wd (mio_outsel_5_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004431
4432 // from internal hardware
4433 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004434 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004435
4436 // to internal hardware
4437 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004438 .q (reg2hw.mio_outsel[5].q),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004439
4440 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004441 .qs (mio_outsel_5_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004442 );
4443
Michael Schaffner06fdb112021-02-10 16:52:56 -08004444 // Subregister 6 of Multireg mio_outsel
4445 // R[mio_outsel_6]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004446
Michael Schaffnerfb801932019-10-02 10:49:15 -07004447 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004448 .DW (6),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004449 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004450 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004451 ) u_mio_outsel_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004452 .clk_i (clk_i),
4453 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004454
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004455 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004456 .we (mio_outsel_6_we & mio_outsel_regwen_6_qs),
4457 .wd (mio_outsel_6_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004458
4459 // from internal hardware
4460 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004461 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004462
4463 // to internal hardware
4464 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004465 .q (reg2hw.mio_outsel[6].q),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004466
4467 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004468 .qs (mio_outsel_6_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004469 );
4470
Michael Schaffner06fdb112021-02-10 16:52:56 -08004471 // Subregister 7 of Multireg mio_outsel
4472 // R[mio_outsel_7]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004473
Michael Schaffnerfb801932019-10-02 10:49:15 -07004474 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004475 .DW (6),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004476 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004477 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004478 ) u_mio_outsel_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004479 .clk_i (clk_i),
4480 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004481
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004482 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004483 .we (mio_outsel_7_we & mio_outsel_regwen_7_qs),
4484 .wd (mio_outsel_7_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004485
4486 // from internal hardware
4487 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004488 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004489
4490 // to internal hardware
4491 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004492 .q (reg2hw.mio_outsel[7].q),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004493
4494 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004495 .qs (mio_outsel_7_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004496 );
Michael Schaffner51c61442019-10-01 15:49:10 -07004497
Michael Schaffner06fdb112021-02-10 16:52:56 -08004498 // Subregister 8 of Multireg mio_outsel
4499 // R[mio_outsel_8]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004500
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004501 prim_subreg #(
4502 .DW (6),
4503 .SWACCESS("RW"),
4504 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004505 ) u_mio_outsel_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004506 .clk_i (clk_i),
4507 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004508
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004509 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004510 .we (mio_outsel_8_we & mio_outsel_regwen_8_qs),
4511 .wd (mio_outsel_8_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004512
4513 // from internal hardware
4514 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004515 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004516
4517 // to internal hardware
4518 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004519 .q (reg2hw.mio_outsel[8].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004520
4521 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004522 .qs (mio_outsel_8_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004523 );
4524
Michael Schaffner06fdb112021-02-10 16:52:56 -08004525 // Subregister 9 of Multireg mio_outsel
4526 // R[mio_outsel_9]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004527
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004528 prim_subreg #(
4529 .DW (6),
4530 .SWACCESS("RW"),
4531 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004532 ) u_mio_outsel_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004533 .clk_i (clk_i),
4534 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004535
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004536 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004537 .we (mio_outsel_9_we & mio_outsel_regwen_9_qs),
4538 .wd (mio_outsel_9_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004539
4540 // from internal hardware
4541 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004542 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004543
4544 // to internal hardware
4545 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004546 .q (reg2hw.mio_outsel[9].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004547
4548 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004549 .qs (mio_outsel_9_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004550 );
4551
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004552 // Subregister 10 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004553 // R[mio_outsel_10]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004554
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004555 prim_subreg #(
4556 .DW (6),
4557 .SWACCESS("RW"),
4558 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004559 ) u_mio_outsel_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004560 .clk_i (clk_i),
4561 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004562
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004563 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004564 .we (mio_outsel_10_we & mio_outsel_regwen_10_qs),
4565 .wd (mio_outsel_10_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004566
4567 // from internal hardware
4568 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004569 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004570
4571 // to internal hardware
4572 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004573 .q (reg2hw.mio_outsel[10].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004574
4575 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004576 .qs (mio_outsel_10_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004577 );
4578
Michael Schaffner06fdb112021-02-10 16:52:56 -08004579 // Subregister 11 of Multireg mio_outsel
4580 // R[mio_outsel_11]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004581
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004582 prim_subreg #(
4583 .DW (6),
4584 .SWACCESS("RW"),
4585 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004586 ) u_mio_outsel_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004587 .clk_i (clk_i),
4588 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004589
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004590 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004591 .we (mio_outsel_11_we & mio_outsel_regwen_11_qs),
4592 .wd (mio_outsel_11_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004593
4594 // from internal hardware
4595 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004596 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004597
4598 // to internal hardware
4599 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004600 .q (reg2hw.mio_outsel[11].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004601
4602 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004603 .qs (mio_outsel_11_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004604 );
4605
Michael Schaffner06fdb112021-02-10 16:52:56 -08004606 // Subregister 12 of Multireg mio_outsel
4607 // R[mio_outsel_12]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004608
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004609 prim_subreg #(
4610 .DW (6),
4611 .SWACCESS("RW"),
4612 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004613 ) u_mio_outsel_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004614 .clk_i (clk_i),
4615 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004616
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004617 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004618 .we (mio_outsel_12_we & mio_outsel_regwen_12_qs),
4619 .wd (mio_outsel_12_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004620
4621 // from internal hardware
4622 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004623 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004624
4625 // to internal hardware
4626 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004627 .q (reg2hw.mio_outsel[12].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004628
4629 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004630 .qs (mio_outsel_12_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004631 );
4632
Michael Schaffner06fdb112021-02-10 16:52:56 -08004633 // Subregister 13 of Multireg mio_outsel
4634 // R[mio_outsel_13]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004635
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004636 prim_subreg #(
4637 .DW (6),
4638 .SWACCESS("RW"),
4639 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004640 ) u_mio_outsel_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004641 .clk_i (clk_i),
4642 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004643
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004644 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004645 .we (mio_outsel_13_we & mio_outsel_regwen_13_qs),
4646 .wd (mio_outsel_13_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004647
4648 // from internal hardware
4649 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004650 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004651
4652 // to internal hardware
4653 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004654 .q (reg2hw.mio_outsel[13].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004655
4656 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004657 .qs (mio_outsel_13_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004658 );
4659
Michael Schaffner06fdb112021-02-10 16:52:56 -08004660 // Subregister 14 of Multireg mio_outsel
4661 // R[mio_outsel_14]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004662
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004663 prim_subreg #(
4664 .DW (6),
4665 .SWACCESS("RW"),
4666 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004667 ) u_mio_outsel_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004668 .clk_i (clk_i),
4669 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004670
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004671 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004672 .we (mio_outsel_14_we & mio_outsel_regwen_14_qs),
4673 .wd (mio_outsel_14_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004674
4675 // from internal hardware
4676 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004677 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004678
4679 // to internal hardware
4680 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004681 .q (reg2hw.mio_outsel[14].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004682
4683 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004684 .qs (mio_outsel_14_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004685 );
4686
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004687 // Subregister 15 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004688 // R[mio_outsel_15]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004689
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004690 prim_subreg #(
4691 .DW (6),
4692 .SWACCESS("RW"),
4693 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004694 ) u_mio_outsel_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004695 .clk_i (clk_i),
4696 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004697
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004698 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004699 .we (mio_outsel_15_we & mio_outsel_regwen_15_qs),
4700 .wd (mio_outsel_15_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004701
4702 // from internal hardware
4703 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004704 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004705
4706 // to internal hardware
4707 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004708 .q (reg2hw.mio_outsel[15].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004709
4710 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004711 .qs (mio_outsel_15_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004712 );
4713
Michael Schaffner06fdb112021-02-10 16:52:56 -08004714 // Subregister 16 of Multireg mio_outsel
4715 // R[mio_outsel_16]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004716
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004717 prim_subreg #(
4718 .DW (6),
4719 .SWACCESS("RW"),
4720 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004721 ) u_mio_outsel_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004722 .clk_i (clk_i),
4723 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004724
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004725 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004726 .we (mio_outsel_16_we & mio_outsel_regwen_16_qs),
4727 .wd (mio_outsel_16_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004728
4729 // from internal hardware
4730 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004731 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004732
4733 // to internal hardware
4734 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004735 .q (reg2hw.mio_outsel[16].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004736
4737 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004738 .qs (mio_outsel_16_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004739 );
4740
Michael Schaffner06fdb112021-02-10 16:52:56 -08004741 // Subregister 17 of Multireg mio_outsel
4742 // R[mio_outsel_17]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004743
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004744 prim_subreg #(
4745 .DW (6),
4746 .SWACCESS("RW"),
4747 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004748 ) u_mio_outsel_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004749 .clk_i (clk_i),
4750 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004751
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004752 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004753 .we (mio_outsel_17_we & mio_outsel_regwen_17_qs),
4754 .wd (mio_outsel_17_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004755
4756 // from internal hardware
4757 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004758 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004759
4760 // to internal hardware
4761 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004762 .q (reg2hw.mio_outsel[17].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004763
4764 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004765 .qs (mio_outsel_17_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004766 );
4767
Michael Schaffner06fdb112021-02-10 16:52:56 -08004768 // Subregister 18 of Multireg mio_outsel
4769 // R[mio_outsel_18]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004770
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004771 prim_subreg #(
4772 .DW (6),
4773 .SWACCESS("RW"),
4774 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004775 ) u_mio_outsel_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004776 .clk_i (clk_i),
4777 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004778
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004779 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004780 .we (mio_outsel_18_we & mio_outsel_regwen_18_qs),
4781 .wd (mio_outsel_18_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004782
4783 // from internal hardware
4784 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004785 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004786
4787 // to internal hardware
4788 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004789 .q (reg2hw.mio_outsel[18].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004790
4791 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004792 .qs (mio_outsel_18_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004793 );
4794
Michael Schaffner06fdb112021-02-10 16:52:56 -08004795 // Subregister 19 of Multireg mio_outsel
4796 // R[mio_outsel_19]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004797
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004798 prim_subreg #(
4799 .DW (6),
4800 .SWACCESS("RW"),
4801 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004802 ) u_mio_outsel_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004803 .clk_i (clk_i),
4804 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004805
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004806 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004807 .we (mio_outsel_19_we & mio_outsel_regwen_19_qs),
4808 .wd (mio_outsel_19_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004809
4810 // from internal hardware
4811 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004812 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004813
4814 // to internal hardware
4815 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004816 .q (reg2hw.mio_outsel[19].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004817
4818 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004819 .qs (mio_outsel_19_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004820 );
4821
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004822 // Subregister 20 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004823 // R[mio_outsel_20]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004824
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004825 prim_subreg #(
4826 .DW (6),
4827 .SWACCESS("RW"),
4828 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004829 ) u_mio_outsel_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004830 .clk_i (clk_i),
4831 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004832
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004833 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004834 .we (mio_outsel_20_we & mio_outsel_regwen_20_qs),
4835 .wd (mio_outsel_20_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004836
4837 // from internal hardware
4838 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004839 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004840
4841 // to internal hardware
4842 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004843 .q (reg2hw.mio_outsel[20].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004844
4845 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004846 .qs (mio_outsel_20_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004847 );
4848
Michael Schaffner06fdb112021-02-10 16:52:56 -08004849 // Subregister 21 of Multireg mio_outsel
4850 // R[mio_outsel_21]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004851
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004852 prim_subreg #(
4853 .DW (6),
4854 .SWACCESS("RW"),
4855 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004856 ) u_mio_outsel_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004857 .clk_i (clk_i),
4858 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004859
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004860 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004861 .we (mio_outsel_21_we & mio_outsel_regwen_21_qs),
4862 .wd (mio_outsel_21_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004863
4864 // from internal hardware
4865 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004866 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004867
4868 // to internal hardware
4869 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004870 .q (reg2hw.mio_outsel[21].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004871
4872 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004873 .qs (mio_outsel_21_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004874 );
4875
Michael Schaffner06fdb112021-02-10 16:52:56 -08004876 // Subregister 22 of Multireg mio_outsel
4877 // R[mio_outsel_22]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004878
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004879 prim_subreg #(
4880 .DW (6),
4881 .SWACCESS("RW"),
4882 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004883 ) u_mio_outsel_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004884 .clk_i (clk_i),
4885 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004886
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004887 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004888 .we (mio_outsel_22_we & mio_outsel_regwen_22_qs),
4889 .wd (mio_outsel_22_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004890
4891 // from internal hardware
4892 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004893 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004894
4895 // to internal hardware
4896 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004897 .q (reg2hw.mio_outsel[22].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004898
4899 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004900 .qs (mio_outsel_22_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004901 );
4902
Michael Schaffner06fdb112021-02-10 16:52:56 -08004903 // Subregister 23 of Multireg mio_outsel
4904 // R[mio_outsel_23]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004905
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004906 prim_subreg #(
4907 .DW (6),
4908 .SWACCESS("RW"),
4909 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004910 ) u_mio_outsel_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004911 .clk_i (clk_i),
4912 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004913
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004914 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004915 .we (mio_outsel_23_we & mio_outsel_regwen_23_qs),
4916 .wd (mio_outsel_23_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004917
4918 // from internal hardware
4919 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004920 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004921
4922 // to internal hardware
4923 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004924 .q (reg2hw.mio_outsel[23].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004925
4926 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004927 .qs (mio_outsel_23_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004928 );
4929
Michael Schaffner06fdb112021-02-10 16:52:56 -08004930 // Subregister 24 of Multireg mio_outsel
4931 // R[mio_outsel_24]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004932
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004933 prim_subreg #(
4934 .DW (6),
4935 .SWACCESS("RW"),
4936 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004937 ) u_mio_outsel_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004938 .clk_i (clk_i),
4939 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004940
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004941 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004942 .we (mio_outsel_24_we & mio_outsel_regwen_24_qs),
4943 .wd (mio_outsel_24_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004944
4945 // from internal hardware
4946 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004947 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004948
4949 // to internal hardware
4950 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004951 .q (reg2hw.mio_outsel[24].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004952
4953 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004954 .qs (mio_outsel_24_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004955 );
4956
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004957 // Subregister 25 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004958 // R[mio_outsel_25]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004959
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004960 prim_subreg #(
4961 .DW (6),
4962 .SWACCESS("RW"),
4963 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004964 ) u_mio_outsel_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004965 .clk_i (clk_i),
4966 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004967
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004968 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004969 .we (mio_outsel_25_we & mio_outsel_regwen_25_qs),
4970 .wd (mio_outsel_25_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004971
4972 // from internal hardware
4973 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004974 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004975
4976 // to internal hardware
4977 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004978 .q (reg2hw.mio_outsel[25].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004979
4980 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004981 .qs (mio_outsel_25_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004982 );
4983
Michael Schaffner06fdb112021-02-10 16:52:56 -08004984 // Subregister 26 of Multireg mio_outsel
4985 // R[mio_outsel_26]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004986
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004987 prim_subreg #(
4988 .DW (6),
4989 .SWACCESS("RW"),
4990 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004991 ) u_mio_outsel_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004992 .clk_i (clk_i),
4993 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004994
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004995 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004996 .we (mio_outsel_26_we & mio_outsel_regwen_26_qs),
4997 .wd (mio_outsel_26_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004998
4999 // from internal hardware
5000 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005001 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005002
5003 // to internal hardware
5004 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005005 .q (reg2hw.mio_outsel[26].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005006
5007 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005008 .qs (mio_outsel_26_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005009 );
5010
Michael Schaffner06fdb112021-02-10 16:52:56 -08005011 // Subregister 27 of Multireg mio_outsel
5012 // R[mio_outsel_27]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005013
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005014 prim_subreg #(
5015 .DW (6),
5016 .SWACCESS("RW"),
5017 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005018 ) u_mio_outsel_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005019 .clk_i (clk_i),
5020 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005021
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005022 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08005023 .we (mio_outsel_27_we & mio_outsel_regwen_27_qs),
5024 .wd (mio_outsel_27_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005025
5026 // from internal hardware
5027 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005028 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005029
5030 // to internal hardware
5031 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005032 .q (reg2hw.mio_outsel[27].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005033
5034 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005035 .qs (mio_outsel_27_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005036 );
5037
Michael Schaffner06fdb112021-02-10 16:52:56 -08005038 // Subregister 28 of Multireg mio_outsel
5039 // R[mio_outsel_28]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005040
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005041 prim_subreg #(
5042 .DW (6),
5043 .SWACCESS("RW"),
5044 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005045 ) u_mio_outsel_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005046 .clk_i (clk_i),
5047 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005048
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005049 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08005050 .we (mio_outsel_28_we & mio_outsel_regwen_28_qs),
5051 .wd (mio_outsel_28_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005052
5053 // from internal hardware
5054 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005055 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005056
5057 // to internal hardware
5058 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005059 .q (reg2hw.mio_outsel[28].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005060
5061 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005062 .qs (mio_outsel_28_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005063 );
5064
Michael Schaffner06fdb112021-02-10 16:52:56 -08005065 // Subregister 29 of Multireg mio_outsel
5066 // R[mio_outsel_29]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005067
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005068 prim_subreg #(
5069 .DW (6),
5070 .SWACCESS("RW"),
5071 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005072 ) u_mio_outsel_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005073 .clk_i (clk_i),
5074 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005075
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005076 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08005077 .we (mio_outsel_29_we & mio_outsel_regwen_29_qs),
5078 .wd (mio_outsel_29_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005079
5080 // from internal hardware
5081 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005082 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005083
5084 // to internal hardware
5085 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005086 .q (reg2hw.mio_outsel[29].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005087
5088 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005089 .qs (mio_outsel_29_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005090 );
5091
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005092 // Subregister 30 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08005093 // R[mio_outsel_30]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005094
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005095 prim_subreg #(
5096 .DW (6),
5097 .SWACCESS("RW"),
5098 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005099 ) u_mio_outsel_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005100 .clk_i (clk_i),
5101 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005102
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005103 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08005104 .we (mio_outsel_30_we & mio_outsel_regwen_30_qs),
5105 .wd (mio_outsel_30_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005106
5107 // from internal hardware
5108 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005109 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005110
5111 // to internal hardware
5112 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005113 .q (reg2hw.mio_outsel[30].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005114
5115 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005116 .qs (mio_outsel_30_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005117 );
5118
Michael Schaffner06fdb112021-02-10 16:52:56 -08005119 // Subregister 31 of Multireg mio_outsel
5120 // R[mio_outsel_31]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005121
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005122 prim_subreg #(
5123 .DW (6),
5124 .SWACCESS("RW"),
5125 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005126 ) u_mio_outsel_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005127 .clk_i (clk_i),
5128 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005129
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005130 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08005131 .we (mio_outsel_31_we & mio_outsel_regwen_31_qs),
5132 .wd (mio_outsel_31_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005133
5134 // from internal hardware
5135 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005136 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005137
5138 // to internal hardware
5139 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005140 .q (reg2hw.mio_outsel[31].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005141
5142 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005143 .qs (mio_outsel_31_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005144 );
Michael Schaffner51c61442019-10-01 15:49:10 -07005145
Michael Schaffnerfb801932019-10-02 10:49:15 -07005146
5147
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005148 // Subregister 0 of Multireg mio_pad_attr_regwen
5149 // R[mio_pad_attr_regwen_0]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005150
5151 prim_subreg #(
5152 .DW (1),
5153 .SWACCESS("W0C"),
5154 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005155 ) u_mio_pad_attr_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005156 .clk_i (clk_i),
5157 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005158
5159 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005160 .we (mio_pad_attr_regwen_0_we),
5161 .wd (mio_pad_attr_regwen_0_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005162
5163 // from internal hardware
5164 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005165 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005166
5167 // to internal hardware
5168 .qe (),
5169 .q (),
5170
5171 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005172 .qs (mio_pad_attr_regwen_0_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005173 );
5174
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005175 // Subregister 1 of Multireg mio_pad_attr_regwen
5176 // R[mio_pad_attr_regwen_1]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005177
5178 prim_subreg #(
5179 .DW (1),
5180 .SWACCESS("W0C"),
5181 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005182 ) u_mio_pad_attr_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005183 .clk_i (clk_i),
5184 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005185
5186 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005187 .we (mio_pad_attr_regwen_1_we),
5188 .wd (mio_pad_attr_regwen_1_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005189
5190 // from internal hardware
5191 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005192 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005193
5194 // to internal hardware
5195 .qe (),
5196 .q (),
5197
5198 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005199 .qs (mio_pad_attr_regwen_1_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005200 );
5201
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005202 // Subregister 2 of Multireg mio_pad_attr_regwen
5203 // R[mio_pad_attr_regwen_2]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005204
5205 prim_subreg #(
5206 .DW (1),
5207 .SWACCESS("W0C"),
5208 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005209 ) u_mio_pad_attr_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005210 .clk_i (clk_i),
5211 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005212
5213 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005214 .we (mio_pad_attr_regwen_2_we),
5215 .wd (mio_pad_attr_regwen_2_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005216
5217 // from internal hardware
5218 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005219 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005220
5221 // to internal hardware
5222 .qe (),
5223 .q (),
5224
5225 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005226 .qs (mio_pad_attr_regwen_2_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005227 );
5228
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005229 // Subregister 3 of Multireg mio_pad_attr_regwen
5230 // R[mio_pad_attr_regwen_3]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005231
5232 prim_subreg #(
5233 .DW (1),
5234 .SWACCESS("W0C"),
5235 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005236 ) u_mio_pad_attr_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005237 .clk_i (clk_i),
5238 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005239
5240 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005241 .we (mio_pad_attr_regwen_3_we),
5242 .wd (mio_pad_attr_regwen_3_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005243
5244 // from internal hardware
5245 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005246 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005247
5248 // to internal hardware
5249 .qe (),
5250 .q (),
5251
5252 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005253 .qs (mio_pad_attr_regwen_3_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005254 );
5255
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005256 // Subregister 4 of Multireg mio_pad_attr_regwen
5257 // R[mio_pad_attr_regwen_4]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005258
5259 prim_subreg #(
5260 .DW (1),
5261 .SWACCESS("W0C"),
5262 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005263 ) u_mio_pad_attr_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005264 .clk_i (clk_i),
5265 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005266
5267 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005268 .we (mio_pad_attr_regwen_4_we),
5269 .wd (mio_pad_attr_regwen_4_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005270
5271 // from internal hardware
5272 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005273 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005274
5275 // to internal hardware
5276 .qe (),
5277 .q (),
5278
5279 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005280 .qs (mio_pad_attr_regwen_4_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005281 );
5282
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005283 // Subregister 5 of Multireg mio_pad_attr_regwen
5284 // R[mio_pad_attr_regwen_5]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005285
5286 prim_subreg #(
5287 .DW (1),
5288 .SWACCESS("W0C"),
5289 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005290 ) u_mio_pad_attr_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005291 .clk_i (clk_i),
5292 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005293
5294 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005295 .we (mio_pad_attr_regwen_5_we),
5296 .wd (mio_pad_attr_regwen_5_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005297
5298 // from internal hardware
5299 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005300 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005301
5302 // to internal hardware
5303 .qe (),
5304 .q (),
5305
5306 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005307 .qs (mio_pad_attr_regwen_5_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005308 );
5309
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005310 // Subregister 6 of Multireg mio_pad_attr_regwen
5311 // R[mio_pad_attr_regwen_6]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005312
5313 prim_subreg #(
5314 .DW (1),
5315 .SWACCESS("W0C"),
5316 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005317 ) u_mio_pad_attr_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005318 .clk_i (clk_i),
5319 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005320
5321 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005322 .we (mio_pad_attr_regwen_6_we),
5323 .wd (mio_pad_attr_regwen_6_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005324
5325 // from internal hardware
5326 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005327 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005328
5329 // to internal hardware
5330 .qe (),
5331 .q (),
5332
5333 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005334 .qs (mio_pad_attr_regwen_6_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005335 );
5336
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005337 // Subregister 7 of Multireg mio_pad_attr_regwen
5338 // R[mio_pad_attr_regwen_7]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005339
5340 prim_subreg #(
5341 .DW (1),
5342 .SWACCESS("W0C"),
5343 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005344 ) u_mio_pad_attr_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005345 .clk_i (clk_i),
5346 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005347
5348 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005349 .we (mio_pad_attr_regwen_7_we),
5350 .wd (mio_pad_attr_regwen_7_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005351
5352 // from internal hardware
5353 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005354 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005355
5356 // to internal hardware
5357 .qe (),
5358 .q (),
5359
5360 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005361 .qs (mio_pad_attr_regwen_7_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005362 );
5363
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005364 // Subregister 8 of Multireg mio_pad_attr_regwen
5365 // R[mio_pad_attr_regwen_8]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005366
5367 prim_subreg #(
5368 .DW (1),
5369 .SWACCESS("W0C"),
5370 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005371 ) u_mio_pad_attr_regwen_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005372 .clk_i (clk_i),
5373 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005374
5375 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005376 .we (mio_pad_attr_regwen_8_we),
5377 .wd (mio_pad_attr_regwen_8_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005378
5379 // from internal hardware
5380 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005381 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005382
5383 // to internal hardware
5384 .qe (),
5385 .q (),
5386
5387 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005388 .qs (mio_pad_attr_regwen_8_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005389 );
5390
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005391 // Subregister 9 of Multireg mio_pad_attr_regwen
5392 // R[mio_pad_attr_regwen_9]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005393
5394 prim_subreg #(
5395 .DW (1),
5396 .SWACCESS("W0C"),
5397 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005398 ) u_mio_pad_attr_regwen_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005399 .clk_i (clk_i),
5400 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005401
5402 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005403 .we (mio_pad_attr_regwen_9_we),
5404 .wd (mio_pad_attr_regwen_9_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005405
5406 // from internal hardware
5407 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005408 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005409
5410 // to internal hardware
5411 .qe (),
5412 .q (),
5413
5414 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005415 .qs (mio_pad_attr_regwen_9_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005416 );
5417
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005418 // Subregister 10 of Multireg mio_pad_attr_regwen
5419 // R[mio_pad_attr_regwen_10]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005420
5421 prim_subreg #(
5422 .DW (1),
5423 .SWACCESS("W0C"),
5424 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005425 ) u_mio_pad_attr_regwen_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005426 .clk_i (clk_i),
5427 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005428
5429 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005430 .we (mio_pad_attr_regwen_10_we),
5431 .wd (mio_pad_attr_regwen_10_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005432
5433 // from internal hardware
5434 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005435 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005436
5437 // to internal hardware
5438 .qe (),
5439 .q (),
5440
5441 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005442 .qs (mio_pad_attr_regwen_10_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005443 );
5444
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005445 // Subregister 11 of Multireg mio_pad_attr_regwen
5446 // R[mio_pad_attr_regwen_11]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005447
5448 prim_subreg #(
5449 .DW (1),
5450 .SWACCESS("W0C"),
5451 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005452 ) u_mio_pad_attr_regwen_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005453 .clk_i (clk_i),
5454 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005455
5456 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005457 .we (mio_pad_attr_regwen_11_we),
5458 .wd (mio_pad_attr_regwen_11_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005459
5460 // from internal hardware
5461 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005462 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005463
5464 // to internal hardware
5465 .qe (),
5466 .q (),
5467
5468 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005469 .qs (mio_pad_attr_regwen_11_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005470 );
5471
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005472 // Subregister 12 of Multireg mio_pad_attr_regwen
5473 // R[mio_pad_attr_regwen_12]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005474
5475 prim_subreg #(
5476 .DW (1),
5477 .SWACCESS("W0C"),
5478 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005479 ) u_mio_pad_attr_regwen_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005480 .clk_i (clk_i),
5481 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005482
5483 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005484 .we (mio_pad_attr_regwen_12_we),
5485 .wd (mio_pad_attr_regwen_12_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005486
5487 // from internal hardware
5488 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005489 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005490
5491 // to internal hardware
5492 .qe (),
5493 .q (),
5494
5495 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005496 .qs (mio_pad_attr_regwen_12_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005497 );
5498
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005499 // Subregister 13 of Multireg mio_pad_attr_regwen
5500 // R[mio_pad_attr_regwen_13]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005501
5502 prim_subreg #(
5503 .DW (1),
5504 .SWACCESS("W0C"),
5505 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005506 ) u_mio_pad_attr_regwen_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005507 .clk_i (clk_i),
5508 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005509
5510 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005511 .we (mio_pad_attr_regwen_13_we),
5512 .wd (mio_pad_attr_regwen_13_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005513
5514 // from internal hardware
5515 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005516 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005517
5518 // to internal hardware
5519 .qe (),
5520 .q (),
5521
5522 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005523 .qs (mio_pad_attr_regwen_13_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005524 );
5525
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005526 // Subregister 14 of Multireg mio_pad_attr_regwen
5527 // R[mio_pad_attr_regwen_14]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005528
5529 prim_subreg #(
5530 .DW (1),
5531 .SWACCESS("W0C"),
5532 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005533 ) u_mio_pad_attr_regwen_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005534 .clk_i (clk_i),
5535 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005536
5537 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005538 .we (mio_pad_attr_regwen_14_we),
5539 .wd (mio_pad_attr_regwen_14_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005540
5541 // from internal hardware
5542 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005543 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005544
5545 // to internal hardware
5546 .qe (),
5547 .q (),
5548
5549 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005550 .qs (mio_pad_attr_regwen_14_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005551 );
5552
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005553 // Subregister 15 of Multireg mio_pad_attr_regwen
5554 // R[mio_pad_attr_regwen_15]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005555
5556 prim_subreg #(
5557 .DW (1),
5558 .SWACCESS("W0C"),
5559 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005560 ) u_mio_pad_attr_regwen_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005561 .clk_i (clk_i),
5562 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005563
5564 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005565 .we (mio_pad_attr_regwen_15_we),
5566 .wd (mio_pad_attr_regwen_15_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005567
5568 // from internal hardware
5569 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005570 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005571
5572 // to internal hardware
5573 .qe (),
5574 .q (),
5575
5576 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005577 .qs (mio_pad_attr_regwen_15_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005578 );
5579
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005580 // Subregister 16 of Multireg mio_pad_attr_regwen
5581 // R[mio_pad_attr_regwen_16]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005582
5583 prim_subreg #(
5584 .DW (1),
5585 .SWACCESS("W0C"),
5586 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005587 ) u_mio_pad_attr_regwen_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005588 .clk_i (clk_i),
5589 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005590
5591 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005592 .we (mio_pad_attr_regwen_16_we),
5593 .wd (mio_pad_attr_regwen_16_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005594
5595 // from internal hardware
5596 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005597 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005598
5599 // to internal hardware
5600 .qe (),
5601 .q (),
5602
5603 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005604 .qs (mio_pad_attr_regwen_16_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005605 );
5606
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005607 // Subregister 17 of Multireg mio_pad_attr_regwen
5608 // R[mio_pad_attr_regwen_17]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005609
5610 prim_subreg #(
5611 .DW (1),
5612 .SWACCESS("W0C"),
5613 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005614 ) u_mio_pad_attr_regwen_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005615 .clk_i (clk_i),
5616 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005617
5618 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005619 .we (mio_pad_attr_regwen_17_we),
5620 .wd (mio_pad_attr_regwen_17_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005621
5622 // from internal hardware
5623 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005624 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005625
5626 // to internal hardware
5627 .qe (),
5628 .q (),
5629
5630 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005631 .qs (mio_pad_attr_regwen_17_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005632 );
5633
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005634 // Subregister 18 of Multireg mio_pad_attr_regwen
5635 // R[mio_pad_attr_regwen_18]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005636
5637 prim_subreg #(
5638 .DW (1),
5639 .SWACCESS("W0C"),
5640 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005641 ) u_mio_pad_attr_regwen_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005642 .clk_i (clk_i),
5643 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005644
5645 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005646 .we (mio_pad_attr_regwen_18_we),
5647 .wd (mio_pad_attr_regwen_18_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005648
5649 // from internal hardware
5650 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005651 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005652
5653 // to internal hardware
5654 .qe (),
5655 .q (),
5656
5657 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005658 .qs (mio_pad_attr_regwen_18_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005659 );
5660
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005661 // Subregister 19 of Multireg mio_pad_attr_regwen
5662 // R[mio_pad_attr_regwen_19]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005663
5664 prim_subreg #(
5665 .DW (1),
5666 .SWACCESS("W0C"),
5667 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005668 ) u_mio_pad_attr_regwen_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005669 .clk_i (clk_i),
5670 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005671
5672 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005673 .we (mio_pad_attr_regwen_19_we),
5674 .wd (mio_pad_attr_regwen_19_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005675
5676 // from internal hardware
5677 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005678 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005679
5680 // to internal hardware
5681 .qe (),
5682 .q (),
5683
5684 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005685 .qs (mio_pad_attr_regwen_19_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005686 );
5687
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005688 // Subregister 20 of Multireg mio_pad_attr_regwen
5689 // R[mio_pad_attr_regwen_20]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005690
5691 prim_subreg #(
5692 .DW (1),
5693 .SWACCESS("W0C"),
5694 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005695 ) u_mio_pad_attr_regwen_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005696 .clk_i (clk_i),
5697 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005698
5699 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005700 .we (mio_pad_attr_regwen_20_we),
5701 .wd (mio_pad_attr_regwen_20_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005702
5703 // from internal hardware
5704 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005705 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005706
5707 // to internal hardware
5708 .qe (),
5709 .q (),
5710
5711 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005712 .qs (mio_pad_attr_regwen_20_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005713 );
5714
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005715 // Subregister 21 of Multireg mio_pad_attr_regwen
5716 // R[mio_pad_attr_regwen_21]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005717
5718 prim_subreg #(
5719 .DW (1),
5720 .SWACCESS("W0C"),
5721 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005722 ) u_mio_pad_attr_regwen_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005723 .clk_i (clk_i),
5724 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005725
5726 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005727 .we (mio_pad_attr_regwen_21_we),
5728 .wd (mio_pad_attr_regwen_21_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005729
5730 // from internal hardware
5731 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005732 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005733
5734 // to internal hardware
5735 .qe (),
5736 .q (),
5737
5738 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005739 .qs (mio_pad_attr_regwen_21_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005740 );
5741
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005742 // Subregister 22 of Multireg mio_pad_attr_regwen
5743 // R[mio_pad_attr_regwen_22]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005744
5745 prim_subreg #(
5746 .DW (1),
5747 .SWACCESS("W0C"),
5748 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005749 ) u_mio_pad_attr_regwen_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005750 .clk_i (clk_i),
5751 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005752
5753 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005754 .we (mio_pad_attr_regwen_22_we),
5755 .wd (mio_pad_attr_regwen_22_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005756
5757 // from internal hardware
5758 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005759 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005760
5761 // to internal hardware
5762 .qe (),
5763 .q (),
5764
5765 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005766 .qs (mio_pad_attr_regwen_22_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005767 );
5768
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005769 // Subregister 23 of Multireg mio_pad_attr_regwen
5770 // R[mio_pad_attr_regwen_23]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005771
5772 prim_subreg #(
5773 .DW (1),
5774 .SWACCESS("W0C"),
5775 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005776 ) u_mio_pad_attr_regwen_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005777 .clk_i (clk_i),
5778 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005779
5780 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005781 .we (mio_pad_attr_regwen_23_we),
5782 .wd (mio_pad_attr_regwen_23_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005783
5784 // from internal hardware
5785 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005786 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005787
5788 // to internal hardware
5789 .qe (),
5790 .q (),
5791
5792 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005793 .qs (mio_pad_attr_regwen_23_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005794 );
5795
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005796 // Subregister 24 of Multireg mio_pad_attr_regwen
5797 // R[mio_pad_attr_regwen_24]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005798
5799 prim_subreg #(
5800 .DW (1),
5801 .SWACCESS("W0C"),
5802 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005803 ) u_mio_pad_attr_regwen_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005804 .clk_i (clk_i),
5805 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005806
5807 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005808 .we (mio_pad_attr_regwen_24_we),
5809 .wd (mio_pad_attr_regwen_24_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005810
5811 // from internal hardware
5812 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005813 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005814
5815 // to internal hardware
5816 .qe (),
5817 .q (),
5818
5819 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005820 .qs (mio_pad_attr_regwen_24_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005821 );
5822
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005823 // Subregister 25 of Multireg mio_pad_attr_regwen
5824 // R[mio_pad_attr_regwen_25]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005825
5826 prim_subreg #(
5827 .DW (1),
5828 .SWACCESS("W0C"),
5829 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005830 ) u_mio_pad_attr_regwen_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005831 .clk_i (clk_i),
5832 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005833
5834 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005835 .we (mio_pad_attr_regwen_25_we),
5836 .wd (mio_pad_attr_regwen_25_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005837
5838 // from internal hardware
5839 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005840 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005841
5842 // to internal hardware
5843 .qe (),
5844 .q (),
5845
5846 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005847 .qs (mio_pad_attr_regwen_25_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005848 );
5849
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005850 // Subregister 26 of Multireg mio_pad_attr_regwen
5851 // R[mio_pad_attr_regwen_26]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005852
5853 prim_subreg #(
5854 .DW (1),
5855 .SWACCESS("W0C"),
5856 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005857 ) u_mio_pad_attr_regwen_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005858 .clk_i (clk_i),
5859 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005860
5861 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005862 .we (mio_pad_attr_regwen_26_we),
5863 .wd (mio_pad_attr_regwen_26_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005864
5865 // from internal hardware
5866 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005867 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005868
5869 // to internal hardware
5870 .qe (),
5871 .q (),
5872
5873 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005874 .qs (mio_pad_attr_regwen_26_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005875 );
5876
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005877 // Subregister 27 of Multireg mio_pad_attr_regwen
5878 // R[mio_pad_attr_regwen_27]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005879
5880 prim_subreg #(
5881 .DW (1),
5882 .SWACCESS("W0C"),
5883 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005884 ) u_mio_pad_attr_regwen_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005885 .clk_i (clk_i),
5886 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005887
5888 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005889 .we (mio_pad_attr_regwen_27_we),
5890 .wd (mio_pad_attr_regwen_27_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005891
5892 // from internal hardware
5893 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005894 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005895
5896 // to internal hardware
5897 .qe (),
5898 .q (),
5899
5900 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005901 .qs (mio_pad_attr_regwen_27_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005902 );
5903
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005904 // Subregister 28 of Multireg mio_pad_attr_regwen
5905 // R[mio_pad_attr_regwen_28]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005906
5907 prim_subreg #(
5908 .DW (1),
5909 .SWACCESS("W0C"),
5910 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005911 ) u_mio_pad_attr_regwen_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005912 .clk_i (clk_i),
5913 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005914
5915 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005916 .we (mio_pad_attr_regwen_28_we),
5917 .wd (mio_pad_attr_regwen_28_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005918
5919 // from internal hardware
5920 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005921 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005922
5923 // to internal hardware
5924 .qe (),
5925 .q (),
5926
5927 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005928 .qs (mio_pad_attr_regwen_28_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005929 );
5930
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005931 // Subregister 29 of Multireg mio_pad_attr_regwen
5932 // R[mio_pad_attr_regwen_29]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005933
5934 prim_subreg #(
5935 .DW (1),
5936 .SWACCESS("W0C"),
5937 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005938 ) u_mio_pad_attr_regwen_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005939 .clk_i (clk_i),
5940 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005941
5942 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005943 .we (mio_pad_attr_regwen_29_we),
5944 .wd (mio_pad_attr_regwen_29_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005945
5946 // from internal hardware
5947 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005948 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005949
5950 // to internal hardware
5951 .qe (),
5952 .q (),
5953
5954 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005955 .qs (mio_pad_attr_regwen_29_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005956 );
5957
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005958 // Subregister 30 of Multireg mio_pad_attr_regwen
5959 // R[mio_pad_attr_regwen_30]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005960
5961 prim_subreg #(
5962 .DW (1),
5963 .SWACCESS("W0C"),
5964 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005965 ) u_mio_pad_attr_regwen_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005966 .clk_i (clk_i),
5967 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005968
5969 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005970 .we (mio_pad_attr_regwen_30_we),
5971 .wd (mio_pad_attr_regwen_30_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005972
5973 // from internal hardware
5974 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005975 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005976
5977 // to internal hardware
5978 .qe (),
5979 .q (),
5980
5981 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005982 .qs (mio_pad_attr_regwen_30_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005983 );
5984
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005985 // Subregister 31 of Multireg mio_pad_attr_regwen
5986 // R[mio_pad_attr_regwen_31]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005987
5988 prim_subreg #(
5989 .DW (1),
5990 .SWACCESS("W0C"),
5991 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005992 ) u_mio_pad_attr_regwen_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005993 .clk_i (clk_i),
5994 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005995
5996 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005997 .we (mio_pad_attr_regwen_31_we),
5998 .wd (mio_pad_attr_regwen_31_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005999
6000 // from internal hardware
6001 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006002 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08006003
6004 // to internal hardware
6005 .qe (),
6006 .q (),
6007
6008 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006009 .qs (mio_pad_attr_regwen_31_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08006010 );
6011
6012
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006013
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006014 // Subregister 0 of Multireg mio_pad_attr
6015 // R[mio_pad_attr_0]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006016
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006017 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006018 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006019 ) u_mio_pad_attr_0 (
6020 .re (mio_pad_attr_0_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006021 .we (mio_pad_attr_0_we & mio_pad_attr_regwen_0_qs),
6022 .wd (mio_pad_attr_0_wd),
6023 .d (hw2reg.mio_pad_attr[0].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006024 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006025 .qe (reg2hw.mio_pad_attr[0].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006026 .q (reg2hw.mio_pad_attr[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006027 .qs (mio_pad_attr_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006028 );
6029
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006030 // Subregister 1 of Multireg mio_pad_attr
6031 // R[mio_pad_attr_1]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006032
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006033 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006034 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006035 ) u_mio_pad_attr_1 (
6036 .re (mio_pad_attr_1_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006037 .we (mio_pad_attr_1_we & mio_pad_attr_regwen_1_qs),
6038 .wd (mio_pad_attr_1_wd),
6039 .d (hw2reg.mio_pad_attr[1].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006040 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006041 .qe (reg2hw.mio_pad_attr[1].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006042 .q (reg2hw.mio_pad_attr[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006043 .qs (mio_pad_attr_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006044 );
6045
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006046 // Subregister 2 of Multireg mio_pad_attr
6047 // R[mio_pad_attr_2]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006048
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006049 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006050 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006051 ) u_mio_pad_attr_2 (
6052 .re (mio_pad_attr_2_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006053 .we (mio_pad_attr_2_we & mio_pad_attr_regwen_2_qs),
6054 .wd (mio_pad_attr_2_wd),
6055 .d (hw2reg.mio_pad_attr[2].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006056 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006057 .qe (reg2hw.mio_pad_attr[2].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006058 .q (reg2hw.mio_pad_attr[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006059 .qs (mio_pad_attr_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006060 );
6061
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006062 // Subregister 3 of Multireg mio_pad_attr
6063 // R[mio_pad_attr_3]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006064
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006065 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006066 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006067 ) u_mio_pad_attr_3 (
6068 .re (mio_pad_attr_3_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006069 .we (mio_pad_attr_3_we & mio_pad_attr_regwen_3_qs),
6070 .wd (mio_pad_attr_3_wd),
6071 .d (hw2reg.mio_pad_attr[3].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006072 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006073 .qe (reg2hw.mio_pad_attr[3].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006074 .q (reg2hw.mio_pad_attr[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006075 .qs (mio_pad_attr_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006076 );
6077
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006078 // Subregister 4 of Multireg mio_pad_attr
6079 // R[mio_pad_attr_4]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006080
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006081 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006082 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006083 ) u_mio_pad_attr_4 (
6084 .re (mio_pad_attr_4_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006085 .we (mio_pad_attr_4_we & mio_pad_attr_regwen_4_qs),
6086 .wd (mio_pad_attr_4_wd),
6087 .d (hw2reg.mio_pad_attr[4].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006088 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006089 .qe (reg2hw.mio_pad_attr[4].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006090 .q (reg2hw.mio_pad_attr[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006091 .qs (mio_pad_attr_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006092 );
6093
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006094 // Subregister 5 of Multireg mio_pad_attr
6095 // R[mio_pad_attr_5]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006096
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006097 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006098 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006099 ) u_mio_pad_attr_5 (
6100 .re (mio_pad_attr_5_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006101 .we (mio_pad_attr_5_we & mio_pad_attr_regwen_5_qs),
6102 .wd (mio_pad_attr_5_wd),
6103 .d (hw2reg.mio_pad_attr[5].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006104 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006105 .qe (reg2hw.mio_pad_attr[5].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006106 .q (reg2hw.mio_pad_attr[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006107 .qs (mio_pad_attr_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006108 );
6109
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006110 // Subregister 6 of Multireg mio_pad_attr
6111 // R[mio_pad_attr_6]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006112
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006113 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006114 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006115 ) u_mio_pad_attr_6 (
6116 .re (mio_pad_attr_6_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006117 .we (mio_pad_attr_6_we & mio_pad_attr_regwen_6_qs),
6118 .wd (mio_pad_attr_6_wd),
6119 .d (hw2reg.mio_pad_attr[6].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006120 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006121 .qe (reg2hw.mio_pad_attr[6].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006122 .q (reg2hw.mio_pad_attr[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006123 .qs (mio_pad_attr_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006124 );
6125
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006126 // Subregister 7 of Multireg mio_pad_attr
6127 // R[mio_pad_attr_7]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006128
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006129 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006130 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006131 ) u_mio_pad_attr_7 (
6132 .re (mio_pad_attr_7_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006133 .we (mio_pad_attr_7_we & mio_pad_attr_regwen_7_qs),
6134 .wd (mio_pad_attr_7_wd),
6135 .d (hw2reg.mio_pad_attr[7].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006136 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006137 .qe (reg2hw.mio_pad_attr[7].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006138 .q (reg2hw.mio_pad_attr[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006139 .qs (mio_pad_attr_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006140 );
6141
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006142 // Subregister 8 of Multireg mio_pad_attr
6143 // R[mio_pad_attr_8]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006144
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006145 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006146 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006147 ) u_mio_pad_attr_8 (
6148 .re (mio_pad_attr_8_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006149 .we (mio_pad_attr_8_we & mio_pad_attr_regwen_8_qs),
6150 .wd (mio_pad_attr_8_wd),
6151 .d (hw2reg.mio_pad_attr[8].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006152 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006153 .qe (reg2hw.mio_pad_attr[8].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006154 .q (reg2hw.mio_pad_attr[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006155 .qs (mio_pad_attr_8_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006156 );
6157
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006158 // Subregister 9 of Multireg mio_pad_attr
6159 // R[mio_pad_attr_9]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006160
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006161 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006162 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006163 ) u_mio_pad_attr_9 (
6164 .re (mio_pad_attr_9_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006165 .we (mio_pad_attr_9_we & mio_pad_attr_regwen_9_qs),
6166 .wd (mio_pad_attr_9_wd),
6167 .d (hw2reg.mio_pad_attr[9].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006168 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006169 .qe (reg2hw.mio_pad_attr[9].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006170 .q (reg2hw.mio_pad_attr[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006171 .qs (mio_pad_attr_9_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006172 );
6173
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006174 // Subregister 10 of Multireg mio_pad_attr
6175 // R[mio_pad_attr_10]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006176
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006177 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006178 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006179 ) u_mio_pad_attr_10 (
6180 .re (mio_pad_attr_10_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006181 .we (mio_pad_attr_10_we & mio_pad_attr_regwen_10_qs),
6182 .wd (mio_pad_attr_10_wd),
6183 .d (hw2reg.mio_pad_attr[10].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006184 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006185 .qe (reg2hw.mio_pad_attr[10].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006186 .q (reg2hw.mio_pad_attr[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006187 .qs (mio_pad_attr_10_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006188 );
6189
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006190 // Subregister 11 of Multireg mio_pad_attr
6191 // R[mio_pad_attr_11]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006192
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006193 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006194 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006195 ) u_mio_pad_attr_11 (
6196 .re (mio_pad_attr_11_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006197 .we (mio_pad_attr_11_we & mio_pad_attr_regwen_11_qs),
6198 .wd (mio_pad_attr_11_wd),
6199 .d (hw2reg.mio_pad_attr[11].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006200 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006201 .qe (reg2hw.mio_pad_attr[11].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006202 .q (reg2hw.mio_pad_attr[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006203 .qs (mio_pad_attr_11_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006204 );
6205
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006206 // Subregister 12 of Multireg mio_pad_attr
6207 // R[mio_pad_attr_12]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006208
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006209 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006210 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006211 ) u_mio_pad_attr_12 (
6212 .re (mio_pad_attr_12_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006213 .we (mio_pad_attr_12_we & mio_pad_attr_regwen_12_qs),
6214 .wd (mio_pad_attr_12_wd),
6215 .d (hw2reg.mio_pad_attr[12].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006216 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006217 .qe (reg2hw.mio_pad_attr[12].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006218 .q (reg2hw.mio_pad_attr[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006219 .qs (mio_pad_attr_12_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006220 );
6221
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006222 // Subregister 13 of Multireg mio_pad_attr
6223 // R[mio_pad_attr_13]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006224
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006225 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006226 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006227 ) u_mio_pad_attr_13 (
6228 .re (mio_pad_attr_13_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006229 .we (mio_pad_attr_13_we & mio_pad_attr_regwen_13_qs),
6230 .wd (mio_pad_attr_13_wd),
6231 .d (hw2reg.mio_pad_attr[13].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006232 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006233 .qe (reg2hw.mio_pad_attr[13].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006234 .q (reg2hw.mio_pad_attr[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006235 .qs (mio_pad_attr_13_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006236 );
6237
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006238 // Subregister 14 of Multireg mio_pad_attr
6239 // R[mio_pad_attr_14]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006240
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006241 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006242 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006243 ) u_mio_pad_attr_14 (
6244 .re (mio_pad_attr_14_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006245 .we (mio_pad_attr_14_we & mio_pad_attr_regwen_14_qs),
6246 .wd (mio_pad_attr_14_wd),
6247 .d (hw2reg.mio_pad_attr[14].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006248 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006249 .qe (reg2hw.mio_pad_attr[14].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006250 .q (reg2hw.mio_pad_attr[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006251 .qs (mio_pad_attr_14_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006252 );
6253
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006254 // Subregister 15 of Multireg mio_pad_attr
6255 // R[mio_pad_attr_15]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006256
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006257 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006258 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006259 ) u_mio_pad_attr_15 (
6260 .re (mio_pad_attr_15_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006261 .we (mio_pad_attr_15_we & mio_pad_attr_regwen_15_qs),
6262 .wd (mio_pad_attr_15_wd),
6263 .d (hw2reg.mio_pad_attr[15].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006264 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006265 .qe (reg2hw.mio_pad_attr[15].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006266 .q (reg2hw.mio_pad_attr[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006267 .qs (mio_pad_attr_15_qs)
6268 );
6269
6270 // Subregister 16 of Multireg mio_pad_attr
6271 // R[mio_pad_attr_16]: V(True)
6272
6273 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006274 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006275 ) u_mio_pad_attr_16 (
6276 .re (mio_pad_attr_16_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006277 .we (mio_pad_attr_16_we & mio_pad_attr_regwen_16_qs),
6278 .wd (mio_pad_attr_16_wd),
6279 .d (hw2reg.mio_pad_attr[16].d),
6280 .qre (),
6281 .qe (reg2hw.mio_pad_attr[16].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006282 .q (reg2hw.mio_pad_attr[16].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006283 .qs (mio_pad_attr_16_qs)
6284 );
6285
6286 // Subregister 17 of Multireg mio_pad_attr
6287 // R[mio_pad_attr_17]: V(True)
6288
6289 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006290 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006291 ) u_mio_pad_attr_17 (
6292 .re (mio_pad_attr_17_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006293 .we (mio_pad_attr_17_we & mio_pad_attr_regwen_17_qs),
6294 .wd (mio_pad_attr_17_wd),
6295 .d (hw2reg.mio_pad_attr[17].d),
6296 .qre (),
6297 .qe (reg2hw.mio_pad_attr[17].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006298 .q (reg2hw.mio_pad_attr[17].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006299 .qs (mio_pad_attr_17_qs)
6300 );
6301
6302 // Subregister 18 of Multireg mio_pad_attr
6303 // R[mio_pad_attr_18]: V(True)
6304
6305 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006306 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006307 ) u_mio_pad_attr_18 (
6308 .re (mio_pad_attr_18_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006309 .we (mio_pad_attr_18_we & mio_pad_attr_regwen_18_qs),
6310 .wd (mio_pad_attr_18_wd),
6311 .d (hw2reg.mio_pad_attr[18].d),
6312 .qre (),
6313 .qe (reg2hw.mio_pad_attr[18].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006314 .q (reg2hw.mio_pad_attr[18].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006315 .qs (mio_pad_attr_18_qs)
6316 );
6317
6318 // Subregister 19 of Multireg mio_pad_attr
6319 // R[mio_pad_attr_19]: V(True)
6320
6321 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006322 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006323 ) u_mio_pad_attr_19 (
6324 .re (mio_pad_attr_19_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006325 .we (mio_pad_attr_19_we & mio_pad_attr_regwen_19_qs),
6326 .wd (mio_pad_attr_19_wd),
6327 .d (hw2reg.mio_pad_attr[19].d),
6328 .qre (),
6329 .qe (reg2hw.mio_pad_attr[19].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006330 .q (reg2hw.mio_pad_attr[19].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006331 .qs (mio_pad_attr_19_qs)
6332 );
6333
6334 // Subregister 20 of Multireg mio_pad_attr
6335 // R[mio_pad_attr_20]: V(True)
6336
6337 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006338 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006339 ) u_mio_pad_attr_20 (
6340 .re (mio_pad_attr_20_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006341 .we (mio_pad_attr_20_we & mio_pad_attr_regwen_20_qs),
6342 .wd (mio_pad_attr_20_wd),
6343 .d (hw2reg.mio_pad_attr[20].d),
6344 .qre (),
6345 .qe (reg2hw.mio_pad_attr[20].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006346 .q (reg2hw.mio_pad_attr[20].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006347 .qs (mio_pad_attr_20_qs)
6348 );
6349
6350 // Subregister 21 of Multireg mio_pad_attr
6351 // R[mio_pad_attr_21]: V(True)
6352
6353 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006354 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006355 ) u_mio_pad_attr_21 (
6356 .re (mio_pad_attr_21_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006357 .we (mio_pad_attr_21_we & mio_pad_attr_regwen_21_qs),
6358 .wd (mio_pad_attr_21_wd),
6359 .d (hw2reg.mio_pad_attr[21].d),
6360 .qre (),
6361 .qe (reg2hw.mio_pad_attr[21].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006362 .q (reg2hw.mio_pad_attr[21].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006363 .qs (mio_pad_attr_21_qs)
6364 );
6365
6366 // Subregister 22 of Multireg mio_pad_attr
6367 // R[mio_pad_attr_22]: V(True)
6368
6369 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006370 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006371 ) u_mio_pad_attr_22 (
6372 .re (mio_pad_attr_22_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006373 .we (mio_pad_attr_22_we & mio_pad_attr_regwen_22_qs),
6374 .wd (mio_pad_attr_22_wd),
6375 .d (hw2reg.mio_pad_attr[22].d),
6376 .qre (),
6377 .qe (reg2hw.mio_pad_attr[22].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006378 .q (reg2hw.mio_pad_attr[22].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006379 .qs (mio_pad_attr_22_qs)
6380 );
6381
6382 // Subregister 23 of Multireg mio_pad_attr
6383 // R[mio_pad_attr_23]: V(True)
6384
6385 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006386 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006387 ) u_mio_pad_attr_23 (
6388 .re (mio_pad_attr_23_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006389 .we (mio_pad_attr_23_we & mio_pad_attr_regwen_23_qs),
6390 .wd (mio_pad_attr_23_wd),
6391 .d (hw2reg.mio_pad_attr[23].d),
6392 .qre (),
6393 .qe (reg2hw.mio_pad_attr[23].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006394 .q (reg2hw.mio_pad_attr[23].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006395 .qs (mio_pad_attr_23_qs)
6396 );
6397
6398 // Subregister 24 of Multireg mio_pad_attr
6399 // R[mio_pad_attr_24]: V(True)
6400
6401 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006402 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006403 ) u_mio_pad_attr_24 (
6404 .re (mio_pad_attr_24_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006405 .we (mio_pad_attr_24_we & mio_pad_attr_regwen_24_qs),
6406 .wd (mio_pad_attr_24_wd),
6407 .d (hw2reg.mio_pad_attr[24].d),
6408 .qre (),
6409 .qe (reg2hw.mio_pad_attr[24].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006410 .q (reg2hw.mio_pad_attr[24].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006411 .qs (mio_pad_attr_24_qs)
6412 );
6413
6414 // Subregister 25 of Multireg mio_pad_attr
6415 // R[mio_pad_attr_25]: V(True)
6416
6417 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006418 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006419 ) u_mio_pad_attr_25 (
6420 .re (mio_pad_attr_25_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006421 .we (mio_pad_attr_25_we & mio_pad_attr_regwen_25_qs),
6422 .wd (mio_pad_attr_25_wd),
6423 .d (hw2reg.mio_pad_attr[25].d),
6424 .qre (),
6425 .qe (reg2hw.mio_pad_attr[25].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006426 .q (reg2hw.mio_pad_attr[25].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006427 .qs (mio_pad_attr_25_qs)
6428 );
6429
6430 // Subregister 26 of Multireg mio_pad_attr
6431 // R[mio_pad_attr_26]: V(True)
6432
6433 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006434 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006435 ) u_mio_pad_attr_26 (
6436 .re (mio_pad_attr_26_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006437 .we (mio_pad_attr_26_we & mio_pad_attr_regwen_26_qs),
6438 .wd (mio_pad_attr_26_wd),
6439 .d (hw2reg.mio_pad_attr[26].d),
6440 .qre (),
6441 .qe (reg2hw.mio_pad_attr[26].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006442 .q (reg2hw.mio_pad_attr[26].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006443 .qs (mio_pad_attr_26_qs)
6444 );
6445
6446 // Subregister 27 of Multireg mio_pad_attr
6447 // R[mio_pad_attr_27]: V(True)
6448
6449 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006450 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006451 ) u_mio_pad_attr_27 (
6452 .re (mio_pad_attr_27_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006453 .we (mio_pad_attr_27_we & mio_pad_attr_regwen_27_qs),
6454 .wd (mio_pad_attr_27_wd),
6455 .d (hw2reg.mio_pad_attr[27].d),
6456 .qre (),
6457 .qe (reg2hw.mio_pad_attr[27].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006458 .q (reg2hw.mio_pad_attr[27].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006459 .qs (mio_pad_attr_27_qs)
6460 );
6461
6462 // Subregister 28 of Multireg mio_pad_attr
6463 // R[mio_pad_attr_28]: V(True)
6464
6465 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006466 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006467 ) u_mio_pad_attr_28 (
6468 .re (mio_pad_attr_28_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006469 .we (mio_pad_attr_28_we & mio_pad_attr_regwen_28_qs),
6470 .wd (mio_pad_attr_28_wd),
6471 .d (hw2reg.mio_pad_attr[28].d),
6472 .qre (),
6473 .qe (reg2hw.mio_pad_attr[28].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006474 .q (reg2hw.mio_pad_attr[28].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006475 .qs (mio_pad_attr_28_qs)
6476 );
6477
6478 // Subregister 29 of Multireg mio_pad_attr
6479 // R[mio_pad_attr_29]: V(True)
6480
6481 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006482 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006483 ) u_mio_pad_attr_29 (
6484 .re (mio_pad_attr_29_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006485 .we (mio_pad_attr_29_we & mio_pad_attr_regwen_29_qs),
6486 .wd (mio_pad_attr_29_wd),
6487 .d (hw2reg.mio_pad_attr[29].d),
6488 .qre (),
6489 .qe (reg2hw.mio_pad_attr[29].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006490 .q (reg2hw.mio_pad_attr[29].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006491 .qs (mio_pad_attr_29_qs)
6492 );
6493
6494 // Subregister 30 of Multireg mio_pad_attr
6495 // R[mio_pad_attr_30]: V(True)
6496
6497 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006498 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006499 ) u_mio_pad_attr_30 (
6500 .re (mio_pad_attr_30_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006501 .we (mio_pad_attr_30_we & mio_pad_attr_regwen_30_qs),
6502 .wd (mio_pad_attr_30_wd),
6503 .d (hw2reg.mio_pad_attr[30].d),
6504 .qre (),
6505 .qe (reg2hw.mio_pad_attr[30].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006506 .q (reg2hw.mio_pad_attr[30].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006507 .qs (mio_pad_attr_30_qs)
6508 );
6509
6510 // Subregister 31 of Multireg mio_pad_attr
6511 // R[mio_pad_attr_31]: V(True)
6512
6513 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006514 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006515 ) u_mio_pad_attr_31 (
6516 .re (mio_pad_attr_31_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006517 .we (mio_pad_attr_31_we & mio_pad_attr_regwen_31_qs),
6518 .wd (mio_pad_attr_31_wd),
6519 .d (hw2reg.mio_pad_attr[31].d),
6520 .qre (),
6521 .qe (reg2hw.mio_pad_attr[31].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006522 .q (reg2hw.mio_pad_attr[31].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006523 .qs (mio_pad_attr_31_qs)
6524 );
6525
6526
6527
6528 // Subregister 0 of Multireg dio_pad_attr_regwen
6529 // R[dio_pad_attr_regwen_0]: V(False)
6530
6531 prim_subreg #(
6532 .DW (1),
6533 .SWACCESS("W0C"),
6534 .RESVAL (1'h1)
6535 ) u_dio_pad_attr_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006536 .clk_i (clk_i),
6537 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006538
6539 // from register interface
6540 .we (dio_pad_attr_regwen_0_we),
6541 .wd (dio_pad_attr_regwen_0_wd),
6542
6543 // from internal hardware
6544 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006545 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006546
6547 // to internal hardware
6548 .qe (),
6549 .q (),
6550
6551 // to register interface (read)
6552 .qs (dio_pad_attr_regwen_0_qs)
6553 );
6554
6555 // Subregister 1 of Multireg dio_pad_attr_regwen
6556 // R[dio_pad_attr_regwen_1]: V(False)
6557
6558 prim_subreg #(
6559 .DW (1),
6560 .SWACCESS("W0C"),
6561 .RESVAL (1'h1)
6562 ) u_dio_pad_attr_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006563 .clk_i (clk_i),
6564 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006565
6566 // from register interface
6567 .we (dio_pad_attr_regwen_1_we),
6568 .wd (dio_pad_attr_regwen_1_wd),
6569
6570 // from internal hardware
6571 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006572 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006573
6574 // to internal hardware
6575 .qe (),
6576 .q (),
6577
6578 // to register interface (read)
6579 .qs (dio_pad_attr_regwen_1_qs)
6580 );
6581
6582 // Subregister 2 of Multireg dio_pad_attr_regwen
6583 // R[dio_pad_attr_regwen_2]: V(False)
6584
6585 prim_subreg #(
6586 .DW (1),
6587 .SWACCESS("W0C"),
6588 .RESVAL (1'h1)
6589 ) u_dio_pad_attr_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006590 .clk_i (clk_i),
6591 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006592
6593 // from register interface
6594 .we (dio_pad_attr_regwen_2_we),
6595 .wd (dio_pad_attr_regwen_2_wd),
6596
6597 // from internal hardware
6598 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006599 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006600
6601 // to internal hardware
6602 .qe (),
6603 .q (),
6604
6605 // to register interface (read)
6606 .qs (dio_pad_attr_regwen_2_qs)
6607 );
6608
6609 // Subregister 3 of Multireg dio_pad_attr_regwen
6610 // R[dio_pad_attr_regwen_3]: V(False)
6611
6612 prim_subreg #(
6613 .DW (1),
6614 .SWACCESS("W0C"),
6615 .RESVAL (1'h1)
6616 ) u_dio_pad_attr_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006617 .clk_i (clk_i),
6618 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006619
6620 // from register interface
6621 .we (dio_pad_attr_regwen_3_we),
6622 .wd (dio_pad_attr_regwen_3_wd),
6623
6624 // from internal hardware
6625 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006626 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006627
6628 // to internal hardware
6629 .qe (),
6630 .q (),
6631
6632 // to register interface (read)
6633 .qs (dio_pad_attr_regwen_3_qs)
6634 );
6635
6636 // Subregister 4 of Multireg dio_pad_attr_regwen
6637 // R[dio_pad_attr_regwen_4]: V(False)
6638
6639 prim_subreg #(
6640 .DW (1),
6641 .SWACCESS("W0C"),
6642 .RESVAL (1'h1)
6643 ) u_dio_pad_attr_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006644 .clk_i (clk_i),
6645 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006646
6647 // from register interface
6648 .we (dio_pad_attr_regwen_4_we),
6649 .wd (dio_pad_attr_regwen_4_wd),
6650
6651 // from internal hardware
6652 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006653 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006654
6655 // to internal hardware
6656 .qe (),
6657 .q (),
6658
6659 // to register interface (read)
6660 .qs (dio_pad_attr_regwen_4_qs)
6661 );
6662
6663 // Subregister 5 of Multireg dio_pad_attr_regwen
6664 // R[dio_pad_attr_regwen_5]: V(False)
6665
6666 prim_subreg #(
6667 .DW (1),
6668 .SWACCESS("W0C"),
6669 .RESVAL (1'h1)
6670 ) u_dio_pad_attr_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006671 .clk_i (clk_i),
6672 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006673
6674 // from register interface
6675 .we (dio_pad_attr_regwen_5_we),
6676 .wd (dio_pad_attr_regwen_5_wd),
6677
6678 // from internal hardware
6679 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006680 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006681
6682 // to internal hardware
6683 .qe (),
6684 .q (),
6685
6686 // to register interface (read)
6687 .qs (dio_pad_attr_regwen_5_qs)
6688 );
6689
6690 // Subregister 6 of Multireg dio_pad_attr_regwen
6691 // R[dio_pad_attr_regwen_6]: V(False)
6692
6693 prim_subreg #(
6694 .DW (1),
6695 .SWACCESS("W0C"),
6696 .RESVAL (1'h1)
6697 ) u_dio_pad_attr_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006698 .clk_i (clk_i),
6699 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006700
6701 // from register interface
6702 .we (dio_pad_attr_regwen_6_we),
6703 .wd (dio_pad_attr_regwen_6_wd),
6704
6705 // from internal hardware
6706 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006707 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006708
6709 // to internal hardware
6710 .qe (),
6711 .q (),
6712
6713 // to register interface (read)
6714 .qs (dio_pad_attr_regwen_6_qs)
6715 );
6716
6717 // Subregister 7 of Multireg dio_pad_attr_regwen
6718 // R[dio_pad_attr_regwen_7]: V(False)
6719
6720 prim_subreg #(
6721 .DW (1),
6722 .SWACCESS("W0C"),
6723 .RESVAL (1'h1)
6724 ) u_dio_pad_attr_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006725 .clk_i (clk_i),
6726 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006727
6728 // from register interface
6729 .we (dio_pad_attr_regwen_7_we),
6730 .wd (dio_pad_attr_regwen_7_wd),
6731
6732 // from internal hardware
6733 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006734 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006735
6736 // to internal hardware
6737 .qe (),
6738 .q (),
6739
6740 // to register interface (read)
6741 .qs (dio_pad_attr_regwen_7_qs)
6742 );
6743
6744 // Subregister 8 of Multireg dio_pad_attr_regwen
6745 // R[dio_pad_attr_regwen_8]: V(False)
6746
6747 prim_subreg #(
6748 .DW (1),
6749 .SWACCESS("W0C"),
6750 .RESVAL (1'h1)
6751 ) u_dio_pad_attr_regwen_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006752 .clk_i (clk_i),
6753 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006754
6755 // from register interface
6756 .we (dio_pad_attr_regwen_8_we),
6757 .wd (dio_pad_attr_regwen_8_wd),
6758
6759 // from internal hardware
6760 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006761 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006762
6763 // to internal hardware
6764 .qe (),
6765 .q (),
6766
6767 // to register interface (read)
6768 .qs (dio_pad_attr_regwen_8_qs)
6769 );
6770
6771 // Subregister 9 of Multireg dio_pad_attr_regwen
6772 // R[dio_pad_attr_regwen_9]: V(False)
6773
6774 prim_subreg #(
6775 .DW (1),
6776 .SWACCESS("W0C"),
6777 .RESVAL (1'h1)
6778 ) u_dio_pad_attr_regwen_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006779 .clk_i (clk_i),
6780 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006781
6782 // from register interface
6783 .we (dio_pad_attr_regwen_9_we),
6784 .wd (dio_pad_attr_regwen_9_wd),
6785
6786 // from internal hardware
6787 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006788 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006789
6790 // to internal hardware
6791 .qe (),
6792 .q (),
6793
6794 // to register interface (read)
6795 .qs (dio_pad_attr_regwen_9_qs)
6796 );
6797
6798 // Subregister 10 of Multireg dio_pad_attr_regwen
6799 // R[dio_pad_attr_regwen_10]: V(False)
6800
6801 prim_subreg #(
6802 .DW (1),
6803 .SWACCESS("W0C"),
6804 .RESVAL (1'h1)
6805 ) u_dio_pad_attr_regwen_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006806 .clk_i (clk_i),
6807 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006808
6809 // from register interface
6810 .we (dio_pad_attr_regwen_10_we),
6811 .wd (dio_pad_attr_regwen_10_wd),
6812
6813 // from internal hardware
6814 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006815 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006816
6817 // to internal hardware
6818 .qe (),
6819 .q (),
6820
6821 // to register interface (read)
6822 .qs (dio_pad_attr_regwen_10_qs)
6823 );
6824
6825 // Subregister 11 of Multireg dio_pad_attr_regwen
6826 // R[dio_pad_attr_regwen_11]: V(False)
6827
6828 prim_subreg #(
6829 .DW (1),
6830 .SWACCESS("W0C"),
6831 .RESVAL (1'h1)
6832 ) u_dio_pad_attr_regwen_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006833 .clk_i (clk_i),
6834 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006835
6836 // from register interface
6837 .we (dio_pad_attr_regwen_11_we),
6838 .wd (dio_pad_attr_regwen_11_wd),
6839
6840 // from internal hardware
6841 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006842 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006843
6844 // to internal hardware
6845 .qe (),
6846 .q (),
6847
6848 // to register interface (read)
6849 .qs (dio_pad_attr_regwen_11_qs)
6850 );
6851
6852 // Subregister 12 of Multireg dio_pad_attr_regwen
6853 // R[dio_pad_attr_regwen_12]: V(False)
6854
6855 prim_subreg #(
6856 .DW (1),
6857 .SWACCESS("W0C"),
6858 .RESVAL (1'h1)
6859 ) u_dio_pad_attr_regwen_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006860 .clk_i (clk_i),
6861 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006862
6863 // from register interface
6864 .we (dio_pad_attr_regwen_12_we),
6865 .wd (dio_pad_attr_regwen_12_wd),
6866
6867 // from internal hardware
6868 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006869 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006870
6871 // to internal hardware
6872 .qe (),
6873 .q (),
6874
6875 // to register interface (read)
6876 .qs (dio_pad_attr_regwen_12_qs)
6877 );
6878
6879 // Subregister 13 of Multireg dio_pad_attr_regwen
6880 // R[dio_pad_attr_regwen_13]: V(False)
6881
6882 prim_subreg #(
6883 .DW (1),
6884 .SWACCESS("W0C"),
6885 .RESVAL (1'h1)
6886 ) u_dio_pad_attr_regwen_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006887 .clk_i (clk_i),
6888 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006889
6890 // from register interface
6891 .we (dio_pad_attr_regwen_13_we),
6892 .wd (dio_pad_attr_regwen_13_wd),
6893
6894 // from internal hardware
6895 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006896 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006897
6898 // to internal hardware
6899 .qe (),
6900 .q (),
6901
6902 // to register interface (read)
6903 .qs (dio_pad_attr_regwen_13_qs)
6904 );
6905
6906 // Subregister 14 of Multireg dio_pad_attr_regwen
6907 // R[dio_pad_attr_regwen_14]: V(False)
6908
6909 prim_subreg #(
6910 .DW (1),
6911 .SWACCESS("W0C"),
6912 .RESVAL (1'h1)
6913 ) u_dio_pad_attr_regwen_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006914 .clk_i (clk_i),
6915 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006916
6917 // from register interface
6918 .we (dio_pad_attr_regwen_14_we),
6919 .wd (dio_pad_attr_regwen_14_wd),
6920
6921 // from internal hardware
6922 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006923 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006924
6925 // to internal hardware
6926 .qe (),
6927 .q (),
6928
6929 // to register interface (read)
6930 .qs (dio_pad_attr_regwen_14_qs)
6931 );
6932
6933 // Subregister 15 of Multireg dio_pad_attr_regwen
6934 // R[dio_pad_attr_regwen_15]: V(False)
6935
6936 prim_subreg #(
6937 .DW (1),
6938 .SWACCESS("W0C"),
6939 .RESVAL (1'h1)
6940 ) u_dio_pad_attr_regwen_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006941 .clk_i (clk_i),
6942 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006943
6944 // from register interface
6945 .we (dio_pad_attr_regwen_15_we),
6946 .wd (dio_pad_attr_regwen_15_wd),
6947
6948 // from internal hardware
6949 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006950 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006951
6952 // to internal hardware
6953 .qe (),
6954 .q (),
6955
6956 // to register interface (read)
6957 .qs (dio_pad_attr_regwen_15_qs)
6958 );
6959
6960
6961
6962 // Subregister 0 of Multireg dio_pad_attr
6963 // R[dio_pad_attr_0]: V(True)
6964
6965 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006966 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006967 ) u_dio_pad_attr_0 (
6968 .re (dio_pad_attr_0_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006969 .we (dio_pad_attr_0_we & dio_pad_attr_regwen_0_qs),
6970 .wd (dio_pad_attr_0_wd),
6971 .d (hw2reg.dio_pad_attr[0].d),
6972 .qre (),
6973 .qe (reg2hw.dio_pad_attr[0].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006974 .q (reg2hw.dio_pad_attr[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006975 .qs (dio_pad_attr_0_qs)
6976 );
6977
6978 // Subregister 1 of Multireg dio_pad_attr
6979 // R[dio_pad_attr_1]: V(True)
6980
6981 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006982 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006983 ) u_dio_pad_attr_1 (
6984 .re (dio_pad_attr_1_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006985 .we (dio_pad_attr_1_we & dio_pad_attr_regwen_1_qs),
6986 .wd (dio_pad_attr_1_wd),
6987 .d (hw2reg.dio_pad_attr[1].d),
6988 .qre (),
6989 .qe (reg2hw.dio_pad_attr[1].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006990 .q (reg2hw.dio_pad_attr[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006991 .qs (dio_pad_attr_1_qs)
6992 );
6993
6994 // Subregister 2 of Multireg dio_pad_attr
6995 // R[dio_pad_attr_2]: V(True)
6996
6997 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006998 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006999 ) u_dio_pad_attr_2 (
7000 .re (dio_pad_attr_2_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007001 .we (dio_pad_attr_2_we & dio_pad_attr_regwen_2_qs),
7002 .wd (dio_pad_attr_2_wd),
7003 .d (hw2reg.dio_pad_attr[2].d),
7004 .qre (),
7005 .qe (reg2hw.dio_pad_attr[2].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007006 .q (reg2hw.dio_pad_attr[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007007 .qs (dio_pad_attr_2_qs)
7008 );
7009
7010 // Subregister 3 of Multireg dio_pad_attr
7011 // R[dio_pad_attr_3]: V(True)
7012
7013 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007014 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007015 ) u_dio_pad_attr_3 (
7016 .re (dio_pad_attr_3_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007017 .we (dio_pad_attr_3_we & dio_pad_attr_regwen_3_qs),
7018 .wd (dio_pad_attr_3_wd),
7019 .d (hw2reg.dio_pad_attr[3].d),
7020 .qre (),
7021 .qe (reg2hw.dio_pad_attr[3].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007022 .q (reg2hw.dio_pad_attr[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007023 .qs (dio_pad_attr_3_qs)
7024 );
7025
7026 // Subregister 4 of Multireg dio_pad_attr
7027 // R[dio_pad_attr_4]: V(True)
7028
7029 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007030 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007031 ) u_dio_pad_attr_4 (
7032 .re (dio_pad_attr_4_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007033 .we (dio_pad_attr_4_we & dio_pad_attr_regwen_4_qs),
7034 .wd (dio_pad_attr_4_wd),
7035 .d (hw2reg.dio_pad_attr[4].d),
7036 .qre (),
7037 .qe (reg2hw.dio_pad_attr[4].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007038 .q (reg2hw.dio_pad_attr[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007039 .qs (dio_pad_attr_4_qs)
7040 );
7041
7042 // Subregister 5 of Multireg dio_pad_attr
7043 // R[dio_pad_attr_5]: V(True)
7044
7045 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007046 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007047 ) u_dio_pad_attr_5 (
7048 .re (dio_pad_attr_5_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007049 .we (dio_pad_attr_5_we & dio_pad_attr_regwen_5_qs),
7050 .wd (dio_pad_attr_5_wd),
7051 .d (hw2reg.dio_pad_attr[5].d),
7052 .qre (),
7053 .qe (reg2hw.dio_pad_attr[5].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007054 .q (reg2hw.dio_pad_attr[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007055 .qs (dio_pad_attr_5_qs)
7056 );
7057
7058 // Subregister 6 of Multireg dio_pad_attr
7059 // R[dio_pad_attr_6]: V(True)
7060
7061 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007062 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007063 ) u_dio_pad_attr_6 (
7064 .re (dio_pad_attr_6_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007065 .we (dio_pad_attr_6_we & dio_pad_attr_regwen_6_qs),
7066 .wd (dio_pad_attr_6_wd),
7067 .d (hw2reg.dio_pad_attr[6].d),
7068 .qre (),
7069 .qe (reg2hw.dio_pad_attr[6].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007070 .q (reg2hw.dio_pad_attr[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007071 .qs (dio_pad_attr_6_qs)
7072 );
7073
7074 // Subregister 7 of Multireg dio_pad_attr
7075 // R[dio_pad_attr_7]: V(True)
7076
7077 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007078 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007079 ) u_dio_pad_attr_7 (
7080 .re (dio_pad_attr_7_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007081 .we (dio_pad_attr_7_we & dio_pad_attr_regwen_7_qs),
7082 .wd (dio_pad_attr_7_wd),
7083 .d (hw2reg.dio_pad_attr[7].d),
7084 .qre (),
7085 .qe (reg2hw.dio_pad_attr[7].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007086 .q (reg2hw.dio_pad_attr[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007087 .qs (dio_pad_attr_7_qs)
7088 );
7089
7090 // Subregister 8 of Multireg dio_pad_attr
7091 // R[dio_pad_attr_8]: V(True)
7092
7093 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007094 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007095 ) u_dio_pad_attr_8 (
7096 .re (dio_pad_attr_8_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007097 .we (dio_pad_attr_8_we & dio_pad_attr_regwen_8_qs),
7098 .wd (dio_pad_attr_8_wd),
7099 .d (hw2reg.dio_pad_attr[8].d),
7100 .qre (),
7101 .qe (reg2hw.dio_pad_attr[8].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007102 .q (reg2hw.dio_pad_attr[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007103 .qs (dio_pad_attr_8_qs)
7104 );
7105
7106 // Subregister 9 of Multireg dio_pad_attr
7107 // R[dio_pad_attr_9]: V(True)
7108
7109 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007110 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007111 ) u_dio_pad_attr_9 (
7112 .re (dio_pad_attr_9_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007113 .we (dio_pad_attr_9_we & dio_pad_attr_regwen_9_qs),
7114 .wd (dio_pad_attr_9_wd),
7115 .d (hw2reg.dio_pad_attr[9].d),
7116 .qre (),
7117 .qe (reg2hw.dio_pad_attr[9].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007118 .q (reg2hw.dio_pad_attr[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007119 .qs (dio_pad_attr_9_qs)
7120 );
7121
7122 // Subregister 10 of Multireg dio_pad_attr
7123 // R[dio_pad_attr_10]: V(True)
7124
7125 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007126 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007127 ) u_dio_pad_attr_10 (
7128 .re (dio_pad_attr_10_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007129 .we (dio_pad_attr_10_we & dio_pad_attr_regwen_10_qs),
7130 .wd (dio_pad_attr_10_wd),
7131 .d (hw2reg.dio_pad_attr[10].d),
7132 .qre (),
7133 .qe (reg2hw.dio_pad_attr[10].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007134 .q (reg2hw.dio_pad_attr[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007135 .qs (dio_pad_attr_10_qs)
7136 );
7137
7138 // Subregister 11 of Multireg dio_pad_attr
7139 // R[dio_pad_attr_11]: V(True)
7140
7141 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007142 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007143 ) u_dio_pad_attr_11 (
7144 .re (dio_pad_attr_11_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007145 .we (dio_pad_attr_11_we & dio_pad_attr_regwen_11_qs),
7146 .wd (dio_pad_attr_11_wd),
7147 .d (hw2reg.dio_pad_attr[11].d),
7148 .qre (),
7149 .qe (reg2hw.dio_pad_attr[11].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007150 .q (reg2hw.dio_pad_attr[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007151 .qs (dio_pad_attr_11_qs)
7152 );
7153
7154 // Subregister 12 of Multireg dio_pad_attr
7155 // R[dio_pad_attr_12]: V(True)
7156
7157 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007158 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007159 ) u_dio_pad_attr_12 (
7160 .re (dio_pad_attr_12_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007161 .we (dio_pad_attr_12_we & dio_pad_attr_regwen_12_qs),
7162 .wd (dio_pad_attr_12_wd),
7163 .d (hw2reg.dio_pad_attr[12].d),
7164 .qre (),
7165 .qe (reg2hw.dio_pad_attr[12].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007166 .q (reg2hw.dio_pad_attr[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007167 .qs (dio_pad_attr_12_qs)
7168 );
7169
7170 // Subregister 13 of Multireg dio_pad_attr
7171 // R[dio_pad_attr_13]: V(True)
7172
7173 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007174 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007175 ) u_dio_pad_attr_13 (
7176 .re (dio_pad_attr_13_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007177 .we (dio_pad_attr_13_we & dio_pad_attr_regwen_13_qs),
7178 .wd (dio_pad_attr_13_wd),
7179 .d (hw2reg.dio_pad_attr[13].d),
7180 .qre (),
7181 .qe (reg2hw.dio_pad_attr[13].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007182 .q (reg2hw.dio_pad_attr[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007183 .qs (dio_pad_attr_13_qs)
7184 );
7185
7186 // Subregister 14 of Multireg dio_pad_attr
7187 // R[dio_pad_attr_14]: V(True)
7188
7189 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007190 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007191 ) u_dio_pad_attr_14 (
7192 .re (dio_pad_attr_14_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007193 .we (dio_pad_attr_14_we & dio_pad_attr_regwen_14_qs),
7194 .wd (dio_pad_attr_14_wd),
7195 .d (hw2reg.dio_pad_attr[14].d),
7196 .qre (),
7197 .qe (reg2hw.dio_pad_attr[14].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007198 .q (reg2hw.dio_pad_attr[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007199 .qs (dio_pad_attr_14_qs)
7200 );
7201
7202 // Subregister 15 of Multireg dio_pad_attr
7203 // R[dio_pad_attr_15]: V(True)
7204
7205 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007206 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007207 ) u_dio_pad_attr_15 (
7208 .re (dio_pad_attr_15_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007209 .we (dio_pad_attr_15_we & dio_pad_attr_regwen_15_qs),
7210 .wd (dio_pad_attr_15_wd),
7211 .d (hw2reg.dio_pad_attr[15].d),
7212 .qre (),
7213 .qe (reg2hw.dio_pad_attr[15].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007214 .q (reg2hw.dio_pad_attr[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007215 .qs (dio_pad_attr_15_qs)
7216 );
7217
7218
7219
7220 // Subregister 0 of Multireg mio_pad_sleep_status
7221 // R[mio_pad_sleep_status]: V(False)
7222
7223 // F[en_0]: 0:0
7224 prim_subreg #(
7225 .DW (1),
7226 .SWACCESS("W0C"),
7227 .RESVAL (1'h0)
7228 ) u_mio_pad_sleep_status_en_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007229 .clk_i (clk_i),
7230 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007231
7232 // from register interface
7233 .we (mio_pad_sleep_status_en_0_we),
7234 .wd (mio_pad_sleep_status_en_0_wd),
7235
7236 // from internal hardware
7237 .de (hw2reg.mio_pad_sleep_status[0].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007238 .d (hw2reg.mio_pad_sleep_status[0].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007239
7240 // to internal hardware
7241 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007242 .q (reg2hw.mio_pad_sleep_status[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007243
7244 // to register interface (read)
7245 .qs (mio_pad_sleep_status_en_0_qs)
7246 );
7247
7248
7249 // F[en_1]: 1:1
7250 prim_subreg #(
7251 .DW (1),
7252 .SWACCESS("W0C"),
7253 .RESVAL (1'h0)
7254 ) u_mio_pad_sleep_status_en_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007255 .clk_i (clk_i),
7256 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007257
7258 // from register interface
7259 .we (mio_pad_sleep_status_en_1_we),
7260 .wd (mio_pad_sleep_status_en_1_wd),
7261
7262 // from internal hardware
7263 .de (hw2reg.mio_pad_sleep_status[1].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007264 .d (hw2reg.mio_pad_sleep_status[1].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007265
7266 // to internal hardware
7267 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007268 .q (reg2hw.mio_pad_sleep_status[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007269
7270 // to register interface (read)
7271 .qs (mio_pad_sleep_status_en_1_qs)
7272 );
7273
7274
7275 // F[en_2]: 2:2
7276 prim_subreg #(
7277 .DW (1),
7278 .SWACCESS("W0C"),
7279 .RESVAL (1'h0)
7280 ) u_mio_pad_sleep_status_en_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007281 .clk_i (clk_i),
7282 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007283
7284 // from register interface
7285 .we (mio_pad_sleep_status_en_2_we),
7286 .wd (mio_pad_sleep_status_en_2_wd),
7287
7288 // from internal hardware
7289 .de (hw2reg.mio_pad_sleep_status[2].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007290 .d (hw2reg.mio_pad_sleep_status[2].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007291
7292 // to internal hardware
7293 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007294 .q (reg2hw.mio_pad_sleep_status[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007295
7296 // to register interface (read)
7297 .qs (mio_pad_sleep_status_en_2_qs)
7298 );
7299
7300
7301 // F[en_3]: 3:3
7302 prim_subreg #(
7303 .DW (1),
7304 .SWACCESS("W0C"),
7305 .RESVAL (1'h0)
7306 ) u_mio_pad_sleep_status_en_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007307 .clk_i (clk_i),
7308 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007309
7310 // from register interface
7311 .we (mio_pad_sleep_status_en_3_we),
7312 .wd (mio_pad_sleep_status_en_3_wd),
7313
7314 // from internal hardware
7315 .de (hw2reg.mio_pad_sleep_status[3].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007316 .d (hw2reg.mio_pad_sleep_status[3].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007317
7318 // to internal hardware
7319 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007320 .q (reg2hw.mio_pad_sleep_status[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007321
7322 // to register interface (read)
7323 .qs (mio_pad_sleep_status_en_3_qs)
7324 );
7325
7326
7327 // F[en_4]: 4:4
7328 prim_subreg #(
7329 .DW (1),
7330 .SWACCESS("W0C"),
7331 .RESVAL (1'h0)
7332 ) u_mio_pad_sleep_status_en_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007333 .clk_i (clk_i),
7334 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007335
7336 // from register interface
7337 .we (mio_pad_sleep_status_en_4_we),
7338 .wd (mio_pad_sleep_status_en_4_wd),
7339
7340 // from internal hardware
7341 .de (hw2reg.mio_pad_sleep_status[4].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007342 .d (hw2reg.mio_pad_sleep_status[4].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007343
7344 // to internal hardware
7345 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007346 .q (reg2hw.mio_pad_sleep_status[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007347
7348 // to register interface (read)
7349 .qs (mio_pad_sleep_status_en_4_qs)
7350 );
7351
7352
7353 // F[en_5]: 5:5
7354 prim_subreg #(
7355 .DW (1),
7356 .SWACCESS("W0C"),
7357 .RESVAL (1'h0)
7358 ) u_mio_pad_sleep_status_en_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007359 .clk_i (clk_i),
7360 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007361
7362 // from register interface
7363 .we (mio_pad_sleep_status_en_5_we),
7364 .wd (mio_pad_sleep_status_en_5_wd),
7365
7366 // from internal hardware
7367 .de (hw2reg.mio_pad_sleep_status[5].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007368 .d (hw2reg.mio_pad_sleep_status[5].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007369
7370 // to internal hardware
7371 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007372 .q (reg2hw.mio_pad_sleep_status[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007373
7374 // to register interface (read)
7375 .qs (mio_pad_sleep_status_en_5_qs)
7376 );
7377
7378
7379 // F[en_6]: 6:6
7380 prim_subreg #(
7381 .DW (1),
7382 .SWACCESS("W0C"),
7383 .RESVAL (1'h0)
7384 ) u_mio_pad_sleep_status_en_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007385 .clk_i (clk_i),
7386 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007387
7388 // from register interface
7389 .we (mio_pad_sleep_status_en_6_we),
7390 .wd (mio_pad_sleep_status_en_6_wd),
7391
7392 // from internal hardware
7393 .de (hw2reg.mio_pad_sleep_status[6].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007394 .d (hw2reg.mio_pad_sleep_status[6].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007395
7396 // to internal hardware
7397 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007398 .q (reg2hw.mio_pad_sleep_status[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007399
7400 // to register interface (read)
7401 .qs (mio_pad_sleep_status_en_6_qs)
7402 );
7403
7404
7405 // F[en_7]: 7:7
7406 prim_subreg #(
7407 .DW (1),
7408 .SWACCESS("W0C"),
7409 .RESVAL (1'h0)
7410 ) u_mio_pad_sleep_status_en_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007411 .clk_i (clk_i),
7412 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007413
7414 // from register interface
7415 .we (mio_pad_sleep_status_en_7_we),
7416 .wd (mio_pad_sleep_status_en_7_wd),
7417
7418 // from internal hardware
7419 .de (hw2reg.mio_pad_sleep_status[7].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007420 .d (hw2reg.mio_pad_sleep_status[7].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007421
7422 // to internal hardware
7423 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007424 .q (reg2hw.mio_pad_sleep_status[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007425
7426 // to register interface (read)
7427 .qs (mio_pad_sleep_status_en_7_qs)
7428 );
7429
7430
7431 // F[en_8]: 8:8
7432 prim_subreg #(
7433 .DW (1),
7434 .SWACCESS("W0C"),
7435 .RESVAL (1'h0)
7436 ) u_mio_pad_sleep_status_en_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007437 .clk_i (clk_i),
7438 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007439
7440 // from register interface
7441 .we (mio_pad_sleep_status_en_8_we),
7442 .wd (mio_pad_sleep_status_en_8_wd),
7443
7444 // from internal hardware
7445 .de (hw2reg.mio_pad_sleep_status[8].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007446 .d (hw2reg.mio_pad_sleep_status[8].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007447
7448 // to internal hardware
7449 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007450 .q (reg2hw.mio_pad_sleep_status[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007451
7452 // to register interface (read)
7453 .qs (mio_pad_sleep_status_en_8_qs)
7454 );
7455
7456
7457 // F[en_9]: 9:9
7458 prim_subreg #(
7459 .DW (1),
7460 .SWACCESS("W0C"),
7461 .RESVAL (1'h0)
7462 ) u_mio_pad_sleep_status_en_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007463 .clk_i (clk_i),
7464 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007465
7466 // from register interface
7467 .we (mio_pad_sleep_status_en_9_we),
7468 .wd (mio_pad_sleep_status_en_9_wd),
7469
7470 // from internal hardware
7471 .de (hw2reg.mio_pad_sleep_status[9].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007472 .d (hw2reg.mio_pad_sleep_status[9].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007473
7474 // to internal hardware
7475 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007476 .q (reg2hw.mio_pad_sleep_status[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007477
7478 // to register interface (read)
7479 .qs (mio_pad_sleep_status_en_9_qs)
7480 );
7481
7482
7483 // F[en_10]: 10:10
7484 prim_subreg #(
7485 .DW (1),
7486 .SWACCESS("W0C"),
7487 .RESVAL (1'h0)
7488 ) u_mio_pad_sleep_status_en_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007489 .clk_i (clk_i),
7490 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007491
7492 // from register interface
7493 .we (mio_pad_sleep_status_en_10_we),
7494 .wd (mio_pad_sleep_status_en_10_wd),
7495
7496 // from internal hardware
7497 .de (hw2reg.mio_pad_sleep_status[10].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007498 .d (hw2reg.mio_pad_sleep_status[10].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007499
7500 // to internal hardware
7501 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007502 .q (reg2hw.mio_pad_sleep_status[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007503
7504 // to register interface (read)
7505 .qs (mio_pad_sleep_status_en_10_qs)
7506 );
7507
7508
7509 // F[en_11]: 11:11
7510 prim_subreg #(
7511 .DW (1),
7512 .SWACCESS("W0C"),
7513 .RESVAL (1'h0)
7514 ) u_mio_pad_sleep_status_en_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007515 .clk_i (clk_i),
7516 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007517
7518 // from register interface
7519 .we (mio_pad_sleep_status_en_11_we),
7520 .wd (mio_pad_sleep_status_en_11_wd),
7521
7522 // from internal hardware
7523 .de (hw2reg.mio_pad_sleep_status[11].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007524 .d (hw2reg.mio_pad_sleep_status[11].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007525
7526 // to internal hardware
7527 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007528 .q (reg2hw.mio_pad_sleep_status[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007529
7530 // to register interface (read)
7531 .qs (mio_pad_sleep_status_en_11_qs)
7532 );
7533
7534
7535 // F[en_12]: 12:12
7536 prim_subreg #(
7537 .DW (1),
7538 .SWACCESS("W0C"),
7539 .RESVAL (1'h0)
7540 ) u_mio_pad_sleep_status_en_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007541 .clk_i (clk_i),
7542 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007543
7544 // from register interface
7545 .we (mio_pad_sleep_status_en_12_we),
7546 .wd (mio_pad_sleep_status_en_12_wd),
7547
7548 // from internal hardware
7549 .de (hw2reg.mio_pad_sleep_status[12].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007550 .d (hw2reg.mio_pad_sleep_status[12].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007551
7552 // to internal hardware
7553 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007554 .q (reg2hw.mio_pad_sleep_status[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007555
7556 // to register interface (read)
7557 .qs (mio_pad_sleep_status_en_12_qs)
7558 );
7559
7560
7561 // F[en_13]: 13:13
7562 prim_subreg #(
7563 .DW (1),
7564 .SWACCESS("W0C"),
7565 .RESVAL (1'h0)
7566 ) u_mio_pad_sleep_status_en_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007567 .clk_i (clk_i),
7568 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007569
7570 // from register interface
7571 .we (mio_pad_sleep_status_en_13_we),
7572 .wd (mio_pad_sleep_status_en_13_wd),
7573
7574 // from internal hardware
7575 .de (hw2reg.mio_pad_sleep_status[13].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007576 .d (hw2reg.mio_pad_sleep_status[13].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007577
7578 // to internal hardware
7579 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007580 .q (reg2hw.mio_pad_sleep_status[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007581
7582 // to register interface (read)
7583 .qs (mio_pad_sleep_status_en_13_qs)
7584 );
7585
7586
7587 // F[en_14]: 14:14
7588 prim_subreg #(
7589 .DW (1),
7590 .SWACCESS("W0C"),
7591 .RESVAL (1'h0)
7592 ) u_mio_pad_sleep_status_en_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007593 .clk_i (clk_i),
7594 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007595
7596 // from register interface
7597 .we (mio_pad_sleep_status_en_14_we),
7598 .wd (mio_pad_sleep_status_en_14_wd),
7599
7600 // from internal hardware
7601 .de (hw2reg.mio_pad_sleep_status[14].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007602 .d (hw2reg.mio_pad_sleep_status[14].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007603
7604 // to internal hardware
7605 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007606 .q (reg2hw.mio_pad_sleep_status[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007607
7608 // to register interface (read)
7609 .qs (mio_pad_sleep_status_en_14_qs)
7610 );
7611
7612
7613 // F[en_15]: 15:15
7614 prim_subreg #(
7615 .DW (1),
7616 .SWACCESS("W0C"),
7617 .RESVAL (1'h0)
7618 ) u_mio_pad_sleep_status_en_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007619 .clk_i (clk_i),
7620 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007621
7622 // from register interface
7623 .we (mio_pad_sleep_status_en_15_we),
7624 .wd (mio_pad_sleep_status_en_15_wd),
7625
7626 // from internal hardware
7627 .de (hw2reg.mio_pad_sleep_status[15].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007628 .d (hw2reg.mio_pad_sleep_status[15].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007629
7630 // to internal hardware
7631 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007632 .q (reg2hw.mio_pad_sleep_status[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007633
7634 // to register interface (read)
7635 .qs (mio_pad_sleep_status_en_15_qs)
7636 );
7637
7638
7639 // F[en_16]: 16:16
7640 prim_subreg #(
7641 .DW (1),
7642 .SWACCESS("W0C"),
7643 .RESVAL (1'h0)
7644 ) u_mio_pad_sleep_status_en_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007645 .clk_i (clk_i),
7646 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007647
7648 // from register interface
7649 .we (mio_pad_sleep_status_en_16_we),
7650 .wd (mio_pad_sleep_status_en_16_wd),
7651
7652 // from internal hardware
7653 .de (hw2reg.mio_pad_sleep_status[16].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007654 .d (hw2reg.mio_pad_sleep_status[16].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007655
7656 // to internal hardware
7657 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007658 .q (reg2hw.mio_pad_sleep_status[16].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007659
7660 // to register interface (read)
7661 .qs (mio_pad_sleep_status_en_16_qs)
7662 );
7663
7664
7665 // F[en_17]: 17:17
7666 prim_subreg #(
7667 .DW (1),
7668 .SWACCESS("W0C"),
7669 .RESVAL (1'h0)
7670 ) u_mio_pad_sleep_status_en_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007671 .clk_i (clk_i),
7672 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007673
7674 // from register interface
7675 .we (mio_pad_sleep_status_en_17_we),
7676 .wd (mio_pad_sleep_status_en_17_wd),
7677
7678 // from internal hardware
7679 .de (hw2reg.mio_pad_sleep_status[17].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007680 .d (hw2reg.mio_pad_sleep_status[17].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007681
7682 // to internal hardware
7683 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007684 .q (reg2hw.mio_pad_sleep_status[17].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007685
7686 // to register interface (read)
7687 .qs (mio_pad_sleep_status_en_17_qs)
7688 );
7689
7690
7691 // F[en_18]: 18:18
7692 prim_subreg #(
7693 .DW (1),
7694 .SWACCESS("W0C"),
7695 .RESVAL (1'h0)
7696 ) u_mio_pad_sleep_status_en_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007697 .clk_i (clk_i),
7698 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007699
7700 // from register interface
7701 .we (mio_pad_sleep_status_en_18_we),
7702 .wd (mio_pad_sleep_status_en_18_wd),
7703
7704 // from internal hardware
7705 .de (hw2reg.mio_pad_sleep_status[18].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007706 .d (hw2reg.mio_pad_sleep_status[18].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007707
7708 // to internal hardware
7709 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007710 .q (reg2hw.mio_pad_sleep_status[18].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007711
7712 // to register interface (read)
7713 .qs (mio_pad_sleep_status_en_18_qs)
7714 );
7715
7716
7717 // F[en_19]: 19:19
7718 prim_subreg #(
7719 .DW (1),
7720 .SWACCESS("W0C"),
7721 .RESVAL (1'h0)
7722 ) u_mio_pad_sleep_status_en_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007723 .clk_i (clk_i),
7724 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007725
7726 // from register interface
7727 .we (mio_pad_sleep_status_en_19_we),
7728 .wd (mio_pad_sleep_status_en_19_wd),
7729
7730 // from internal hardware
7731 .de (hw2reg.mio_pad_sleep_status[19].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007732 .d (hw2reg.mio_pad_sleep_status[19].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007733
7734 // to internal hardware
7735 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007736 .q (reg2hw.mio_pad_sleep_status[19].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007737
7738 // to register interface (read)
7739 .qs (mio_pad_sleep_status_en_19_qs)
7740 );
7741
7742
7743 // F[en_20]: 20:20
7744 prim_subreg #(
7745 .DW (1),
7746 .SWACCESS("W0C"),
7747 .RESVAL (1'h0)
7748 ) u_mio_pad_sleep_status_en_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007749 .clk_i (clk_i),
7750 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007751
7752 // from register interface
7753 .we (mio_pad_sleep_status_en_20_we),
7754 .wd (mio_pad_sleep_status_en_20_wd),
7755
7756 // from internal hardware
7757 .de (hw2reg.mio_pad_sleep_status[20].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007758 .d (hw2reg.mio_pad_sleep_status[20].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007759
7760 // to internal hardware
7761 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007762 .q (reg2hw.mio_pad_sleep_status[20].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007763
7764 // to register interface (read)
7765 .qs (mio_pad_sleep_status_en_20_qs)
7766 );
7767
7768
7769 // F[en_21]: 21:21
7770 prim_subreg #(
7771 .DW (1),
7772 .SWACCESS("W0C"),
7773 .RESVAL (1'h0)
7774 ) u_mio_pad_sleep_status_en_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007775 .clk_i (clk_i),
7776 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007777
7778 // from register interface
7779 .we (mio_pad_sleep_status_en_21_we),
7780 .wd (mio_pad_sleep_status_en_21_wd),
7781
7782 // from internal hardware
7783 .de (hw2reg.mio_pad_sleep_status[21].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007784 .d (hw2reg.mio_pad_sleep_status[21].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007785
7786 // to internal hardware
7787 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007788 .q (reg2hw.mio_pad_sleep_status[21].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007789
7790 // to register interface (read)
7791 .qs (mio_pad_sleep_status_en_21_qs)
7792 );
7793
7794
7795 // F[en_22]: 22:22
7796 prim_subreg #(
7797 .DW (1),
7798 .SWACCESS("W0C"),
7799 .RESVAL (1'h0)
7800 ) u_mio_pad_sleep_status_en_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007801 .clk_i (clk_i),
7802 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007803
7804 // from register interface
7805 .we (mio_pad_sleep_status_en_22_we),
7806 .wd (mio_pad_sleep_status_en_22_wd),
7807
7808 // from internal hardware
7809 .de (hw2reg.mio_pad_sleep_status[22].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007810 .d (hw2reg.mio_pad_sleep_status[22].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007811
7812 // to internal hardware
7813 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007814 .q (reg2hw.mio_pad_sleep_status[22].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007815
7816 // to register interface (read)
7817 .qs (mio_pad_sleep_status_en_22_qs)
7818 );
7819
7820
7821 // F[en_23]: 23:23
7822 prim_subreg #(
7823 .DW (1),
7824 .SWACCESS("W0C"),
7825 .RESVAL (1'h0)
7826 ) u_mio_pad_sleep_status_en_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007827 .clk_i (clk_i),
7828 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007829
7830 // from register interface
7831 .we (mio_pad_sleep_status_en_23_we),
7832 .wd (mio_pad_sleep_status_en_23_wd),
7833
7834 // from internal hardware
7835 .de (hw2reg.mio_pad_sleep_status[23].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007836 .d (hw2reg.mio_pad_sleep_status[23].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007837
7838 // to internal hardware
7839 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007840 .q (reg2hw.mio_pad_sleep_status[23].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007841
7842 // to register interface (read)
7843 .qs (mio_pad_sleep_status_en_23_qs)
7844 );
7845
7846
7847 // F[en_24]: 24:24
7848 prim_subreg #(
7849 .DW (1),
7850 .SWACCESS("W0C"),
7851 .RESVAL (1'h0)
7852 ) u_mio_pad_sleep_status_en_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007853 .clk_i (clk_i),
7854 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007855
7856 // from register interface
7857 .we (mio_pad_sleep_status_en_24_we),
7858 .wd (mio_pad_sleep_status_en_24_wd),
7859
7860 // from internal hardware
7861 .de (hw2reg.mio_pad_sleep_status[24].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007862 .d (hw2reg.mio_pad_sleep_status[24].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007863
7864 // to internal hardware
7865 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007866 .q (reg2hw.mio_pad_sleep_status[24].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007867
7868 // to register interface (read)
7869 .qs (mio_pad_sleep_status_en_24_qs)
7870 );
7871
7872
7873 // F[en_25]: 25:25
7874 prim_subreg #(
7875 .DW (1),
7876 .SWACCESS("W0C"),
7877 .RESVAL (1'h0)
7878 ) u_mio_pad_sleep_status_en_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007879 .clk_i (clk_i),
7880 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007881
7882 // from register interface
7883 .we (mio_pad_sleep_status_en_25_we),
7884 .wd (mio_pad_sleep_status_en_25_wd),
7885
7886 // from internal hardware
7887 .de (hw2reg.mio_pad_sleep_status[25].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007888 .d (hw2reg.mio_pad_sleep_status[25].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007889
7890 // to internal hardware
7891 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007892 .q (reg2hw.mio_pad_sleep_status[25].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007893
7894 // to register interface (read)
7895 .qs (mio_pad_sleep_status_en_25_qs)
7896 );
7897
7898
7899 // F[en_26]: 26:26
7900 prim_subreg #(
7901 .DW (1),
7902 .SWACCESS("W0C"),
7903 .RESVAL (1'h0)
7904 ) u_mio_pad_sleep_status_en_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007905 .clk_i (clk_i),
7906 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007907
7908 // from register interface
7909 .we (mio_pad_sleep_status_en_26_we),
7910 .wd (mio_pad_sleep_status_en_26_wd),
7911
7912 // from internal hardware
7913 .de (hw2reg.mio_pad_sleep_status[26].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007914 .d (hw2reg.mio_pad_sleep_status[26].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007915
7916 // to internal hardware
7917 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007918 .q (reg2hw.mio_pad_sleep_status[26].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007919
7920 // to register interface (read)
7921 .qs (mio_pad_sleep_status_en_26_qs)
7922 );
7923
7924
7925 // F[en_27]: 27:27
7926 prim_subreg #(
7927 .DW (1),
7928 .SWACCESS("W0C"),
7929 .RESVAL (1'h0)
7930 ) u_mio_pad_sleep_status_en_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007931 .clk_i (clk_i),
7932 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007933
7934 // from register interface
7935 .we (mio_pad_sleep_status_en_27_we),
7936 .wd (mio_pad_sleep_status_en_27_wd),
7937
7938 // from internal hardware
7939 .de (hw2reg.mio_pad_sleep_status[27].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007940 .d (hw2reg.mio_pad_sleep_status[27].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007941
7942 // to internal hardware
7943 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007944 .q (reg2hw.mio_pad_sleep_status[27].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007945
7946 // to register interface (read)
7947 .qs (mio_pad_sleep_status_en_27_qs)
7948 );
7949
7950
7951 // F[en_28]: 28:28
7952 prim_subreg #(
7953 .DW (1),
7954 .SWACCESS("W0C"),
7955 .RESVAL (1'h0)
7956 ) u_mio_pad_sleep_status_en_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007957 .clk_i (clk_i),
7958 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007959
7960 // from register interface
7961 .we (mio_pad_sleep_status_en_28_we),
7962 .wd (mio_pad_sleep_status_en_28_wd),
7963
7964 // from internal hardware
7965 .de (hw2reg.mio_pad_sleep_status[28].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007966 .d (hw2reg.mio_pad_sleep_status[28].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007967
7968 // to internal hardware
7969 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007970 .q (reg2hw.mio_pad_sleep_status[28].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007971
7972 // to register interface (read)
7973 .qs (mio_pad_sleep_status_en_28_qs)
7974 );
7975
7976
7977 // F[en_29]: 29:29
7978 prim_subreg #(
7979 .DW (1),
7980 .SWACCESS("W0C"),
7981 .RESVAL (1'h0)
7982 ) u_mio_pad_sleep_status_en_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007983 .clk_i (clk_i),
7984 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007985
7986 // from register interface
7987 .we (mio_pad_sleep_status_en_29_we),
7988 .wd (mio_pad_sleep_status_en_29_wd),
7989
7990 // from internal hardware
7991 .de (hw2reg.mio_pad_sleep_status[29].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007992 .d (hw2reg.mio_pad_sleep_status[29].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007993
7994 // to internal hardware
7995 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007996 .q (reg2hw.mio_pad_sleep_status[29].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007997
7998 // to register interface (read)
7999 .qs (mio_pad_sleep_status_en_29_qs)
8000 );
8001
8002
8003 // F[en_30]: 30:30
8004 prim_subreg #(
8005 .DW (1),
8006 .SWACCESS("W0C"),
8007 .RESVAL (1'h0)
8008 ) u_mio_pad_sleep_status_en_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008009 .clk_i (clk_i),
8010 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008011
8012 // from register interface
8013 .we (mio_pad_sleep_status_en_30_we),
8014 .wd (mio_pad_sleep_status_en_30_wd),
8015
8016 // from internal hardware
8017 .de (hw2reg.mio_pad_sleep_status[30].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008018 .d (hw2reg.mio_pad_sleep_status[30].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008019
8020 // to internal hardware
8021 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008022 .q (reg2hw.mio_pad_sleep_status[30].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008023
8024 // to register interface (read)
8025 .qs (mio_pad_sleep_status_en_30_qs)
8026 );
8027
8028
8029 // F[en_31]: 31:31
8030 prim_subreg #(
8031 .DW (1),
8032 .SWACCESS("W0C"),
8033 .RESVAL (1'h0)
8034 ) u_mio_pad_sleep_status_en_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008035 .clk_i (clk_i),
8036 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008037
8038 // from register interface
8039 .we (mio_pad_sleep_status_en_31_we),
8040 .wd (mio_pad_sleep_status_en_31_wd),
8041
8042 // from internal hardware
8043 .de (hw2reg.mio_pad_sleep_status[31].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008044 .d (hw2reg.mio_pad_sleep_status[31].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008045
8046 // to internal hardware
8047 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008048 .q (reg2hw.mio_pad_sleep_status[31].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008049
8050 // to register interface (read)
8051 .qs (mio_pad_sleep_status_en_31_qs)
8052 );
8053
8054
8055
8056
8057 // Subregister 0 of Multireg mio_pad_sleep_regwen
8058 // R[mio_pad_sleep_regwen_0]: V(False)
8059
8060 prim_subreg #(
8061 .DW (1),
8062 .SWACCESS("W0C"),
8063 .RESVAL (1'h1)
8064 ) u_mio_pad_sleep_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008065 .clk_i (clk_i),
8066 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008067
8068 // from register interface
8069 .we (mio_pad_sleep_regwen_0_we),
8070 .wd (mio_pad_sleep_regwen_0_wd),
8071
8072 // from internal hardware
8073 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008074 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008075
8076 // to internal hardware
8077 .qe (),
8078 .q (),
8079
8080 // to register interface (read)
8081 .qs (mio_pad_sleep_regwen_0_qs)
8082 );
8083
8084 // Subregister 1 of Multireg mio_pad_sleep_regwen
8085 // R[mio_pad_sleep_regwen_1]: V(False)
8086
8087 prim_subreg #(
8088 .DW (1),
8089 .SWACCESS("W0C"),
8090 .RESVAL (1'h1)
8091 ) u_mio_pad_sleep_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008092 .clk_i (clk_i),
8093 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008094
8095 // from register interface
8096 .we (mio_pad_sleep_regwen_1_we),
8097 .wd (mio_pad_sleep_regwen_1_wd),
8098
8099 // from internal hardware
8100 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008101 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008102
8103 // to internal hardware
8104 .qe (),
8105 .q (),
8106
8107 // to register interface (read)
8108 .qs (mio_pad_sleep_regwen_1_qs)
8109 );
8110
8111 // Subregister 2 of Multireg mio_pad_sleep_regwen
8112 // R[mio_pad_sleep_regwen_2]: V(False)
8113
8114 prim_subreg #(
8115 .DW (1),
8116 .SWACCESS("W0C"),
8117 .RESVAL (1'h1)
8118 ) u_mio_pad_sleep_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008119 .clk_i (clk_i),
8120 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008121
8122 // from register interface
8123 .we (mio_pad_sleep_regwen_2_we),
8124 .wd (mio_pad_sleep_regwen_2_wd),
8125
8126 // from internal hardware
8127 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008128 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008129
8130 // to internal hardware
8131 .qe (),
8132 .q (),
8133
8134 // to register interface (read)
8135 .qs (mio_pad_sleep_regwen_2_qs)
8136 );
8137
8138 // Subregister 3 of Multireg mio_pad_sleep_regwen
8139 // R[mio_pad_sleep_regwen_3]: V(False)
8140
8141 prim_subreg #(
8142 .DW (1),
8143 .SWACCESS("W0C"),
8144 .RESVAL (1'h1)
8145 ) u_mio_pad_sleep_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008146 .clk_i (clk_i),
8147 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008148
8149 // from register interface
8150 .we (mio_pad_sleep_regwen_3_we),
8151 .wd (mio_pad_sleep_regwen_3_wd),
8152
8153 // from internal hardware
8154 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008155 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008156
8157 // to internal hardware
8158 .qe (),
8159 .q (),
8160
8161 // to register interface (read)
8162 .qs (mio_pad_sleep_regwen_3_qs)
8163 );
8164
8165 // Subregister 4 of Multireg mio_pad_sleep_regwen
8166 // R[mio_pad_sleep_regwen_4]: V(False)
8167
8168 prim_subreg #(
8169 .DW (1),
8170 .SWACCESS("W0C"),
8171 .RESVAL (1'h1)
8172 ) u_mio_pad_sleep_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008173 .clk_i (clk_i),
8174 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008175
8176 // from register interface
8177 .we (mio_pad_sleep_regwen_4_we),
8178 .wd (mio_pad_sleep_regwen_4_wd),
8179
8180 // from internal hardware
8181 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008182 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008183
8184 // to internal hardware
8185 .qe (),
8186 .q (),
8187
8188 // to register interface (read)
8189 .qs (mio_pad_sleep_regwen_4_qs)
8190 );
8191
8192 // Subregister 5 of Multireg mio_pad_sleep_regwen
8193 // R[mio_pad_sleep_regwen_5]: V(False)
8194
8195 prim_subreg #(
8196 .DW (1),
8197 .SWACCESS("W0C"),
8198 .RESVAL (1'h1)
8199 ) u_mio_pad_sleep_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008200 .clk_i (clk_i),
8201 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008202
8203 // from register interface
8204 .we (mio_pad_sleep_regwen_5_we),
8205 .wd (mio_pad_sleep_regwen_5_wd),
8206
8207 // from internal hardware
8208 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008209 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008210
8211 // to internal hardware
8212 .qe (),
8213 .q (),
8214
8215 // to register interface (read)
8216 .qs (mio_pad_sleep_regwen_5_qs)
8217 );
8218
8219 // Subregister 6 of Multireg mio_pad_sleep_regwen
8220 // R[mio_pad_sleep_regwen_6]: V(False)
8221
8222 prim_subreg #(
8223 .DW (1),
8224 .SWACCESS("W0C"),
8225 .RESVAL (1'h1)
8226 ) u_mio_pad_sleep_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008227 .clk_i (clk_i),
8228 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008229
8230 // from register interface
8231 .we (mio_pad_sleep_regwen_6_we),
8232 .wd (mio_pad_sleep_regwen_6_wd),
8233
8234 // from internal hardware
8235 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008236 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008237
8238 // to internal hardware
8239 .qe (),
8240 .q (),
8241
8242 // to register interface (read)
8243 .qs (mio_pad_sleep_regwen_6_qs)
8244 );
8245
8246 // Subregister 7 of Multireg mio_pad_sleep_regwen
8247 // R[mio_pad_sleep_regwen_7]: V(False)
8248
8249 prim_subreg #(
8250 .DW (1),
8251 .SWACCESS("W0C"),
8252 .RESVAL (1'h1)
8253 ) u_mio_pad_sleep_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008254 .clk_i (clk_i),
8255 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008256
8257 // from register interface
8258 .we (mio_pad_sleep_regwen_7_we),
8259 .wd (mio_pad_sleep_regwen_7_wd),
8260
8261 // from internal hardware
8262 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008263 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008264
8265 // to internal hardware
8266 .qe (),
8267 .q (),
8268
8269 // to register interface (read)
8270 .qs (mio_pad_sleep_regwen_7_qs)
8271 );
8272
8273 // Subregister 8 of Multireg mio_pad_sleep_regwen
8274 // R[mio_pad_sleep_regwen_8]: V(False)
8275
8276 prim_subreg #(
8277 .DW (1),
8278 .SWACCESS("W0C"),
8279 .RESVAL (1'h1)
8280 ) u_mio_pad_sleep_regwen_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008281 .clk_i (clk_i),
8282 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008283
8284 // from register interface
8285 .we (mio_pad_sleep_regwen_8_we),
8286 .wd (mio_pad_sleep_regwen_8_wd),
8287
8288 // from internal hardware
8289 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008290 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008291
8292 // to internal hardware
8293 .qe (),
8294 .q (),
8295
8296 // to register interface (read)
8297 .qs (mio_pad_sleep_regwen_8_qs)
8298 );
8299
8300 // Subregister 9 of Multireg mio_pad_sleep_regwen
8301 // R[mio_pad_sleep_regwen_9]: V(False)
8302
8303 prim_subreg #(
8304 .DW (1),
8305 .SWACCESS("W0C"),
8306 .RESVAL (1'h1)
8307 ) u_mio_pad_sleep_regwen_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008308 .clk_i (clk_i),
8309 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008310
8311 // from register interface
8312 .we (mio_pad_sleep_regwen_9_we),
8313 .wd (mio_pad_sleep_regwen_9_wd),
8314
8315 // from internal hardware
8316 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008317 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008318
8319 // to internal hardware
8320 .qe (),
8321 .q (),
8322
8323 // to register interface (read)
8324 .qs (mio_pad_sleep_regwen_9_qs)
8325 );
8326
8327 // Subregister 10 of Multireg mio_pad_sleep_regwen
8328 // R[mio_pad_sleep_regwen_10]: V(False)
8329
8330 prim_subreg #(
8331 .DW (1),
8332 .SWACCESS("W0C"),
8333 .RESVAL (1'h1)
8334 ) u_mio_pad_sleep_regwen_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008335 .clk_i (clk_i),
8336 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008337
8338 // from register interface
8339 .we (mio_pad_sleep_regwen_10_we),
8340 .wd (mio_pad_sleep_regwen_10_wd),
8341
8342 // from internal hardware
8343 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008344 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008345
8346 // to internal hardware
8347 .qe (),
8348 .q (),
8349
8350 // to register interface (read)
8351 .qs (mio_pad_sleep_regwen_10_qs)
8352 );
8353
8354 // Subregister 11 of Multireg mio_pad_sleep_regwen
8355 // R[mio_pad_sleep_regwen_11]: V(False)
8356
8357 prim_subreg #(
8358 .DW (1),
8359 .SWACCESS("W0C"),
8360 .RESVAL (1'h1)
8361 ) u_mio_pad_sleep_regwen_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008362 .clk_i (clk_i),
8363 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008364
8365 // from register interface
8366 .we (mio_pad_sleep_regwen_11_we),
8367 .wd (mio_pad_sleep_regwen_11_wd),
8368
8369 // from internal hardware
8370 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008371 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008372
8373 // to internal hardware
8374 .qe (),
8375 .q (),
8376
8377 // to register interface (read)
8378 .qs (mio_pad_sleep_regwen_11_qs)
8379 );
8380
8381 // Subregister 12 of Multireg mio_pad_sleep_regwen
8382 // R[mio_pad_sleep_regwen_12]: V(False)
8383
8384 prim_subreg #(
8385 .DW (1),
8386 .SWACCESS("W0C"),
8387 .RESVAL (1'h1)
8388 ) u_mio_pad_sleep_regwen_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008389 .clk_i (clk_i),
8390 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008391
8392 // from register interface
8393 .we (mio_pad_sleep_regwen_12_we),
8394 .wd (mio_pad_sleep_regwen_12_wd),
8395
8396 // from internal hardware
8397 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008398 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008399
8400 // to internal hardware
8401 .qe (),
8402 .q (),
8403
8404 // to register interface (read)
8405 .qs (mio_pad_sleep_regwen_12_qs)
8406 );
8407
8408 // Subregister 13 of Multireg mio_pad_sleep_regwen
8409 // R[mio_pad_sleep_regwen_13]: V(False)
8410
8411 prim_subreg #(
8412 .DW (1),
8413 .SWACCESS("W0C"),
8414 .RESVAL (1'h1)
8415 ) u_mio_pad_sleep_regwen_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008416 .clk_i (clk_i),
8417 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008418
8419 // from register interface
8420 .we (mio_pad_sleep_regwen_13_we),
8421 .wd (mio_pad_sleep_regwen_13_wd),
8422
8423 // from internal hardware
8424 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008425 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008426
8427 // to internal hardware
8428 .qe (),
8429 .q (),
8430
8431 // to register interface (read)
8432 .qs (mio_pad_sleep_regwen_13_qs)
8433 );
8434
8435 // Subregister 14 of Multireg mio_pad_sleep_regwen
8436 // R[mio_pad_sleep_regwen_14]: V(False)
8437
8438 prim_subreg #(
8439 .DW (1),
8440 .SWACCESS("W0C"),
8441 .RESVAL (1'h1)
8442 ) u_mio_pad_sleep_regwen_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008443 .clk_i (clk_i),
8444 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008445
8446 // from register interface
8447 .we (mio_pad_sleep_regwen_14_we),
8448 .wd (mio_pad_sleep_regwen_14_wd),
8449
8450 // from internal hardware
8451 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008452 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008453
8454 // to internal hardware
8455 .qe (),
8456 .q (),
8457
8458 // to register interface (read)
8459 .qs (mio_pad_sleep_regwen_14_qs)
8460 );
8461
8462 // Subregister 15 of Multireg mio_pad_sleep_regwen
8463 // R[mio_pad_sleep_regwen_15]: V(False)
8464
8465 prim_subreg #(
8466 .DW (1),
8467 .SWACCESS("W0C"),
8468 .RESVAL (1'h1)
8469 ) u_mio_pad_sleep_regwen_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008470 .clk_i (clk_i),
8471 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008472
8473 // from register interface
8474 .we (mio_pad_sleep_regwen_15_we),
8475 .wd (mio_pad_sleep_regwen_15_wd),
8476
8477 // from internal hardware
8478 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008479 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008480
8481 // to internal hardware
8482 .qe (),
8483 .q (),
8484
8485 // to register interface (read)
8486 .qs (mio_pad_sleep_regwen_15_qs)
8487 );
8488
8489 // Subregister 16 of Multireg mio_pad_sleep_regwen
8490 // R[mio_pad_sleep_regwen_16]: V(False)
8491
8492 prim_subreg #(
8493 .DW (1),
8494 .SWACCESS("W0C"),
8495 .RESVAL (1'h1)
8496 ) u_mio_pad_sleep_regwen_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008497 .clk_i (clk_i),
8498 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008499
8500 // from register interface
8501 .we (mio_pad_sleep_regwen_16_we),
8502 .wd (mio_pad_sleep_regwen_16_wd),
8503
8504 // from internal hardware
8505 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008506 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008507
8508 // to internal hardware
8509 .qe (),
8510 .q (),
8511
8512 // to register interface (read)
8513 .qs (mio_pad_sleep_regwen_16_qs)
8514 );
8515
8516 // Subregister 17 of Multireg mio_pad_sleep_regwen
8517 // R[mio_pad_sleep_regwen_17]: V(False)
8518
8519 prim_subreg #(
8520 .DW (1),
8521 .SWACCESS("W0C"),
8522 .RESVAL (1'h1)
8523 ) u_mio_pad_sleep_regwen_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008524 .clk_i (clk_i),
8525 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008526
8527 // from register interface
8528 .we (mio_pad_sleep_regwen_17_we),
8529 .wd (mio_pad_sleep_regwen_17_wd),
8530
8531 // from internal hardware
8532 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008533 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008534
8535 // to internal hardware
8536 .qe (),
8537 .q (),
8538
8539 // to register interface (read)
8540 .qs (mio_pad_sleep_regwen_17_qs)
8541 );
8542
8543 // Subregister 18 of Multireg mio_pad_sleep_regwen
8544 // R[mio_pad_sleep_regwen_18]: V(False)
8545
8546 prim_subreg #(
8547 .DW (1),
8548 .SWACCESS("W0C"),
8549 .RESVAL (1'h1)
8550 ) u_mio_pad_sleep_regwen_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008551 .clk_i (clk_i),
8552 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008553
8554 // from register interface
8555 .we (mio_pad_sleep_regwen_18_we),
8556 .wd (mio_pad_sleep_regwen_18_wd),
8557
8558 // from internal hardware
8559 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008560 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008561
8562 // to internal hardware
8563 .qe (),
8564 .q (),
8565
8566 // to register interface (read)
8567 .qs (mio_pad_sleep_regwen_18_qs)
8568 );
8569
8570 // Subregister 19 of Multireg mio_pad_sleep_regwen
8571 // R[mio_pad_sleep_regwen_19]: V(False)
8572
8573 prim_subreg #(
8574 .DW (1),
8575 .SWACCESS("W0C"),
8576 .RESVAL (1'h1)
8577 ) u_mio_pad_sleep_regwen_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008578 .clk_i (clk_i),
8579 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008580
8581 // from register interface
8582 .we (mio_pad_sleep_regwen_19_we),
8583 .wd (mio_pad_sleep_regwen_19_wd),
8584
8585 // from internal hardware
8586 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008587 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008588
8589 // to internal hardware
8590 .qe (),
8591 .q (),
8592
8593 // to register interface (read)
8594 .qs (mio_pad_sleep_regwen_19_qs)
8595 );
8596
8597 // Subregister 20 of Multireg mio_pad_sleep_regwen
8598 // R[mio_pad_sleep_regwen_20]: V(False)
8599
8600 prim_subreg #(
8601 .DW (1),
8602 .SWACCESS("W0C"),
8603 .RESVAL (1'h1)
8604 ) u_mio_pad_sleep_regwen_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008605 .clk_i (clk_i),
8606 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008607
8608 // from register interface
8609 .we (mio_pad_sleep_regwen_20_we),
8610 .wd (mio_pad_sleep_regwen_20_wd),
8611
8612 // from internal hardware
8613 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008614 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008615
8616 // to internal hardware
8617 .qe (),
8618 .q (),
8619
8620 // to register interface (read)
8621 .qs (mio_pad_sleep_regwen_20_qs)
8622 );
8623
8624 // Subregister 21 of Multireg mio_pad_sleep_regwen
8625 // R[mio_pad_sleep_regwen_21]: V(False)
8626
8627 prim_subreg #(
8628 .DW (1),
8629 .SWACCESS("W0C"),
8630 .RESVAL (1'h1)
8631 ) u_mio_pad_sleep_regwen_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008632 .clk_i (clk_i),
8633 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008634
8635 // from register interface
8636 .we (mio_pad_sleep_regwen_21_we),
8637 .wd (mio_pad_sleep_regwen_21_wd),
8638
8639 // from internal hardware
8640 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008641 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008642
8643 // to internal hardware
8644 .qe (),
8645 .q (),
8646
8647 // to register interface (read)
8648 .qs (mio_pad_sleep_regwen_21_qs)
8649 );
8650
8651 // Subregister 22 of Multireg mio_pad_sleep_regwen
8652 // R[mio_pad_sleep_regwen_22]: V(False)
8653
8654 prim_subreg #(
8655 .DW (1),
8656 .SWACCESS("W0C"),
8657 .RESVAL (1'h1)
8658 ) u_mio_pad_sleep_regwen_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008659 .clk_i (clk_i),
8660 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008661
8662 // from register interface
8663 .we (mio_pad_sleep_regwen_22_we),
8664 .wd (mio_pad_sleep_regwen_22_wd),
8665
8666 // from internal hardware
8667 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008668 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008669
8670 // to internal hardware
8671 .qe (),
8672 .q (),
8673
8674 // to register interface (read)
8675 .qs (mio_pad_sleep_regwen_22_qs)
8676 );
8677
8678 // Subregister 23 of Multireg mio_pad_sleep_regwen
8679 // R[mio_pad_sleep_regwen_23]: V(False)
8680
8681 prim_subreg #(
8682 .DW (1),
8683 .SWACCESS("W0C"),
8684 .RESVAL (1'h1)
8685 ) u_mio_pad_sleep_regwen_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008686 .clk_i (clk_i),
8687 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008688
8689 // from register interface
8690 .we (mio_pad_sleep_regwen_23_we),
8691 .wd (mio_pad_sleep_regwen_23_wd),
8692
8693 // from internal hardware
8694 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008695 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008696
8697 // to internal hardware
8698 .qe (),
8699 .q (),
8700
8701 // to register interface (read)
8702 .qs (mio_pad_sleep_regwen_23_qs)
8703 );
8704
8705 // Subregister 24 of Multireg mio_pad_sleep_regwen
8706 // R[mio_pad_sleep_regwen_24]: V(False)
8707
8708 prim_subreg #(
8709 .DW (1),
8710 .SWACCESS("W0C"),
8711 .RESVAL (1'h1)
8712 ) u_mio_pad_sleep_regwen_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008713 .clk_i (clk_i),
8714 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008715
8716 // from register interface
8717 .we (mio_pad_sleep_regwen_24_we),
8718 .wd (mio_pad_sleep_regwen_24_wd),
8719
8720 // from internal hardware
8721 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008722 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008723
8724 // to internal hardware
8725 .qe (),
8726 .q (),
8727
8728 // to register interface (read)
8729 .qs (mio_pad_sleep_regwen_24_qs)
8730 );
8731
8732 // Subregister 25 of Multireg mio_pad_sleep_regwen
8733 // R[mio_pad_sleep_regwen_25]: V(False)
8734
8735 prim_subreg #(
8736 .DW (1),
8737 .SWACCESS("W0C"),
8738 .RESVAL (1'h1)
8739 ) u_mio_pad_sleep_regwen_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008740 .clk_i (clk_i),
8741 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008742
8743 // from register interface
8744 .we (mio_pad_sleep_regwen_25_we),
8745 .wd (mio_pad_sleep_regwen_25_wd),
8746
8747 // from internal hardware
8748 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008749 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008750
8751 // to internal hardware
8752 .qe (),
8753 .q (),
8754
8755 // to register interface (read)
8756 .qs (mio_pad_sleep_regwen_25_qs)
8757 );
8758
8759 // Subregister 26 of Multireg mio_pad_sleep_regwen
8760 // R[mio_pad_sleep_regwen_26]: V(False)
8761
8762 prim_subreg #(
8763 .DW (1),
8764 .SWACCESS("W0C"),
8765 .RESVAL (1'h1)
8766 ) u_mio_pad_sleep_regwen_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008767 .clk_i (clk_i),
8768 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008769
8770 // from register interface
8771 .we (mio_pad_sleep_regwen_26_we),
8772 .wd (mio_pad_sleep_regwen_26_wd),
8773
8774 // from internal hardware
8775 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008776 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008777
8778 // to internal hardware
8779 .qe (),
8780 .q (),
8781
8782 // to register interface (read)
8783 .qs (mio_pad_sleep_regwen_26_qs)
8784 );
8785
8786 // Subregister 27 of Multireg mio_pad_sleep_regwen
8787 // R[mio_pad_sleep_regwen_27]: V(False)
8788
8789 prim_subreg #(
8790 .DW (1),
8791 .SWACCESS("W0C"),
8792 .RESVAL (1'h1)
8793 ) u_mio_pad_sleep_regwen_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008794 .clk_i (clk_i),
8795 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008796
8797 // from register interface
8798 .we (mio_pad_sleep_regwen_27_we),
8799 .wd (mio_pad_sleep_regwen_27_wd),
8800
8801 // from internal hardware
8802 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008803 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008804
8805 // to internal hardware
8806 .qe (),
8807 .q (),
8808
8809 // to register interface (read)
8810 .qs (mio_pad_sleep_regwen_27_qs)
8811 );
8812
8813 // Subregister 28 of Multireg mio_pad_sleep_regwen
8814 // R[mio_pad_sleep_regwen_28]: V(False)
8815
8816 prim_subreg #(
8817 .DW (1),
8818 .SWACCESS("W0C"),
8819 .RESVAL (1'h1)
8820 ) u_mio_pad_sleep_regwen_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008821 .clk_i (clk_i),
8822 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008823
8824 // from register interface
8825 .we (mio_pad_sleep_regwen_28_we),
8826 .wd (mio_pad_sleep_regwen_28_wd),
8827
8828 // from internal hardware
8829 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008830 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008831
8832 // to internal hardware
8833 .qe (),
8834 .q (),
8835
8836 // to register interface (read)
8837 .qs (mio_pad_sleep_regwen_28_qs)
8838 );
8839
8840 // Subregister 29 of Multireg mio_pad_sleep_regwen
8841 // R[mio_pad_sleep_regwen_29]: V(False)
8842
8843 prim_subreg #(
8844 .DW (1),
8845 .SWACCESS("W0C"),
8846 .RESVAL (1'h1)
8847 ) u_mio_pad_sleep_regwen_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008848 .clk_i (clk_i),
8849 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008850
8851 // from register interface
8852 .we (mio_pad_sleep_regwen_29_we),
8853 .wd (mio_pad_sleep_regwen_29_wd),
8854
8855 // from internal hardware
8856 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008857 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008858
8859 // to internal hardware
8860 .qe (),
8861 .q (),
8862
8863 // to register interface (read)
8864 .qs (mio_pad_sleep_regwen_29_qs)
8865 );
8866
8867 // Subregister 30 of Multireg mio_pad_sleep_regwen
8868 // R[mio_pad_sleep_regwen_30]: V(False)
8869
8870 prim_subreg #(
8871 .DW (1),
8872 .SWACCESS("W0C"),
8873 .RESVAL (1'h1)
8874 ) u_mio_pad_sleep_regwen_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008875 .clk_i (clk_i),
8876 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008877
8878 // from register interface
8879 .we (mio_pad_sleep_regwen_30_we),
8880 .wd (mio_pad_sleep_regwen_30_wd),
8881
8882 // from internal hardware
8883 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008884 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008885
8886 // to internal hardware
8887 .qe (),
8888 .q (),
8889
8890 // to register interface (read)
8891 .qs (mio_pad_sleep_regwen_30_qs)
8892 );
8893
8894 // Subregister 31 of Multireg mio_pad_sleep_regwen
8895 // R[mio_pad_sleep_regwen_31]: V(False)
8896
8897 prim_subreg #(
8898 .DW (1),
8899 .SWACCESS("W0C"),
8900 .RESVAL (1'h1)
8901 ) u_mio_pad_sleep_regwen_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008902 .clk_i (clk_i),
8903 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008904
8905 // from register interface
8906 .we (mio_pad_sleep_regwen_31_we),
8907 .wd (mio_pad_sleep_regwen_31_wd),
8908
8909 // from internal hardware
8910 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008911 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008912
8913 // to internal hardware
8914 .qe (),
8915 .q (),
8916
8917 // to register interface (read)
8918 .qs (mio_pad_sleep_regwen_31_qs)
8919 );
8920
8921
8922
8923 // Subregister 0 of Multireg mio_pad_sleep_en
8924 // R[mio_pad_sleep_en_0]: V(False)
8925
8926 prim_subreg #(
8927 .DW (1),
8928 .SWACCESS("RW"),
8929 .RESVAL (1'h0)
8930 ) u_mio_pad_sleep_en_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008931 .clk_i (clk_i),
8932 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008933
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008934 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008935 .we (mio_pad_sleep_en_0_we & mio_pad_sleep_regwen_0_qs),
8936 .wd (mio_pad_sleep_en_0_wd),
8937
8938 // from internal hardware
8939 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008940 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008941
8942 // to internal hardware
8943 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008944 .q (reg2hw.mio_pad_sleep_en[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008945
8946 // to register interface (read)
8947 .qs (mio_pad_sleep_en_0_qs)
8948 );
8949
8950 // Subregister 1 of Multireg mio_pad_sleep_en
8951 // R[mio_pad_sleep_en_1]: V(False)
8952
8953 prim_subreg #(
8954 .DW (1),
8955 .SWACCESS("RW"),
8956 .RESVAL (1'h0)
8957 ) u_mio_pad_sleep_en_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008958 .clk_i (clk_i),
8959 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008960
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008961 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008962 .we (mio_pad_sleep_en_1_we & mio_pad_sleep_regwen_1_qs),
8963 .wd (mio_pad_sleep_en_1_wd),
8964
8965 // from internal hardware
8966 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008967 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008968
8969 // to internal hardware
8970 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008971 .q (reg2hw.mio_pad_sleep_en[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008972
8973 // to register interface (read)
8974 .qs (mio_pad_sleep_en_1_qs)
8975 );
8976
8977 // Subregister 2 of Multireg mio_pad_sleep_en
8978 // R[mio_pad_sleep_en_2]: V(False)
8979
8980 prim_subreg #(
8981 .DW (1),
8982 .SWACCESS("RW"),
8983 .RESVAL (1'h0)
8984 ) u_mio_pad_sleep_en_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008985 .clk_i (clk_i),
8986 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008987
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008988 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008989 .we (mio_pad_sleep_en_2_we & mio_pad_sleep_regwen_2_qs),
8990 .wd (mio_pad_sleep_en_2_wd),
8991
8992 // from internal hardware
8993 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008994 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008995
8996 // to internal hardware
8997 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008998 .q (reg2hw.mio_pad_sleep_en[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008999
9000 // to register interface (read)
9001 .qs (mio_pad_sleep_en_2_qs)
9002 );
9003
9004 // Subregister 3 of Multireg mio_pad_sleep_en
9005 // R[mio_pad_sleep_en_3]: V(False)
9006
9007 prim_subreg #(
9008 .DW (1),
9009 .SWACCESS("RW"),
9010 .RESVAL (1'h0)
9011 ) u_mio_pad_sleep_en_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009012 .clk_i (clk_i),
9013 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009014
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009015 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009016 .we (mio_pad_sleep_en_3_we & mio_pad_sleep_regwen_3_qs),
9017 .wd (mio_pad_sleep_en_3_wd),
9018
9019 // from internal hardware
9020 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009021 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009022
9023 // to internal hardware
9024 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009025 .q (reg2hw.mio_pad_sleep_en[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009026
9027 // to register interface (read)
9028 .qs (mio_pad_sleep_en_3_qs)
9029 );
9030
9031 // Subregister 4 of Multireg mio_pad_sleep_en
9032 // R[mio_pad_sleep_en_4]: V(False)
9033
9034 prim_subreg #(
9035 .DW (1),
9036 .SWACCESS("RW"),
9037 .RESVAL (1'h0)
9038 ) u_mio_pad_sleep_en_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009039 .clk_i (clk_i),
9040 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009041
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009042 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009043 .we (mio_pad_sleep_en_4_we & mio_pad_sleep_regwen_4_qs),
9044 .wd (mio_pad_sleep_en_4_wd),
9045
9046 // from internal hardware
9047 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009048 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009049
9050 // to internal hardware
9051 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009052 .q (reg2hw.mio_pad_sleep_en[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009053
9054 // to register interface (read)
9055 .qs (mio_pad_sleep_en_4_qs)
9056 );
9057
9058 // Subregister 5 of Multireg mio_pad_sleep_en
9059 // R[mio_pad_sleep_en_5]: V(False)
9060
9061 prim_subreg #(
9062 .DW (1),
9063 .SWACCESS("RW"),
9064 .RESVAL (1'h0)
9065 ) u_mio_pad_sleep_en_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009066 .clk_i (clk_i),
9067 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009068
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009069 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009070 .we (mio_pad_sleep_en_5_we & mio_pad_sleep_regwen_5_qs),
9071 .wd (mio_pad_sleep_en_5_wd),
9072
9073 // from internal hardware
9074 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009075 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009076
9077 // to internal hardware
9078 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009079 .q (reg2hw.mio_pad_sleep_en[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009080
9081 // to register interface (read)
9082 .qs (mio_pad_sleep_en_5_qs)
9083 );
9084
9085 // Subregister 6 of Multireg mio_pad_sleep_en
9086 // R[mio_pad_sleep_en_6]: V(False)
9087
9088 prim_subreg #(
9089 .DW (1),
9090 .SWACCESS("RW"),
9091 .RESVAL (1'h0)
9092 ) u_mio_pad_sleep_en_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009093 .clk_i (clk_i),
9094 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009095
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009096 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009097 .we (mio_pad_sleep_en_6_we & mio_pad_sleep_regwen_6_qs),
9098 .wd (mio_pad_sleep_en_6_wd),
9099
9100 // from internal hardware
9101 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009102 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009103
9104 // to internal hardware
9105 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009106 .q (reg2hw.mio_pad_sleep_en[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009107
9108 // to register interface (read)
9109 .qs (mio_pad_sleep_en_6_qs)
9110 );
9111
9112 // Subregister 7 of Multireg mio_pad_sleep_en
9113 // R[mio_pad_sleep_en_7]: V(False)
9114
9115 prim_subreg #(
9116 .DW (1),
9117 .SWACCESS("RW"),
9118 .RESVAL (1'h0)
9119 ) u_mio_pad_sleep_en_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009120 .clk_i (clk_i),
9121 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009122
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009123 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009124 .we (mio_pad_sleep_en_7_we & mio_pad_sleep_regwen_7_qs),
9125 .wd (mio_pad_sleep_en_7_wd),
9126
9127 // from internal hardware
9128 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009129 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009130
9131 // to internal hardware
9132 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009133 .q (reg2hw.mio_pad_sleep_en[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009134
9135 // to register interface (read)
9136 .qs (mio_pad_sleep_en_7_qs)
9137 );
9138
9139 // Subregister 8 of Multireg mio_pad_sleep_en
9140 // R[mio_pad_sleep_en_8]: V(False)
9141
9142 prim_subreg #(
9143 .DW (1),
9144 .SWACCESS("RW"),
9145 .RESVAL (1'h0)
9146 ) u_mio_pad_sleep_en_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009147 .clk_i (clk_i),
9148 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009149
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009150 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009151 .we (mio_pad_sleep_en_8_we & mio_pad_sleep_regwen_8_qs),
9152 .wd (mio_pad_sleep_en_8_wd),
9153
9154 // from internal hardware
9155 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009156 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009157
9158 // to internal hardware
9159 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009160 .q (reg2hw.mio_pad_sleep_en[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009161
9162 // to register interface (read)
9163 .qs (mio_pad_sleep_en_8_qs)
9164 );
9165
9166 // Subregister 9 of Multireg mio_pad_sleep_en
9167 // R[mio_pad_sleep_en_9]: V(False)
9168
9169 prim_subreg #(
9170 .DW (1),
9171 .SWACCESS("RW"),
9172 .RESVAL (1'h0)
9173 ) u_mio_pad_sleep_en_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009174 .clk_i (clk_i),
9175 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009176
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009177 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009178 .we (mio_pad_sleep_en_9_we & mio_pad_sleep_regwen_9_qs),
9179 .wd (mio_pad_sleep_en_9_wd),
9180
9181 // from internal hardware
9182 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009183 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009184
9185 // to internal hardware
9186 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009187 .q (reg2hw.mio_pad_sleep_en[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009188
9189 // to register interface (read)
9190 .qs (mio_pad_sleep_en_9_qs)
9191 );
9192
9193 // Subregister 10 of Multireg mio_pad_sleep_en
9194 // R[mio_pad_sleep_en_10]: V(False)
9195
9196 prim_subreg #(
9197 .DW (1),
9198 .SWACCESS("RW"),
9199 .RESVAL (1'h0)
9200 ) u_mio_pad_sleep_en_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009201 .clk_i (clk_i),
9202 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009203
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009204 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009205 .we (mio_pad_sleep_en_10_we & mio_pad_sleep_regwen_10_qs),
9206 .wd (mio_pad_sleep_en_10_wd),
9207
9208 // from internal hardware
9209 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009210 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009211
9212 // to internal hardware
9213 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009214 .q (reg2hw.mio_pad_sleep_en[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009215
9216 // to register interface (read)
9217 .qs (mio_pad_sleep_en_10_qs)
9218 );
9219
9220 // Subregister 11 of Multireg mio_pad_sleep_en
9221 // R[mio_pad_sleep_en_11]: V(False)
9222
9223 prim_subreg #(
9224 .DW (1),
9225 .SWACCESS("RW"),
9226 .RESVAL (1'h0)
9227 ) u_mio_pad_sleep_en_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009228 .clk_i (clk_i),
9229 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009230
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009231 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009232 .we (mio_pad_sleep_en_11_we & mio_pad_sleep_regwen_11_qs),
9233 .wd (mio_pad_sleep_en_11_wd),
9234
9235 // from internal hardware
9236 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009237 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009238
9239 // to internal hardware
9240 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009241 .q (reg2hw.mio_pad_sleep_en[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009242
9243 // to register interface (read)
9244 .qs (mio_pad_sleep_en_11_qs)
9245 );
9246
9247 // Subregister 12 of Multireg mio_pad_sleep_en
9248 // R[mio_pad_sleep_en_12]: V(False)
9249
9250 prim_subreg #(
9251 .DW (1),
9252 .SWACCESS("RW"),
9253 .RESVAL (1'h0)
9254 ) u_mio_pad_sleep_en_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009255 .clk_i (clk_i),
9256 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009257
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009258 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009259 .we (mio_pad_sleep_en_12_we & mio_pad_sleep_regwen_12_qs),
9260 .wd (mio_pad_sleep_en_12_wd),
9261
9262 // from internal hardware
9263 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009264 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009265
9266 // to internal hardware
9267 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009268 .q (reg2hw.mio_pad_sleep_en[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009269
9270 // to register interface (read)
9271 .qs (mio_pad_sleep_en_12_qs)
9272 );
9273
9274 // Subregister 13 of Multireg mio_pad_sleep_en
9275 // R[mio_pad_sleep_en_13]: V(False)
9276
9277 prim_subreg #(
9278 .DW (1),
9279 .SWACCESS("RW"),
9280 .RESVAL (1'h0)
9281 ) u_mio_pad_sleep_en_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009282 .clk_i (clk_i),
9283 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009284
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009285 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009286 .we (mio_pad_sleep_en_13_we & mio_pad_sleep_regwen_13_qs),
9287 .wd (mio_pad_sleep_en_13_wd),
9288
9289 // from internal hardware
9290 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009291 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009292
9293 // to internal hardware
9294 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009295 .q (reg2hw.mio_pad_sleep_en[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009296
9297 // to register interface (read)
9298 .qs (mio_pad_sleep_en_13_qs)
9299 );
9300
9301 // Subregister 14 of Multireg mio_pad_sleep_en
9302 // R[mio_pad_sleep_en_14]: V(False)
9303
9304 prim_subreg #(
9305 .DW (1),
9306 .SWACCESS("RW"),
9307 .RESVAL (1'h0)
9308 ) u_mio_pad_sleep_en_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009309 .clk_i (clk_i),
9310 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009311
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009312 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009313 .we (mio_pad_sleep_en_14_we & mio_pad_sleep_regwen_14_qs),
9314 .wd (mio_pad_sleep_en_14_wd),
9315
9316 // from internal hardware
9317 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009318 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009319
9320 // to internal hardware
9321 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009322 .q (reg2hw.mio_pad_sleep_en[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009323
9324 // to register interface (read)
9325 .qs (mio_pad_sleep_en_14_qs)
9326 );
9327
9328 // Subregister 15 of Multireg mio_pad_sleep_en
9329 // R[mio_pad_sleep_en_15]: V(False)
9330
9331 prim_subreg #(
9332 .DW (1),
9333 .SWACCESS("RW"),
9334 .RESVAL (1'h0)
9335 ) u_mio_pad_sleep_en_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009336 .clk_i (clk_i),
9337 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009338
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009339 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009340 .we (mio_pad_sleep_en_15_we & mio_pad_sleep_regwen_15_qs),
9341 .wd (mio_pad_sleep_en_15_wd),
9342
9343 // from internal hardware
9344 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009345 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009346
9347 // to internal hardware
9348 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009349 .q (reg2hw.mio_pad_sleep_en[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009350
9351 // to register interface (read)
9352 .qs (mio_pad_sleep_en_15_qs)
9353 );
9354
9355 // Subregister 16 of Multireg mio_pad_sleep_en
9356 // R[mio_pad_sleep_en_16]: V(False)
9357
9358 prim_subreg #(
9359 .DW (1),
9360 .SWACCESS("RW"),
9361 .RESVAL (1'h0)
9362 ) u_mio_pad_sleep_en_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009363 .clk_i (clk_i),
9364 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009365
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009366 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009367 .we (mio_pad_sleep_en_16_we & mio_pad_sleep_regwen_16_qs),
9368 .wd (mio_pad_sleep_en_16_wd),
9369
9370 // from internal hardware
9371 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009372 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009373
9374 // to internal hardware
9375 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009376 .q (reg2hw.mio_pad_sleep_en[16].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009377
9378 // to register interface (read)
9379 .qs (mio_pad_sleep_en_16_qs)
9380 );
9381
9382 // Subregister 17 of Multireg mio_pad_sleep_en
9383 // R[mio_pad_sleep_en_17]: V(False)
9384
9385 prim_subreg #(
9386 .DW (1),
9387 .SWACCESS("RW"),
9388 .RESVAL (1'h0)
9389 ) u_mio_pad_sleep_en_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009390 .clk_i (clk_i),
9391 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009392
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009393 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009394 .we (mio_pad_sleep_en_17_we & mio_pad_sleep_regwen_17_qs),
9395 .wd (mio_pad_sleep_en_17_wd),
9396
9397 // from internal hardware
9398 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009399 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009400
9401 // to internal hardware
9402 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009403 .q (reg2hw.mio_pad_sleep_en[17].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009404
9405 // to register interface (read)
9406 .qs (mio_pad_sleep_en_17_qs)
9407 );
9408
9409 // Subregister 18 of Multireg mio_pad_sleep_en
9410 // R[mio_pad_sleep_en_18]: V(False)
9411
9412 prim_subreg #(
9413 .DW (1),
9414 .SWACCESS("RW"),
9415 .RESVAL (1'h0)
9416 ) u_mio_pad_sleep_en_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009417 .clk_i (clk_i),
9418 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009419
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009420 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009421 .we (mio_pad_sleep_en_18_we & mio_pad_sleep_regwen_18_qs),
9422 .wd (mio_pad_sleep_en_18_wd),
9423
9424 // from internal hardware
9425 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009426 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009427
9428 // to internal hardware
9429 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009430 .q (reg2hw.mio_pad_sleep_en[18].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009431
9432 // to register interface (read)
9433 .qs (mio_pad_sleep_en_18_qs)
9434 );
9435
9436 // Subregister 19 of Multireg mio_pad_sleep_en
9437 // R[mio_pad_sleep_en_19]: V(False)
9438
9439 prim_subreg #(
9440 .DW (1),
9441 .SWACCESS("RW"),
9442 .RESVAL (1'h0)
9443 ) u_mio_pad_sleep_en_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009444 .clk_i (clk_i),
9445 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009446
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009447 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009448 .we (mio_pad_sleep_en_19_we & mio_pad_sleep_regwen_19_qs),
9449 .wd (mio_pad_sleep_en_19_wd),
9450
9451 // from internal hardware
9452 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009453 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009454
9455 // to internal hardware
9456 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009457 .q (reg2hw.mio_pad_sleep_en[19].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009458
9459 // to register interface (read)
9460 .qs (mio_pad_sleep_en_19_qs)
9461 );
9462
9463 // Subregister 20 of Multireg mio_pad_sleep_en
9464 // R[mio_pad_sleep_en_20]: V(False)
9465
9466 prim_subreg #(
9467 .DW (1),
9468 .SWACCESS("RW"),
9469 .RESVAL (1'h0)
9470 ) u_mio_pad_sleep_en_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009471 .clk_i (clk_i),
9472 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009473
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009474 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009475 .we (mio_pad_sleep_en_20_we & mio_pad_sleep_regwen_20_qs),
9476 .wd (mio_pad_sleep_en_20_wd),
9477
9478 // from internal hardware
9479 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009480 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009481
9482 // to internal hardware
9483 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009484 .q (reg2hw.mio_pad_sleep_en[20].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009485
9486 // to register interface (read)
9487 .qs (mio_pad_sleep_en_20_qs)
9488 );
9489
9490 // Subregister 21 of Multireg mio_pad_sleep_en
9491 // R[mio_pad_sleep_en_21]: V(False)
9492
9493 prim_subreg #(
9494 .DW (1),
9495 .SWACCESS("RW"),
9496 .RESVAL (1'h0)
9497 ) u_mio_pad_sleep_en_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009498 .clk_i (clk_i),
9499 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009500
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009501 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009502 .we (mio_pad_sleep_en_21_we & mio_pad_sleep_regwen_21_qs),
9503 .wd (mio_pad_sleep_en_21_wd),
9504
9505 // from internal hardware
9506 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009507 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009508
9509 // to internal hardware
9510 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009511 .q (reg2hw.mio_pad_sleep_en[21].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009512
9513 // to register interface (read)
9514 .qs (mio_pad_sleep_en_21_qs)
9515 );
9516
9517 // Subregister 22 of Multireg mio_pad_sleep_en
9518 // R[mio_pad_sleep_en_22]: V(False)
9519
9520 prim_subreg #(
9521 .DW (1),
9522 .SWACCESS("RW"),
9523 .RESVAL (1'h0)
9524 ) u_mio_pad_sleep_en_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009525 .clk_i (clk_i),
9526 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009527
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009528 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009529 .we (mio_pad_sleep_en_22_we & mio_pad_sleep_regwen_22_qs),
9530 .wd (mio_pad_sleep_en_22_wd),
9531
9532 // from internal hardware
9533 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009534 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009535
9536 // to internal hardware
9537 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009538 .q (reg2hw.mio_pad_sleep_en[22].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009539
9540 // to register interface (read)
9541 .qs (mio_pad_sleep_en_22_qs)
9542 );
9543
9544 // Subregister 23 of Multireg mio_pad_sleep_en
9545 // R[mio_pad_sleep_en_23]: V(False)
9546
9547 prim_subreg #(
9548 .DW (1),
9549 .SWACCESS("RW"),
9550 .RESVAL (1'h0)
9551 ) u_mio_pad_sleep_en_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009552 .clk_i (clk_i),
9553 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009554
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009555 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009556 .we (mio_pad_sleep_en_23_we & mio_pad_sleep_regwen_23_qs),
9557 .wd (mio_pad_sleep_en_23_wd),
9558
9559 // from internal hardware
9560 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009561 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009562
9563 // to internal hardware
9564 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009565 .q (reg2hw.mio_pad_sleep_en[23].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009566
9567 // to register interface (read)
9568 .qs (mio_pad_sleep_en_23_qs)
9569 );
9570
9571 // Subregister 24 of Multireg mio_pad_sleep_en
9572 // R[mio_pad_sleep_en_24]: V(False)
9573
9574 prim_subreg #(
9575 .DW (1),
9576 .SWACCESS("RW"),
9577 .RESVAL (1'h0)
9578 ) u_mio_pad_sleep_en_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009579 .clk_i (clk_i),
9580 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009581
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009582 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009583 .we (mio_pad_sleep_en_24_we & mio_pad_sleep_regwen_24_qs),
9584 .wd (mio_pad_sleep_en_24_wd),
9585
9586 // from internal hardware
9587 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009588 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009589
9590 // to internal hardware
9591 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009592 .q (reg2hw.mio_pad_sleep_en[24].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009593
9594 // to register interface (read)
9595 .qs (mio_pad_sleep_en_24_qs)
9596 );
9597
9598 // Subregister 25 of Multireg mio_pad_sleep_en
9599 // R[mio_pad_sleep_en_25]: V(False)
9600
9601 prim_subreg #(
9602 .DW (1),
9603 .SWACCESS("RW"),
9604 .RESVAL (1'h0)
9605 ) u_mio_pad_sleep_en_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009606 .clk_i (clk_i),
9607 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009608
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009609 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009610 .we (mio_pad_sleep_en_25_we & mio_pad_sleep_regwen_25_qs),
9611 .wd (mio_pad_sleep_en_25_wd),
9612
9613 // from internal hardware
9614 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009615 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009616
9617 // to internal hardware
9618 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009619 .q (reg2hw.mio_pad_sleep_en[25].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009620
9621 // to register interface (read)
9622 .qs (mio_pad_sleep_en_25_qs)
9623 );
9624
9625 // Subregister 26 of Multireg mio_pad_sleep_en
9626 // R[mio_pad_sleep_en_26]: V(False)
9627
9628 prim_subreg #(
9629 .DW (1),
9630 .SWACCESS("RW"),
9631 .RESVAL (1'h0)
9632 ) u_mio_pad_sleep_en_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009633 .clk_i (clk_i),
9634 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009635
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009636 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009637 .we (mio_pad_sleep_en_26_we & mio_pad_sleep_regwen_26_qs),
9638 .wd (mio_pad_sleep_en_26_wd),
9639
9640 // from internal hardware
9641 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009642 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009643
9644 // to internal hardware
9645 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009646 .q (reg2hw.mio_pad_sleep_en[26].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009647
9648 // to register interface (read)
9649 .qs (mio_pad_sleep_en_26_qs)
9650 );
9651
9652 // Subregister 27 of Multireg mio_pad_sleep_en
9653 // R[mio_pad_sleep_en_27]: V(False)
9654
9655 prim_subreg #(
9656 .DW (1),
9657 .SWACCESS("RW"),
9658 .RESVAL (1'h0)
9659 ) u_mio_pad_sleep_en_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009660 .clk_i (clk_i),
9661 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009662
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009663 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009664 .we (mio_pad_sleep_en_27_we & mio_pad_sleep_regwen_27_qs),
9665 .wd (mio_pad_sleep_en_27_wd),
9666
9667 // from internal hardware
9668 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009669 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009670
9671 // to internal hardware
9672 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009673 .q (reg2hw.mio_pad_sleep_en[27].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009674
9675 // to register interface (read)
9676 .qs (mio_pad_sleep_en_27_qs)
9677 );
9678
9679 // Subregister 28 of Multireg mio_pad_sleep_en
9680 // R[mio_pad_sleep_en_28]: V(False)
9681
9682 prim_subreg #(
9683 .DW (1),
9684 .SWACCESS("RW"),
9685 .RESVAL (1'h0)
9686 ) u_mio_pad_sleep_en_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009687 .clk_i (clk_i),
9688 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009689
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009690 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009691 .we (mio_pad_sleep_en_28_we & mio_pad_sleep_regwen_28_qs),
9692 .wd (mio_pad_sleep_en_28_wd),
9693
9694 // from internal hardware
9695 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009696 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009697
9698 // to internal hardware
9699 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009700 .q (reg2hw.mio_pad_sleep_en[28].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009701
9702 // to register interface (read)
9703 .qs (mio_pad_sleep_en_28_qs)
9704 );
9705
9706 // Subregister 29 of Multireg mio_pad_sleep_en
9707 // R[mio_pad_sleep_en_29]: V(False)
9708
9709 prim_subreg #(
9710 .DW (1),
9711 .SWACCESS("RW"),
9712 .RESVAL (1'h0)
9713 ) u_mio_pad_sleep_en_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009714 .clk_i (clk_i),
9715 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009716
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009717 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009718 .we (mio_pad_sleep_en_29_we & mio_pad_sleep_regwen_29_qs),
9719 .wd (mio_pad_sleep_en_29_wd),
9720
9721 // from internal hardware
9722 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009723 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009724
9725 // to internal hardware
9726 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009727 .q (reg2hw.mio_pad_sleep_en[29].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009728
9729 // to register interface (read)
9730 .qs (mio_pad_sleep_en_29_qs)
9731 );
9732
9733 // Subregister 30 of Multireg mio_pad_sleep_en
9734 // R[mio_pad_sleep_en_30]: V(False)
9735
9736 prim_subreg #(
9737 .DW (1),
9738 .SWACCESS("RW"),
9739 .RESVAL (1'h0)
9740 ) u_mio_pad_sleep_en_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009741 .clk_i (clk_i),
9742 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009743
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009744 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009745 .we (mio_pad_sleep_en_30_we & mio_pad_sleep_regwen_30_qs),
9746 .wd (mio_pad_sleep_en_30_wd),
9747
9748 // from internal hardware
9749 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009750 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009751
9752 // to internal hardware
9753 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009754 .q (reg2hw.mio_pad_sleep_en[30].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009755
9756 // to register interface (read)
9757 .qs (mio_pad_sleep_en_30_qs)
9758 );
9759
9760 // Subregister 31 of Multireg mio_pad_sleep_en
9761 // R[mio_pad_sleep_en_31]: V(False)
9762
9763 prim_subreg #(
9764 .DW (1),
9765 .SWACCESS("RW"),
9766 .RESVAL (1'h0)
9767 ) u_mio_pad_sleep_en_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009768 .clk_i (clk_i),
9769 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009770
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009771 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009772 .we (mio_pad_sleep_en_31_we & mio_pad_sleep_regwen_31_qs),
9773 .wd (mio_pad_sleep_en_31_wd),
9774
9775 // from internal hardware
9776 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009777 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009778
9779 // to internal hardware
9780 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009781 .q (reg2hw.mio_pad_sleep_en[31].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009782
9783 // to register interface (read)
9784 .qs (mio_pad_sleep_en_31_qs)
9785 );
9786
9787
9788
9789 // Subregister 0 of Multireg mio_pad_sleep_mode
9790 // R[mio_pad_sleep_mode_0]: V(False)
9791
9792 prim_subreg #(
9793 .DW (2),
9794 .SWACCESS("RW"),
9795 .RESVAL (2'h2)
9796 ) u_mio_pad_sleep_mode_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009797 .clk_i (clk_i),
9798 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009799
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009800 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009801 .we (mio_pad_sleep_mode_0_we & mio_pad_sleep_regwen_0_qs),
9802 .wd (mio_pad_sleep_mode_0_wd),
9803
9804 // from internal hardware
9805 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009806 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009807
9808 // to internal hardware
9809 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009810 .q (reg2hw.mio_pad_sleep_mode[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009811
9812 // to register interface (read)
9813 .qs (mio_pad_sleep_mode_0_qs)
9814 );
9815
9816 // Subregister 1 of Multireg mio_pad_sleep_mode
9817 // R[mio_pad_sleep_mode_1]: V(False)
9818
9819 prim_subreg #(
9820 .DW (2),
9821 .SWACCESS("RW"),
9822 .RESVAL (2'h2)
9823 ) u_mio_pad_sleep_mode_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009824 .clk_i (clk_i),
9825 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009826
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009827 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009828 .we (mio_pad_sleep_mode_1_we & mio_pad_sleep_regwen_1_qs),
9829 .wd (mio_pad_sleep_mode_1_wd),
9830
9831 // from internal hardware
9832 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009833 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009834
9835 // to internal hardware
9836 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009837 .q (reg2hw.mio_pad_sleep_mode[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009838
9839 // to register interface (read)
9840 .qs (mio_pad_sleep_mode_1_qs)
9841 );
9842
9843 // Subregister 2 of Multireg mio_pad_sleep_mode
9844 // R[mio_pad_sleep_mode_2]: V(False)
9845
9846 prim_subreg #(
9847 .DW (2),
9848 .SWACCESS("RW"),
9849 .RESVAL (2'h2)
9850 ) u_mio_pad_sleep_mode_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009851 .clk_i (clk_i),
9852 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009853
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009854 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009855 .we (mio_pad_sleep_mode_2_we & mio_pad_sleep_regwen_2_qs),
9856 .wd (mio_pad_sleep_mode_2_wd),
9857
9858 // from internal hardware
9859 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009860 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009861
9862 // to internal hardware
9863 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009864 .q (reg2hw.mio_pad_sleep_mode[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009865
9866 // to register interface (read)
9867 .qs (mio_pad_sleep_mode_2_qs)
9868 );
9869
9870 // Subregister 3 of Multireg mio_pad_sleep_mode
9871 // R[mio_pad_sleep_mode_3]: V(False)
9872
9873 prim_subreg #(
9874 .DW (2),
9875 .SWACCESS("RW"),
9876 .RESVAL (2'h2)
9877 ) u_mio_pad_sleep_mode_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009878 .clk_i (clk_i),
9879 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009880
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009881 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009882 .we (mio_pad_sleep_mode_3_we & mio_pad_sleep_regwen_3_qs),
9883 .wd (mio_pad_sleep_mode_3_wd),
9884
9885 // from internal hardware
9886 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009887 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009888
9889 // to internal hardware
9890 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009891 .q (reg2hw.mio_pad_sleep_mode[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009892
9893 // to register interface (read)
9894 .qs (mio_pad_sleep_mode_3_qs)
9895 );
9896
9897 // Subregister 4 of Multireg mio_pad_sleep_mode
9898 // R[mio_pad_sleep_mode_4]: V(False)
9899
9900 prim_subreg #(
9901 .DW (2),
9902 .SWACCESS("RW"),
9903 .RESVAL (2'h2)
9904 ) u_mio_pad_sleep_mode_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009905 .clk_i (clk_i),
9906 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009907
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009908 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009909 .we (mio_pad_sleep_mode_4_we & mio_pad_sleep_regwen_4_qs),
9910 .wd (mio_pad_sleep_mode_4_wd),
9911
9912 // from internal hardware
9913 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009914 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009915
9916 // to internal hardware
9917 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009918 .q (reg2hw.mio_pad_sleep_mode[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009919
9920 // to register interface (read)
9921 .qs (mio_pad_sleep_mode_4_qs)
9922 );
9923
9924 // Subregister 5 of Multireg mio_pad_sleep_mode
9925 // R[mio_pad_sleep_mode_5]: V(False)
9926
9927 prim_subreg #(
9928 .DW (2),
9929 .SWACCESS("RW"),
9930 .RESVAL (2'h2)
9931 ) u_mio_pad_sleep_mode_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009932 .clk_i (clk_i),
9933 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009934
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009935 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009936 .we (mio_pad_sleep_mode_5_we & mio_pad_sleep_regwen_5_qs),
9937 .wd (mio_pad_sleep_mode_5_wd),
9938
9939 // from internal hardware
9940 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009941 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009942
9943 // to internal hardware
9944 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009945 .q (reg2hw.mio_pad_sleep_mode[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009946
9947 // to register interface (read)
9948 .qs (mio_pad_sleep_mode_5_qs)
9949 );
9950
9951 // Subregister 6 of Multireg mio_pad_sleep_mode
9952 // R[mio_pad_sleep_mode_6]: V(False)
9953
9954 prim_subreg #(
9955 .DW (2),
9956 .SWACCESS("RW"),
9957 .RESVAL (2'h2)
9958 ) u_mio_pad_sleep_mode_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009959 .clk_i (clk_i),
9960 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009961
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009962 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009963 .we (mio_pad_sleep_mode_6_we & mio_pad_sleep_regwen_6_qs),
9964 .wd (mio_pad_sleep_mode_6_wd),
9965
9966 // from internal hardware
9967 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009968 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009969
9970 // to internal hardware
9971 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009972 .q (reg2hw.mio_pad_sleep_mode[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009973
9974 // to register interface (read)
9975 .qs (mio_pad_sleep_mode_6_qs)
9976 );
9977
9978 // Subregister 7 of Multireg mio_pad_sleep_mode
9979 // R[mio_pad_sleep_mode_7]: V(False)
9980
9981 prim_subreg #(
9982 .DW (2),
9983 .SWACCESS("RW"),
9984 .RESVAL (2'h2)
9985 ) u_mio_pad_sleep_mode_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009986 .clk_i (clk_i),
9987 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009988
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009989 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009990 .we (mio_pad_sleep_mode_7_we & mio_pad_sleep_regwen_7_qs),
9991 .wd (mio_pad_sleep_mode_7_wd),
9992
9993 // from internal hardware
9994 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009995 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009996
9997 // to internal hardware
9998 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009999 .q (reg2hw.mio_pad_sleep_mode[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010000
10001 // to register interface (read)
10002 .qs (mio_pad_sleep_mode_7_qs)
10003 );
10004
10005 // Subregister 8 of Multireg mio_pad_sleep_mode
10006 // R[mio_pad_sleep_mode_8]: V(False)
10007
10008 prim_subreg #(
10009 .DW (2),
10010 .SWACCESS("RW"),
10011 .RESVAL (2'h2)
10012 ) u_mio_pad_sleep_mode_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010013 .clk_i (clk_i),
10014 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010015
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010016 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010017 .we (mio_pad_sleep_mode_8_we & mio_pad_sleep_regwen_8_qs),
10018 .wd (mio_pad_sleep_mode_8_wd),
10019
10020 // from internal hardware
10021 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010022 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010023
10024 // to internal hardware
10025 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010026 .q (reg2hw.mio_pad_sleep_mode[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010027
10028 // to register interface (read)
10029 .qs (mio_pad_sleep_mode_8_qs)
10030 );
10031
10032 // Subregister 9 of Multireg mio_pad_sleep_mode
10033 // R[mio_pad_sleep_mode_9]: V(False)
10034
10035 prim_subreg #(
10036 .DW (2),
10037 .SWACCESS("RW"),
10038 .RESVAL (2'h2)
10039 ) u_mio_pad_sleep_mode_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010040 .clk_i (clk_i),
10041 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010042
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010043 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010044 .we (mio_pad_sleep_mode_9_we & mio_pad_sleep_regwen_9_qs),
10045 .wd (mio_pad_sleep_mode_9_wd),
10046
10047 // from internal hardware
10048 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010049 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010050
10051 // to internal hardware
10052 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010053 .q (reg2hw.mio_pad_sleep_mode[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010054
10055 // to register interface (read)
10056 .qs (mio_pad_sleep_mode_9_qs)
10057 );
10058
10059 // Subregister 10 of Multireg mio_pad_sleep_mode
10060 // R[mio_pad_sleep_mode_10]: V(False)
10061
10062 prim_subreg #(
10063 .DW (2),
10064 .SWACCESS("RW"),
10065 .RESVAL (2'h2)
10066 ) u_mio_pad_sleep_mode_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010067 .clk_i (clk_i),
10068 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010069
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010070 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010071 .we (mio_pad_sleep_mode_10_we & mio_pad_sleep_regwen_10_qs),
10072 .wd (mio_pad_sleep_mode_10_wd),
10073
10074 // from internal hardware
10075 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010076 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010077
10078 // to internal hardware
10079 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010080 .q (reg2hw.mio_pad_sleep_mode[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010081
10082 // to register interface (read)
10083 .qs (mio_pad_sleep_mode_10_qs)
10084 );
10085
10086 // Subregister 11 of Multireg mio_pad_sleep_mode
10087 // R[mio_pad_sleep_mode_11]: V(False)
10088
10089 prim_subreg #(
10090 .DW (2),
10091 .SWACCESS("RW"),
10092 .RESVAL (2'h2)
10093 ) u_mio_pad_sleep_mode_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010094 .clk_i (clk_i),
10095 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010096
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010097 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010098 .we (mio_pad_sleep_mode_11_we & mio_pad_sleep_regwen_11_qs),
10099 .wd (mio_pad_sleep_mode_11_wd),
10100
10101 // from internal hardware
10102 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010103 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010104
10105 // to internal hardware
10106 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010107 .q (reg2hw.mio_pad_sleep_mode[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010108
10109 // to register interface (read)
10110 .qs (mio_pad_sleep_mode_11_qs)
10111 );
10112
10113 // Subregister 12 of Multireg mio_pad_sleep_mode
10114 // R[mio_pad_sleep_mode_12]: V(False)
10115
10116 prim_subreg #(
10117 .DW (2),
10118 .SWACCESS("RW"),
10119 .RESVAL (2'h2)
10120 ) u_mio_pad_sleep_mode_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010121 .clk_i (clk_i),
10122 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010123
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010124 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010125 .we (mio_pad_sleep_mode_12_we & mio_pad_sleep_regwen_12_qs),
10126 .wd (mio_pad_sleep_mode_12_wd),
10127
10128 // from internal hardware
10129 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010130 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010131
10132 // to internal hardware
10133 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010134 .q (reg2hw.mio_pad_sleep_mode[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010135
10136 // to register interface (read)
10137 .qs (mio_pad_sleep_mode_12_qs)
10138 );
10139
10140 // Subregister 13 of Multireg mio_pad_sleep_mode
10141 // R[mio_pad_sleep_mode_13]: V(False)
10142
10143 prim_subreg #(
10144 .DW (2),
10145 .SWACCESS("RW"),
10146 .RESVAL (2'h2)
10147 ) u_mio_pad_sleep_mode_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010148 .clk_i (clk_i),
10149 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010150
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010151 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010152 .we (mio_pad_sleep_mode_13_we & mio_pad_sleep_regwen_13_qs),
10153 .wd (mio_pad_sleep_mode_13_wd),
10154
10155 // from internal hardware
10156 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010157 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010158
10159 // to internal hardware
10160 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010161 .q (reg2hw.mio_pad_sleep_mode[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010162
10163 // to register interface (read)
10164 .qs (mio_pad_sleep_mode_13_qs)
10165 );
10166
10167 // Subregister 14 of Multireg mio_pad_sleep_mode
10168 // R[mio_pad_sleep_mode_14]: V(False)
10169
10170 prim_subreg #(
10171 .DW (2),
10172 .SWACCESS("RW"),
10173 .RESVAL (2'h2)
10174 ) u_mio_pad_sleep_mode_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010175 .clk_i (clk_i),
10176 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010177
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010178 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010179 .we (mio_pad_sleep_mode_14_we & mio_pad_sleep_regwen_14_qs),
10180 .wd (mio_pad_sleep_mode_14_wd),
10181
10182 // from internal hardware
10183 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010184 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010185
10186 // to internal hardware
10187 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010188 .q (reg2hw.mio_pad_sleep_mode[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010189
10190 // to register interface (read)
10191 .qs (mio_pad_sleep_mode_14_qs)
10192 );
10193
10194 // Subregister 15 of Multireg mio_pad_sleep_mode
10195 // R[mio_pad_sleep_mode_15]: V(False)
10196
10197 prim_subreg #(
10198 .DW (2),
10199 .SWACCESS("RW"),
10200 .RESVAL (2'h2)
10201 ) u_mio_pad_sleep_mode_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010202 .clk_i (clk_i),
10203 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010204
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010205 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010206 .we (mio_pad_sleep_mode_15_we & mio_pad_sleep_regwen_15_qs),
10207 .wd (mio_pad_sleep_mode_15_wd),
10208
10209 // from internal hardware
10210 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010211 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010212
10213 // to internal hardware
10214 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010215 .q (reg2hw.mio_pad_sleep_mode[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010216
10217 // to register interface (read)
10218 .qs (mio_pad_sleep_mode_15_qs)
10219 );
10220
10221 // Subregister 16 of Multireg mio_pad_sleep_mode
10222 // R[mio_pad_sleep_mode_16]: V(False)
10223
10224 prim_subreg #(
10225 .DW (2),
10226 .SWACCESS("RW"),
10227 .RESVAL (2'h2)
10228 ) u_mio_pad_sleep_mode_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010229 .clk_i (clk_i),
10230 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010231
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010232 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010233 .we (mio_pad_sleep_mode_16_we & mio_pad_sleep_regwen_16_qs),
10234 .wd (mio_pad_sleep_mode_16_wd),
10235
10236 // from internal hardware
10237 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010238 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010239
10240 // to internal hardware
10241 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010242 .q (reg2hw.mio_pad_sleep_mode[16].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010243
10244 // to register interface (read)
10245 .qs (mio_pad_sleep_mode_16_qs)
10246 );
10247
10248 // Subregister 17 of Multireg mio_pad_sleep_mode
10249 // R[mio_pad_sleep_mode_17]: V(False)
10250
10251 prim_subreg #(
10252 .DW (2),
10253 .SWACCESS("RW"),
10254 .RESVAL (2'h2)
10255 ) u_mio_pad_sleep_mode_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010256 .clk_i (clk_i),
10257 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010258
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010259 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010260 .we (mio_pad_sleep_mode_17_we & mio_pad_sleep_regwen_17_qs),
10261 .wd (mio_pad_sleep_mode_17_wd),
10262
10263 // from internal hardware
10264 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010265 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010266
10267 // to internal hardware
10268 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010269 .q (reg2hw.mio_pad_sleep_mode[17].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010270
10271 // to register interface (read)
10272 .qs (mio_pad_sleep_mode_17_qs)
10273 );
10274
10275 // Subregister 18 of Multireg mio_pad_sleep_mode
10276 // R[mio_pad_sleep_mode_18]: V(False)
10277
10278 prim_subreg #(
10279 .DW (2),
10280 .SWACCESS("RW"),
10281 .RESVAL (2'h2)
10282 ) u_mio_pad_sleep_mode_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010283 .clk_i (clk_i),
10284 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010285
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010286 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010287 .we (mio_pad_sleep_mode_18_we & mio_pad_sleep_regwen_18_qs),
10288 .wd (mio_pad_sleep_mode_18_wd),
10289
10290 // from internal hardware
10291 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010292 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010293
10294 // to internal hardware
10295 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010296 .q (reg2hw.mio_pad_sleep_mode[18].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010297
10298 // to register interface (read)
10299 .qs (mio_pad_sleep_mode_18_qs)
10300 );
10301
10302 // Subregister 19 of Multireg mio_pad_sleep_mode
10303 // R[mio_pad_sleep_mode_19]: V(False)
10304
10305 prim_subreg #(
10306 .DW (2),
10307 .SWACCESS("RW"),
10308 .RESVAL (2'h2)
10309 ) u_mio_pad_sleep_mode_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010310 .clk_i (clk_i),
10311 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010312
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010313 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010314 .we (mio_pad_sleep_mode_19_we & mio_pad_sleep_regwen_19_qs),
10315 .wd (mio_pad_sleep_mode_19_wd),
10316
10317 // from internal hardware
10318 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010319 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010320
10321 // to internal hardware
10322 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010323 .q (reg2hw.mio_pad_sleep_mode[19].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010324
10325 // to register interface (read)
10326 .qs (mio_pad_sleep_mode_19_qs)
10327 );
10328
10329 // Subregister 20 of Multireg mio_pad_sleep_mode
10330 // R[mio_pad_sleep_mode_20]: V(False)
10331
10332 prim_subreg #(
10333 .DW (2),
10334 .SWACCESS("RW"),
10335 .RESVAL (2'h2)
10336 ) u_mio_pad_sleep_mode_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010337 .clk_i (clk_i),
10338 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010339
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010340 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010341 .we (mio_pad_sleep_mode_20_we & mio_pad_sleep_regwen_20_qs),
10342 .wd (mio_pad_sleep_mode_20_wd),
10343
10344 // from internal hardware
10345 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010346 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010347
10348 // to internal hardware
10349 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010350 .q (reg2hw.mio_pad_sleep_mode[20].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010351
10352 // to register interface (read)
10353 .qs (mio_pad_sleep_mode_20_qs)
10354 );
10355
10356 // Subregister 21 of Multireg mio_pad_sleep_mode
10357 // R[mio_pad_sleep_mode_21]: V(False)
10358
10359 prim_subreg #(
10360 .DW (2),
10361 .SWACCESS("RW"),
10362 .RESVAL (2'h2)
10363 ) u_mio_pad_sleep_mode_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010364 .clk_i (clk_i),
10365 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010366
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010367 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010368 .we (mio_pad_sleep_mode_21_we & mio_pad_sleep_regwen_21_qs),
10369 .wd (mio_pad_sleep_mode_21_wd),
10370
10371 // from internal hardware
10372 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010373 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010374
10375 // to internal hardware
10376 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010377 .q (reg2hw.mio_pad_sleep_mode[21].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010378
10379 // to register interface (read)
10380 .qs (mio_pad_sleep_mode_21_qs)
10381 );
10382
10383 // Subregister 22 of Multireg mio_pad_sleep_mode
10384 // R[mio_pad_sleep_mode_22]: V(False)
10385
10386 prim_subreg #(
10387 .DW (2),
10388 .SWACCESS("RW"),
10389 .RESVAL (2'h2)
10390 ) u_mio_pad_sleep_mode_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010391 .clk_i (clk_i),
10392 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010393
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010394 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010395 .we (mio_pad_sleep_mode_22_we & mio_pad_sleep_regwen_22_qs),
10396 .wd (mio_pad_sleep_mode_22_wd),
10397
10398 // from internal hardware
10399 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010400 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010401
10402 // to internal hardware
10403 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010404 .q (reg2hw.mio_pad_sleep_mode[22].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010405
10406 // to register interface (read)
10407 .qs (mio_pad_sleep_mode_22_qs)
10408 );
10409
10410 // Subregister 23 of Multireg mio_pad_sleep_mode
10411 // R[mio_pad_sleep_mode_23]: V(False)
10412
10413 prim_subreg #(
10414 .DW (2),
10415 .SWACCESS("RW"),
10416 .RESVAL (2'h2)
10417 ) u_mio_pad_sleep_mode_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010418 .clk_i (clk_i),
10419 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010420
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010421 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010422 .we (mio_pad_sleep_mode_23_we & mio_pad_sleep_regwen_23_qs),
10423 .wd (mio_pad_sleep_mode_23_wd),
10424
10425 // from internal hardware
10426 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010427 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010428
10429 // to internal hardware
10430 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010431 .q (reg2hw.mio_pad_sleep_mode[23].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010432
10433 // to register interface (read)
10434 .qs (mio_pad_sleep_mode_23_qs)
10435 );
10436
10437 // Subregister 24 of Multireg mio_pad_sleep_mode
10438 // R[mio_pad_sleep_mode_24]: V(False)
10439
10440 prim_subreg #(
10441 .DW (2),
10442 .SWACCESS("RW"),
10443 .RESVAL (2'h2)
10444 ) u_mio_pad_sleep_mode_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010445 .clk_i (clk_i),
10446 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010447
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010448 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010449 .we (mio_pad_sleep_mode_24_we & mio_pad_sleep_regwen_24_qs),
10450 .wd (mio_pad_sleep_mode_24_wd),
10451
10452 // from internal hardware
10453 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010454 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010455
10456 // to internal hardware
10457 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010458 .q (reg2hw.mio_pad_sleep_mode[24].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010459
10460 // to register interface (read)
10461 .qs (mio_pad_sleep_mode_24_qs)
10462 );
10463
10464 // Subregister 25 of Multireg mio_pad_sleep_mode
10465 // R[mio_pad_sleep_mode_25]: V(False)
10466
10467 prim_subreg #(
10468 .DW (2),
10469 .SWACCESS("RW"),
10470 .RESVAL (2'h2)
10471 ) u_mio_pad_sleep_mode_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010472 .clk_i (clk_i),
10473 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010474
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010475 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010476 .we (mio_pad_sleep_mode_25_we & mio_pad_sleep_regwen_25_qs),
10477 .wd (mio_pad_sleep_mode_25_wd),
10478
10479 // from internal hardware
10480 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010481 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010482
10483 // to internal hardware
10484 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010485 .q (reg2hw.mio_pad_sleep_mode[25].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010486
10487 // to register interface (read)
10488 .qs (mio_pad_sleep_mode_25_qs)
10489 );
10490
10491 // Subregister 26 of Multireg mio_pad_sleep_mode
10492 // R[mio_pad_sleep_mode_26]: V(False)
10493
10494 prim_subreg #(
10495 .DW (2),
10496 .SWACCESS("RW"),
10497 .RESVAL (2'h2)
10498 ) u_mio_pad_sleep_mode_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010499 .clk_i (clk_i),
10500 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010501
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010502 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010503 .we (mio_pad_sleep_mode_26_we & mio_pad_sleep_regwen_26_qs),
10504 .wd (mio_pad_sleep_mode_26_wd),
10505
10506 // from internal hardware
10507 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010508 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010509
10510 // to internal hardware
10511 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010512 .q (reg2hw.mio_pad_sleep_mode[26].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010513
10514 // to register interface (read)
10515 .qs (mio_pad_sleep_mode_26_qs)
10516 );
10517
10518 // Subregister 27 of Multireg mio_pad_sleep_mode
10519 // R[mio_pad_sleep_mode_27]: V(False)
10520
10521 prim_subreg #(
10522 .DW (2),
10523 .SWACCESS("RW"),
10524 .RESVAL (2'h2)
10525 ) u_mio_pad_sleep_mode_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010526 .clk_i (clk_i),
10527 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010528
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010529 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010530 .we (mio_pad_sleep_mode_27_we & mio_pad_sleep_regwen_27_qs),
10531 .wd (mio_pad_sleep_mode_27_wd),
10532
10533 // from internal hardware
10534 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010535 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010536
10537 // to internal hardware
10538 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010539 .q (reg2hw.mio_pad_sleep_mode[27].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010540
10541 // to register interface (read)
10542 .qs (mio_pad_sleep_mode_27_qs)
10543 );
10544
10545 // Subregister 28 of Multireg mio_pad_sleep_mode
10546 // R[mio_pad_sleep_mode_28]: V(False)
10547
10548 prim_subreg #(
10549 .DW (2),
10550 .SWACCESS("RW"),
10551 .RESVAL (2'h2)
10552 ) u_mio_pad_sleep_mode_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010553 .clk_i (clk_i),
10554 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010555
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010556 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010557 .we (mio_pad_sleep_mode_28_we & mio_pad_sleep_regwen_28_qs),
10558 .wd (mio_pad_sleep_mode_28_wd),
10559
10560 // from internal hardware
10561 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010562 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010563
10564 // to internal hardware
10565 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010566 .q (reg2hw.mio_pad_sleep_mode[28].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010567
10568 // to register interface (read)
10569 .qs (mio_pad_sleep_mode_28_qs)
10570 );
10571
10572 // Subregister 29 of Multireg mio_pad_sleep_mode
10573 // R[mio_pad_sleep_mode_29]: V(False)
10574
10575 prim_subreg #(
10576 .DW (2),
10577 .SWACCESS("RW"),
10578 .RESVAL (2'h2)
10579 ) u_mio_pad_sleep_mode_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010580 .clk_i (clk_i),
10581 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010582
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010583 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010584 .we (mio_pad_sleep_mode_29_we & mio_pad_sleep_regwen_29_qs),
10585 .wd (mio_pad_sleep_mode_29_wd),
10586
10587 // from internal hardware
10588 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010589 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010590
10591 // to internal hardware
10592 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010593 .q (reg2hw.mio_pad_sleep_mode[29].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010594
10595 // to register interface (read)
10596 .qs (mio_pad_sleep_mode_29_qs)
10597 );
10598
10599 // Subregister 30 of Multireg mio_pad_sleep_mode
10600 // R[mio_pad_sleep_mode_30]: V(False)
10601
10602 prim_subreg #(
10603 .DW (2),
10604 .SWACCESS("RW"),
10605 .RESVAL (2'h2)
10606 ) u_mio_pad_sleep_mode_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010607 .clk_i (clk_i),
10608 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010609
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010610 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010611 .we (mio_pad_sleep_mode_30_we & mio_pad_sleep_regwen_30_qs),
10612 .wd (mio_pad_sleep_mode_30_wd),
10613
10614 // from internal hardware
10615 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010616 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010617
10618 // to internal hardware
10619 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010620 .q (reg2hw.mio_pad_sleep_mode[30].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010621
10622 // to register interface (read)
10623 .qs (mio_pad_sleep_mode_30_qs)
10624 );
10625
10626 // Subregister 31 of Multireg mio_pad_sleep_mode
10627 // R[mio_pad_sleep_mode_31]: V(False)
10628
10629 prim_subreg #(
10630 .DW (2),
10631 .SWACCESS("RW"),
10632 .RESVAL (2'h2)
10633 ) u_mio_pad_sleep_mode_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010634 .clk_i (clk_i),
10635 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010636
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010637 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010638 .we (mio_pad_sleep_mode_31_we & mio_pad_sleep_regwen_31_qs),
10639 .wd (mio_pad_sleep_mode_31_wd),
10640
10641 // from internal hardware
10642 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010643 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010644
10645 // to internal hardware
10646 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010647 .q (reg2hw.mio_pad_sleep_mode[31].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010648
10649 // to register interface (read)
10650 .qs (mio_pad_sleep_mode_31_qs)
10651 );
10652
10653
10654
10655 // Subregister 0 of Multireg dio_pad_sleep_status
10656 // R[dio_pad_sleep_status]: V(False)
10657
10658 // F[en_0]: 0:0
10659 prim_subreg #(
10660 .DW (1),
10661 .SWACCESS("W0C"),
10662 .RESVAL (1'h0)
10663 ) u_dio_pad_sleep_status_en_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010664 .clk_i (clk_i),
10665 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010666
10667 // from register interface
10668 .we (dio_pad_sleep_status_en_0_we),
10669 .wd (dio_pad_sleep_status_en_0_wd),
10670
10671 // from internal hardware
10672 .de (hw2reg.dio_pad_sleep_status[0].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010673 .d (hw2reg.dio_pad_sleep_status[0].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010674
10675 // to internal hardware
10676 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010677 .q (reg2hw.dio_pad_sleep_status[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010678
10679 // to register interface (read)
10680 .qs (dio_pad_sleep_status_en_0_qs)
10681 );
10682
10683
10684 // F[en_1]: 1:1
10685 prim_subreg #(
10686 .DW (1),
10687 .SWACCESS("W0C"),
10688 .RESVAL (1'h0)
10689 ) u_dio_pad_sleep_status_en_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010690 .clk_i (clk_i),
10691 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010692
10693 // from register interface
10694 .we (dio_pad_sleep_status_en_1_we),
10695 .wd (dio_pad_sleep_status_en_1_wd),
10696
10697 // from internal hardware
10698 .de (hw2reg.dio_pad_sleep_status[1].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010699 .d (hw2reg.dio_pad_sleep_status[1].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010700
10701 // to internal hardware
10702 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010703 .q (reg2hw.dio_pad_sleep_status[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010704
10705 // to register interface (read)
10706 .qs (dio_pad_sleep_status_en_1_qs)
10707 );
10708
10709
10710 // F[en_2]: 2:2
10711 prim_subreg #(
10712 .DW (1),
10713 .SWACCESS("W0C"),
10714 .RESVAL (1'h0)
10715 ) u_dio_pad_sleep_status_en_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010716 .clk_i (clk_i),
10717 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010718
10719 // from register interface
10720 .we (dio_pad_sleep_status_en_2_we),
10721 .wd (dio_pad_sleep_status_en_2_wd),
10722
10723 // from internal hardware
10724 .de (hw2reg.dio_pad_sleep_status[2].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010725 .d (hw2reg.dio_pad_sleep_status[2].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010726
10727 // to internal hardware
10728 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010729 .q (reg2hw.dio_pad_sleep_status[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010730
10731 // to register interface (read)
10732 .qs (dio_pad_sleep_status_en_2_qs)
10733 );
10734
10735
10736 // F[en_3]: 3:3
10737 prim_subreg #(
10738 .DW (1),
10739 .SWACCESS("W0C"),
10740 .RESVAL (1'h0)
10741 ) u_dio_pad_sleep_status_en_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010742 .clk_i (clk_i),
10743 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010744
10745 // from register interface
10746 .we (dio_pad_sleep_status_en_3_we),
10747 .wd (dio_pad_sleep_status_en_3_wd),
10748
10749 // from internal hardware
10750 .de (hw2reg.dio_pad_sleep_status[3].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010751 .d (hw2reg.dio_pad_sleep_status[3].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010752
10753 // to internal hardware
10754 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010755 .q (reg2hw.dio_pad_sleep_status[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010756
10757 // to register interface (read)
10758 .qs (dio_pad_sleep_status_en_3_qs)
10759 );
10760
10761
10762 // F[en_4]: 4:4
10763 prim_subreg #(
10764 .DW (1),
10765 .SWACCESS("W0C"),
10766 .RESVAL (1'h0)
10767 ) u_dio_pad_sleep_status_en_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010768 .clk_i (clk_i),
10769 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010770
10771 // from register interface
10772 .we (dio_pad_sleep_status_en_4_we),
10773 .wd (dio_pad_sleep_status_en_4_wd),
10774
10775 // from internal hardware
10776 .de (hw2reg.dio_pad_sleep_status[4].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010777 .d (hw2reg.dio_pad_sleep_status[4].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010778
10779 // to internal hardware
10780 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010781 .q (reg2hw.dio_pad_sleep_status[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010782
10783 // to register interface (read)
10784 .qs (dio_pad_sleep_status_en_4_qs)
10785 );
10786
10787
10788 // F[en_5]: 5:5
10789 prim_subreg #(
10790 .DW (1),
10791 .SWACCESS("W0C"),
10792 .RESVAL (1'h0)
10793 ) u_dio_pad_sleep_status_en_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010794 .clk_i (clk_i),
10795 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010796
10797 // from register interface
10798 .we (dio_pad_sleep_status_en_5_we),
10799 .wd (dio_pad_sleep_status_en_5_wd),
10800
10801 // from internal hardware
10802 .de (hw2reg.dio_pad_sleep_status[5].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010803 .d (hw2reg.dio_pad_sleep_status[5].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010804
10805 // to internal hardware
10806 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010807 .q (reg2hw.dio_pad_sleep_status[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010808
10809 // to register interface (read)
10810 .qs (dio_pad_sleep_status_en_5_qs)
10811 );
10812
10813
10814 // F[en_6]: 6:6
10815 prim_subreg #(
10816 .DW (1),
10817 .SWACCESS("W0C"),
10818 .RESVAL (1'h0)
10819 ) u_dio_pad_sleep_status_en_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010820 .clk_i (clk_i),
10821 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010822
10823 // from register interface
10824 .we (dio_pad_sleep_status_en_6_we),
10825 .wd (dio_pad_sleep_status_en_6_wd),
10826
10827 // from internal hardware
10828 .de (hw2reg.dio_pad_sleep_status[6].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010829 .d (hw2reg.dio_pad_sleep_status[6].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010830
10831 // to internal hardware
10832 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010833 .q (reg2hw.dio_pad_sleep_status[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010834
10835 // to register interface (read)
10836 .qs (dio_pad_sleep_status_en_6_qs)
10837 );
10838
10839
10840 // F[en_7]: 7:7
10841 prim_subreg #(
10842 .DW (1),
10843 .SWACCESS("W0C"),
10844 .RESVAL (1'h0)
10845 ) u_dio_pad_sleep_status_en_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010846 .clk_i (clk_i),
10847 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010848
10849 // from register interface
10850 .we (dio_pad_sleep_status_en_7_we),
10851 .wd (dio_pad_sleep_status_en_7_wd),
10852
10853 // from internal hardware
10854 .de (hw2reg.dio_pad_sleep_status[7].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010855 .d (hw2reg.dio_pad_sleep_status[7].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010856
10857 // to internal hardware
10858 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010859 .q (reg2hw.dio_pad_sleep_status[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010860
10861 // to register interface (read)
10862 .qs (dio_pad_sleep_status_en_7_qs)
10863 );
10864
10865
10866 // F[en_8]: 8:8
10867 prim_subreg #(
10868 .DW (1),
10869 .SWACCESS("W0C"),
10870 .RESVAL (1'h0)
10871 ) u_dio_pad_sleep_status_en_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010872 .clk_i (clk_i),
10873 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010874
10875 // from register interface
10876 .we (dio_pad_sleep_status_en_8_we),
10877 .wd (dio_pad_sleep_status_en_8_wd),
10878
10879 // from internal hardware
10880 .de (hw2reg.dio_pad_sleep_status[8].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010881 .d (hw2reg.dio_pad_sleep_status[8].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010882
10883 // to internal hardware
10884 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010885 .q (reg2hw.dio_pad_sleep_status[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010886
10887 // to register interface (read)
10888 .qs (dio_pad_sleep_status_en_8_qs)
10889 );
10890
10891
10892 // F[en_9]: 9:9
10893 prim_subreg #(
10894 .DW (1),
10895 .SWACCESS("W0C"),
10896 .RESVAL (1'h0)
10897 ) u_dio_pad_sleep_status_en_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010898 .clk_i (clk_i),
10899 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010900
10901 // from register interface
10902 .we (dio_pad_sleep_status_en_9_we),
10903 .wd (dio_pad_sleep_status_en_9_wd),
10904
10905 // from internal hardware
10906 .de (hw2reg.dio_pad_sleep_status[9].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010907 .d (hw2reg.dio_pad_sleep_status[9].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010908
10909 // to internal hardware
10910 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010911 .q (reg2hw.dio_pad_sleep_status[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010912
10913 // to register interface (read)
10914 .qs (dio_pad_sleep_status_en_9_qs)
10915 );
10916
10917
10918 // F[en_10]: 10:10
10919 prim_subreg #(
10920 .DW (1),
10921 .SWACCESS("W0C"),
10922 .RESVAL (1'h0)
10923 ) u_dio_pad_sleep_status_en_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010924 .clk_i (clk_i),
10925 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010926
10927 // from register interface
10928 .we (dio_pad_sleep_status_en_10_we),
10929 .wd (dio_pad_sleep_status_en_10_wd),
10930
10931 // from internal hardware
10932 .de (hw2reg.dio_pad_sleep_status[10].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010933 .d (hw2reg.dio_pad_sleep_status[10].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010934
10935 // to internal hardware
10936 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010937 .q (reg2hw.dio_pad_sleep_status[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010938
10939 // to register interface (read)
10940 .qs (dio_pad_sleep_status_en_10_qs)
10941 );
10942
10943
10944 // F[en_11]: 11:11
10945 prim_subreg #(
10946 .DW (1),
10947 .SWACCESS("W0C"),
10948 .RESVAL (1'h0)
10949 ) u_dio_pad_sleep_status_en_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010950 .clk_i (clk_i),
10951 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010952
10953 // from register interface
10954 .we (dio_pad_sleep_status_en_11_we),
10955 .wd (dio_pad_sleep_status_en_11_wd),
10956
10957 // from internal hardware
10958 .de (hw2reg.dio_pad_sleep_status[11].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010959 .d (hw2reg.dio_pad_sleep_status[11].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010960
10961 // to internal hardware
10962 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010963 .q (reg2hw.dio_pad_sleep_status[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010964
10965 // to register interface (read)
10966 .qs (dio_pad_sleep_status_en_11_qs)
10967 );
10968
10969
10970 // F[en_12]: 12:12
10971 prim_subreg #(
10972 .DW (1),
10973 .SWACCESS("W0C"),
10974 .RESVAL (1'h0)
10975 ) u_dio_pad_sleep_status_en_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010976 .clk_i (clk_i),
10977 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010978
10979 // from register interface
10980 .we (dio_pad_sleep_status_en_12_we),
10981 .wd (dio_pad_sleep_status_en_12_wd),
10982
10983 // from internal hardware
10984 .de (hw2reg.dio_pad_sleep_status[12].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010985 .d (hw2reg.dio_pad_sleep_status[12].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010986
10987 // to internal hardware
10988 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010989 .q (reg2hw.dio_pad_sleep_status[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010990
10991 // to register interface (read)
10992 .qs (dio_pad_sleep_status_en_12_qs)
10993 );
10994
10995
10996 // F[en_13]: 13:13
10997 prim_subreg #(
10998 .DW (1),
10999 .SWACCESS("W0C"),
11000 .RESVAL (1'h0)
11001 ) u_dio_pad_sleep_status_en_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011002 .clk_i (clk_i),
11003 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011004
11005 // from register interface
11006 .we (dio_pad_sleep_status_en_13_we),
11007 .wd (dio_pad_sleep_status_en_13_wd),
11008
11009 // from internal hardware
11010 .de (hw2reg.dio_pad_sleep_status[13].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011011 .d (hw2reg.dio_pad_sleep_status[13].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011012
11013 // to internal hardware
11014 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011015 .q (reg2hw.dio_pad_sleep_status[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011016
11017 // to register interface (read)
11018 .qs (dio_pad_sleep_status_en_13_qs)
11019 );
11020
11021
11022 // F[en_14]: 14:14
11023 prim_subreg #(
11024 .DW (1),
11025 .SWACCESS("W0C"),
11026 .RESVAL (1'h0)
11027 ) u_dio_pad_sleep_status_en_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011028 .clk_i (clk_i),
11029 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011030
11031 // from register interface
11032 .we (dio_pad_sleep_status_en_14_we),
11033 .wd (dio_pad_sleep_status_en_14_wd),
11034
11035 // from internal hardware
11036 .de (hw2reg.dio_pad_sleep_status[14].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011037 .d (hw2reg.dio_pad_sleep_status[14].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011038
11039 // to internal hardware
11040 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011041 .q (reg2hw.dio_pad_sleep_status[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011042
11043 // to register interface (read)
11044 .qs (dio_pad_sleep_status_en_14_qs)
11045 );
11046
11047
11048 // F[en_15]: 15:15
11049 prim_subreg #(
11050 .DW (1),
11051 .SWACCESS("W0C"),
11052 .RESVAL (1'h0)
11053 ) u_dio_pad_sleep_status_en_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011054 .clk_i (clk_i),
11055 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011056
11057 // from register interface
11058 .we (dio_pad_sleep_status_en_15_we),
11059 .wd (dio_pad_sleep_status_en_15_wd),
11060
11061 // from internal hardware
11062 .de (hw2reg.dio_pad_sleep_status[15].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011063 .d (hw2reg.dio_pad_sleep_status[15].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011064
11065 // to internal hardware
11066 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011067 .q (reg2hw.dio_pad_sleep_status[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011068
11069 // to register interface (read)
11070 .qs (dio_pad_sleep_status_en_15_qs)
11071 );
11072
11073
11074
11075
11076 // Subregister 0 of Multireg dio_pad_sleep_regwen
11077 // R[dio_pad_sleep_regwen_0]: V(False)
11078
11079 prim_subreg #(
11080 .DW (1),
11081 .SWACCESS("W0C"),
11082 .RESVAL (1'h1)
11083 ) u_dio_pad_sleep_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011084 .clk_i (clk_i),
11085 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011086
11087 // from register interface
11088 .we (dio_pad_sleep_regwen_0_we),
11089 .wd (dio_pad_sleep_regwen_0_wd),
11090
11091 // from internal hardware
11092 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011093 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011094
11095 // to internal hardware
11096 .qe (),
11097 .q (),
11098
11099 // to register interface (read)
11100 .qs (dio_pad_sleep_regwen_0_qs)
11101 );
11102
11103 // Subregister 1 of Multireg dio_pad_sleep_regwen
11104 // R[dio_pad_sleep_regwen_1]: V(False)
11105
11106 prim_subreg #(
11107 .DW (1),
11108 .SWACCESS("W0C"),
11109 .RESVAL (1'h1)
11110 ) u_dio_pad_sleep_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011111 .clk_i (clk_i),
11112 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011113
11114 // from register interface
11115 .we (dio_pad_sleep_regwen_1_we),
11116 .wd (dio_pad_sleep_regwen_1_wd),
11117
11118 // from internal hardware
11119 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011120 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011121
11122 // to internal hardware
11123 .qe (),
11124 .q (),
11125
11126 // to register interface (read)
11127 .qs (dio_pad_sleep_regwen_1_qs)
11128 );
11129
11130 // Subregister 2 of Multireg dio_pad_sleep_regwen
11131 // R[dio_pad_sleep_regwen_2]: V(False)
11132
11133 prim_subreg #(
11134 .DW (1),
11135 .SWACCESS("W0C"),
11136 .RESVAL (1'h1)
11137 ) u_dio_pad_sleep_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011138 .clk_i (clk_i),
11139 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011140
11141 // from register interface
11142 .we (dio_pad_sleep_regwen_2_we),
11143 .wd (dio_pad_sleep_regwen_2_wd),
11144
11145 // from internal hardware
11146 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011147 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011148
11149 // to internal hardware
11150 .qe (),
11151 .q (),
11152
11153 // to register interface (read)
11154 .qs (dio_pad_sleep_regwen_2_qs)
11155 );
11156
11157 // Subregister 3 of Multireg dio_pad_sleep_regwen
11158 // R[dio_pad_sleep_regwen_3]: V(False)
11159
11160 prim_subreg #(
11161 .DW (1),
11162 .SWACCESS("W0C"),
11163 .RESVAL (1'h1)
11164 ) u_dio_pad_sleep_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011165 .clk_i (clk_i),
11166 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011167
11168 // from register interface
11169 .we (dio_pad_sleep_regwen_3_we),
11170 .wd (dio_pad_sleep_regwen_3_wd),
11171
11172 // from internal hardware
11173 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011174 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011175
11176 // to internal hardware
11177 .qe (),
11178 .q (),
11179
11180 // to register interface (read)
11181 .qs (dio_pad_sleep_regwen_3_qs)
11182 );
11183
11184 // Subregister 4 of Multireg dio_pad_sleep_regwen
11185 // R[dio_pad_sleep_regwen_4]: V(False)
11186
11187 prim_subreg #(
11188 .DW (1),
11189 .SWACCESS("W0C"),
11190 .RESVAL (1'h1)
11191 ) u_dio_pad_sleep_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011192 .clk_i (clk_i),
11193 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011194
11195 // from register interface
11196 .we (dio_pad_sleep_regwen_4_we),
11197 .wd (dio_pad_sleep_regwen_4_wd),
11198
11199 // from internal hardware
11200 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011201 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011202
11203 // to internal hardware
11204 .qe (),
11205 .q (),
11206
11207 // to register interface (read)
11208 .qs (dio_pad_sleep_regwen_4_qs)
11209 );
11210
11211 // Subregister 5 of Multireg dio_pad_sleep_regwen
11212 // R[dio_pad_sleep_regwen_5]: V(False)
11213
11214 prim_subreg #(
11215 .DW (1),
11216 .SWACCESS("W0C"),
11217 .RESVAL (1'h1)
11218 ) u_dio_pad_sleep_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011219 .clk_i (clk_i),
11220 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011221
11222 // from register interface
11223 .we (dio_pad_sleep_regwen_5_we),
11224 .wd (dio_pad_sleep_regwen_5_wd),
11225
11226 // from internal hardware
11227 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011228 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011229
11230 // to internal hardware
11231 .qe (),
11232 .q (),
11233
11234 // to register interface (read)
11235 .qs (dio_pad_sleep_regwen_5_qs)
11236 );
11237
11238 // Subregister 6 of Multireg dio_pad_sleep_regwen
11239 // R[dio_pad_sleep_regwen_6]: V(False)
11240
11241 prim_subreg #(
11242 .DW (1),
11243 .SWACCESS("W0C"),
11244 .RESVAL (1'h1)
11245 ) u_dio_pad_sleep_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011246 .clk_i (clk_i),
11247 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011248
11249 // from register interface
11250 .we (dio_pad_sleep_regwen_6_we),
11251 .wd (dio_pad_sleep_regwen_6_wd),
11252
11253 // from internal hardware
11254 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011255 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011256
11257 // to internal hardware
11258 .qe (),
11259 .q (),
11260
11261 // to register interface (read)
11262 .qs (dio_pad_sleep_regwen_6_qs)
11263 );
11264
11265 // Subregister 7 of Multireg dio_pad_sleep_regwen
11266 // R[dio_pad_sleep_regwen_7]: V(False)
11267
11268 prim_subreg #(
11269 .DW (1),
11270 .SWACCESS("W0C"),
11271 .RESVAL (1'h1)
11272 ) u_dio_pad_sleep_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011273 .clk_i (clk_i),
11274 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011275
11276 // from register interface
11277 .we (dio_pad_sleep_regwen_7_we),
11278 .wd (dio_pad_sleep_regwen_7_wd),
11279
11280 // from internal hardware
11281 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011282 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011283
11284 // to internal hardware
11285 .qe (),
11286 .q (),
11287
11288 // to register interface (read)
11289 .qs (dio_pad_sleep_regwen_7_qs)
11290 );
11291
11292 // Subregister 8 of Multireg dio_pad_sleep_regwen
11293 // R[dio_pad_sleep_regwen_8]: V(False)
11294
11295 prim_subreg #(
11296 .DW (1),
11297 .SWACCESS("W0C"),
11298 .RESVAL (1'h1)
11299 ) u_dio_pad_sleep_regwen_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011300 .clk_i (clk_i),
11301 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011302
11303 // from register interface
11304 .we (dio_pad_sleep_regwen_8_we),
11305 .wd (dio_pad_sleep_regwen_8_wd),
11306
11307 // from internal hardware
11308 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011309 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011310
11311 // to internal hardware
11312 .qe (),
11313 .q (),
11314
11315 // to register interface (read)
11316 .qs (dio_pad_sleep_regwen_8_qs)
11317 );
11318
11319 // Subregister 9 of Multireg dio_pad_sleep_regwen
11320 // R[dio_pad_sleep_regwen_9]: V(False)
11321
11322 prim_subreg #(
11323 .DW (1),
11324 .SWACCESS("W0C"),
11325 .RESVAL (1'h1)
11326 ) u_dio_pad_sleep_regwen_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011327 .clk_i (clk_i),
11328 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011329
11330 // from register interface
11331 .we (dio_pad_sleep_regwen_9_we),
11332 .wd (dio_pad_sleep_regwen_9_wd),
11333
11334 // from internal hardware
11335 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011336 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011337
11338 // to internal hardware
11339 .qe (),
11340 .q (),
11341
11342 // to register interface (read)
11343 .qs (dio_pad_sleep_regwen_9_qs)
11344 );
11345
11346 // Subregister 10 of Multireg dio_pad_sleep_regwen
11347 // R[dio_pad_sleep_regwen_10]: V(False)
11348
11349 prim_subreg #(
11350 .DW (1),
11351 .SWACCESS("W0C"),
11352 .RESVAL (1'h1)
11353 ) u_dio_pad_sleep_regwen_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011354 .clk_i (clk_i),
11355 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011356
11357 // from register interface
11358 .we (dio_pad_sleep_regwen_10_we),
11359 .wd (dio_pad_sleep_regwen_10_wd),
11360
11361 // from internal hardware
11362 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011363 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011364
11365 // to internal hardware
11366 .qe (),
11367 .q (),
11368
11369 // to register interface (read)
11370 .qs (dio_pad_sleep_regwen_10_qs)
11371 );
11372
11373 // Subregister 11 of Multireg dio_pad_sleep_regwen
11374 // R[dio_pad_sleep_regwen_11]: V(False)
11375
11376 prim_subreg #(
11377 .DW (1),
11378 .SWACCESS("W0C"),
11379 .RESVAL (1'h1)
11380 ) u_dio_pad_sleep_regwen_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011381 .clk_i (clk_i),
11382 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011383
11384 // from register interface
11385 .we (dio_pad_sleep_regwen_11_we),
11386 .wd (dio_pad_sleep_regwen_11_wd),
11387
11388 // from internal hardware
11389 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011390 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011391
11392 // to internal hardware
11393 .qe (),
11394 .q (),
11395
11396 // to register interface (read)
11397 .qs (dio_pad_sleep_regwen_11_qs)
11398 );
11399
11400 // Subregister 12 of Multireg dio_pad_sleep_regwen
11401 // R[dio_pad_sleep_regwen_12]: V(False)
11402
11403 prim_subreg #(
11404 .DW (1),
11405 .SWACCESS("W0C"),
11406 .RESVAL (1'h1)
11407 ) u_dio_pad_sleep_regwen_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011408 .clk_i (clk_i),
11409 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011410
11411 // from register interface
11412 .we (dio_pad_sleep_regwen_12_we),
11413 .wd (dio_pad_sleep_regwen_12_wd),
11414
11415 // from internal hardware
11416 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011417 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011418
11419 // to internal hardware
11420 .qe (),
11421 .q (),
11422
11423 // to register interface (read)
11424 .qs (dio_pad_sleep_regwen_12_qs)
11425 );
11426
11427 // Subregister 13 of Multireg dio_pad_sleep_regwen
11428 // R[dio_pad_sleep_regwen_13]: V(False)
11429
11430 prim_subreg #(
11431 .DW (1),
11432 .SWACCESS("W0C"),
11433 .RESVAL (1'h1)
11434 ) u_dio_pad_sleep_regwen_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011435 .clk_i (clk_i),
11436 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011437
11438 // from register interface
11439 .we (dio_pad_sleep_regwen_13_we),
11440 .wd (dio_pad_sleep_regwen_13_wd),
11441
11442 // from internal hardware
11443 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011444 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011445
11446 // to internal hardware
11447 .qe (),
11448 .q (),
11449
11450 // to register interface (read)
11451 .qs (dio_pad_sleep_regwen_13_qs)
11452 );
11453
11454 // Subregister 14 of Multireg dio_pad_sleep_regwen
11455 // R[dio_pad_sleep_regwen_14]: V(False)
11456
11457 prim_subreg #(
11458 .DW (1),
11459 .SWACCESS("W0C"),
11460 .RESVAL (1'h1)
11461 ) u_dio_pad_sleep_regwen_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011462 .clk_i (clk_i),
11463 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011464
11465 // from register interface
11466 .we (dio_pad_sleep_regwen_14_we),
11467 .wd (dio_pad_sleep_regwen_14_wd),
11468
11469 // from internal hardware
11470 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011471 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011472
11473 // to internal hardware
11474 .qe (),
11475 .q (),
11476
11477 // to register interface (read)
11478 .qs (dio_pad_sleep_regwen_14_qs)
11479 );
11480
11481 // Subregister 15 of Multireg dio_pad_sleep_regwen
11482 // R[dio_pad_sleep_regwen_15]: V(False)
11483
11484 prim_subreg #(
11485 .DW (1),
11486 .SWACCESS("W0C"),
11487 .RESVAL (1'h1)
11488 ) u_dio_pad_sleep_regwen_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011489 .clk_i (clk_i),
11490 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011491
11492 // from register interface
11493 .we (dio_pad_sleep_regwen_15_we),
11494 .wd (dio_pad_sleep_regwen_15_wd),
11495
11496 // from internal hardware
11497 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011498 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011499
11500 // to internal hardware
11501 .qe (),
11502 .q (),
11503
11504 // to register interface (read)
11505 .qs (dio_pad_sleep_regwen_15_qs)
11506 );
11507
11508
11509
11510 // Subregister 0 of Multireg dio_pad_sleep_en
11511 // R[dio_pad_sleep_en_0]: V(False)
11512
11513 prim_subreg #(
11514 .DW (1),
11515 .SWACCESS("RW"),
11516 .RESVAL (1'h0)
11517 ) u_dio_pad_sleep_en_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011518 .clk_i (clk_i),
11519 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011520
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011521 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011522 .we (dio_pad_sleep_en_0_we & dio_pad_sleep_regwen_0_qs),
11523 .wd (dio_pad_sleep_en_0_wd),
11524
11525 // from internal hardware
11526 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011527 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011528
11529 // to internal hardware
11530 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011531 .q (reg2hw.dio_pad_sleep_en[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011532
11533 // to register interface (read)
11534 .qs (dio_pad_sleep_en_0_qs)
11535 );
11536
11537 // Subregister 1 of Multireg dio_pad_sleep_en
11538 // R[dio_pad_sleep_en_1]: V(False)
11539
11540 prim_subreg #(
11541 .DW (1),
11542 .SWACCESS("RW"),
11543 .RESVAL (1'h0)
11544 ) u_dio_pad_sleep_en_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011545 .clk_i (clk_i),
11546 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011547
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011548 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011549 .we (dio_pad_sleep_en_1_we & dio_pad_sleep_regwen_1_qs),
11550 .wd (dio_pad_sleep_en_1_wd),
11551
11552 // from internal hardware
11553 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011554 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011555
11556 // to internal hardware
11557 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011558 .q (reg2hw.dio_pad_sleep_en[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011559
11560 // to register interface (read)
11561 .qs (dio_pad_sleep_en_1_qs)
11562 );
11563
11564 // Subregister 2 of Multireg dio_pad_sleep_en
11565 // R[dio_pad_sleep_en_2]: V(False)
11566
11567 prim_subreg #(
11568 .DW (1),
11569 .SWACCESS("RW"),
11570 .RESVAL (1'h0)
11571 ) u_dio_pad_sleep_en_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011572 .clk_i (clk_i),
11573 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011574
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011575 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011576 .we (dio_pad_sleep_en_2_we & dio_pad_sleep_regwen_2_qs),
11577 .wd (dio_pad_sleep_en_2_wd),
11578
11579 // from internal hardware
11580 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011581 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011582
11583 // to internal hardware
11584 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011585 .q (reg2hw.dio_pad_sleep_en[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011586
11587 // to register interface (read)
11588 .qs (dio_pad_sleep_en_2_qs)
11589 );
11590
11591 // Subregister 3 of Multireg dio_pad_sleep_en
11592 // R[dio_pad_sleep_en_3]: V(False)
11593
11594 prim_subreg #(
11595 .DW (1),
11596 .SWACCESS("RW"),
11597 .RESVAL (1'h0)
11598 ) u_dio_pad_sleep_en_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011599 .clk_i (clk_i),
11600 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011601
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011602 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011603 .we (dio_pad_sleep_en_3_we & dio_pad_sleep_regwen_3_qs),
11604 .wd (dio_pad_sleep_en_3_wd),
11605
11606 // from internal hardware
11607 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011608 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011609
11610 // to internal hardware
11611 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011612 .q (reg2hw.dio_pad_sleep_en[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011613
11614 // to register interface (read)
11615 .qs (dio_pad_sleep_en_3_qs)
11616 );
11617
11618 // Subregister 4 of Multireg dio_pad_sleep_en
11619 // R[dio_pad_sleep_en_4]: V(False)
11620
11621 prim_subreg #(
11622 .DW (1),
11623 .SWACCESS("RW"),
11624 .RESVAL (1'h0)
11625 ) u_dio_pad_sleep_en_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011626 .clk_i (clk_i),
11627 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011628
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011629 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011630 .we (dio_pad_sleep_en_4_we & dio_pad_sleep_regwen_4_qs),
11631 .wd (dio_pad_sleep_en_4_wd),
11632
11633 // from internal hardware
11634 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011635 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011636
11637 // to internal hardware
11638 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011639 .q (reg2hw.dio_pad_sleep_en[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011640
11641 // to register interface (read)
11642 .qs (dio_pad_sleep_en_4_qs)
11643 );
11644
11645 // Subregister 5 of Multireg dio_pad_sleep_en
11646 // R[dio_pad_sleep_en_5]: V(False)
11647
11648 prim_subreg #(
11649 .DW (1),
11650 .SWACCESS("RW"),
11651 .RESVAL (1'h0)
11652 ) u_dio_pad_sleep_en_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011653 .clk_i (clk_i),
11654 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011655
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011656 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011657 .we (dio_pad_sleep_en_5_we & dio_pad_sleep_regwen_5_qs),
11658 .wd (dio_pad_sleep_en_5_wd),
11659
11660 // from internal hardware
11661 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011662 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011663
11664 // to internal hardware
11665 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011666 .q (reg2hw.dio_pad_sleep_en[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011667
11668 // to register interface (read)
11669 .qs (dio_pad_sleep_en_5_qs)
11670 );
11671
11672 // Subregister 6 of Multireg dio_pad_sleep_en
11673 // R[dio_pad_sleep_en_6]: V(False)
11674
11675 prim_subreg #(
11676 .DW (1),
11677 .SWACCESS("RW"),
11678 .RESVAL (1'h0)
11679 ) u_dio_pad_sleep_en_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011680 .clk_i (clk_i),
11681 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011682
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011683 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011684 .we (dio_pad_sleep_en_6_we & dio_pad_sleep_regwen_6_qs),
11685 .wd (dio_pad_sleep_en_6_wd),
11686
11687 // from internal hardware
11688 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011689 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011690
11691 // to internal hardware
11692 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011693 .q (reg2hw.dio_pad_sleep_en[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011694
11695 // to register interface (read)
11696 .qs (dio_pad_sleep_en_6_qs)
11697 );
11698
11699 // Subregister 7 of Multireg dio_pad_sleep_en
11700 // R[dio_pad_sleep_en_7]: V(False)
11701
11702 prim_subreg #(
11703 .DW (1),
11704 .SWACCESS("RW"),
11705 .RESVAL (1'h0)
11706 ) u_dio_pad_sleep_en_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011707 .clk_i (clk_i),
11708 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011709
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011710 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011711 .we (dio_pad_sleep_en_7_we & dio_pad_sleep_regwen_7_qs),
11712 .wd (dio_pad_sleep_en_7_wd),
11713
11714 // from internal hardware
11715 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011716 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011717
11718 // to internal hardware
11719 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011720 .q (reg2hw.dio_pad_sleep_en[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011721
11722 // to register interface (read)
11723 .qs (dio_pad_sleep_en_7_qs)
11724 );
11725
11726 // Subregister 8 of Multireg dio_pad_sleep_en
11727 // R[dio_pad_sleep_en_8]: V(False)
11728
11729 prim_subreg #(
11730 .DW (1),
11731 .SWACCESS("RW"),
11732 .RESVAL (1'h0)
11733 ) u_dio_pad_sleep_en_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011734 .clk_i (clk_i),
11735 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011736
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011737 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011738 .we (dio_pad_sleep_en_8_we & dio_pad_sleep_regwen_8_qs),
11739 .wd (dio_pad_sleep_en_8_wd),
11740
11741 // from internal hardware
11742 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011743 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011744
11745 // to internal hardware
11746 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011747 .q (reg2hw.dio_pad_sleep_en[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011748
11749 // to register interface (read)
11750 .qs (dio_pad_sleep_en_8_qs)
11751 );
11752
11753 // Subregister 9 of Multireg dio_pad_sleep_en
11754 // R[dio_pad_sleep_en_9]: V(False)
11755
11756 prim_subreg #(
11757 .DW (1),
11758 .SWACCESS("RW"),
11759 .RESVAL (1'h0)
11760 ) u_dio_pad_sleep_en_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011761 .clk_i (clk_i),
11762 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011763
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011764 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011765 .we (dio_pad_sleep_en_9_we & dio_pad_sleep_regwen_9_qs),
11766 .wd (dio_pad_sleep_en_9_wd),
11767
11768 // from internal hardware
11769 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011770 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011771
11772 // to internal hardware
11773 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011774 .q (reg2hw.dio_pad_sleep_en[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011775
11776 // to register interface (read)
11777 .qs (dio_pad_sleep_en_9_qs)
11778 );
11779
11780 // Subregister 10 of Multireg dio_pad_sleep_en
11781 // R[dio_pad_sleep_en_10]: V(False)
11782
11783 prim_subreg #(
11784 .DW (1),
11785 .SWACCESS("RW"),
11786 .RESVAL (1'h0)
11787 ) u_dio_pad_sleep_en_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011788 .clk_i (clk_i),
11789 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011790
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011791 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011792 .we (dio_pad_sleep_en_10_we & dio_pad_sleep_regwen_10_qs),
11793 .wd (dio_pad_sleep_en_10_wd),
11794
11795 // from internal hardware
11796 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011797 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011798
11799 // to internal hardware
11800 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011801 .q (reg2hw.dio_pad_sleep_en[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011802
11803 // to register interface (read)
11804 .qs (dio_pad_sleep_en_10_qs)
11805 );
11806
11807 // Subregister 11 of Multireg dio_pad_sleep_en
11808 // R[dio_pad_sleep_en_11]: V(False)
11809
11810 prim_subreg #(
11811 .DW (1),
11812 .SWACCESS("RW"),
11813 .RESVAL (1'h0)
11814 ) u_dio_pad_sleep_en_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011815 .clk_i (clk_i),
11816 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011817
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011818 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011819 .we (dio_pad_sleep_en_11_we & dio_pad_sleep_regwen_11_qs),
11820 .wd (dio_pad_sleep_en_11_wd),
11821
11822 // from internal hardware
11823 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011824 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011825
11826 // to internal hardware
11827 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011828 .q (reg2hw.dio_pad_sleep_en[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011829
11830 // to register interface (read)
11831 .qs (dio_pad_sleep_en_11_qs)
11832 );
11833
11834 // Subregister 12 of Multireg dio_pad_sleep_en
11835 // R[dio_pad_sleep_en_12]: V(False)
11836
11837 prim_subreg #(
11838 .DW (1),
11839 .SWACCESS("RW"),
11840 .RESVAL (1'h0)
11841 ) u_dio_pad_sleep_en_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011842 .clk_i (clk_i),
11843 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011844
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011845 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011846 .we (dio_pad_sleep_en_12_we & dio_pad_sleep_regwen_12_qs),
11847 .wd (dio_pad_sleep_en_12_wd),
11848
11849 // from internal hardware
11850 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011851 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011852
11853 // to internal hardware
11854 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011855 .q (reg2hw.dio_pad_sleep_en[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011856
11857 // to register interface (read)
11858 .qs (dio_pad_sleep_en_12_qs)
11859 );
11860
11861 // Subregister 13 of Multireg dio_pad_sleep_en
11862 // R[dio_pad_sleep_en_13]: V(False)
11863
11864 prim_subreg #(
11865 .DW (1),
11866 .SWACCESS("RW"),
11867 .RESVAL (1'h0)
11868 ) u_dio_pad_sleep_en_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011869 .clk_i (clk_i),
11870 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011871
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011872 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011873 .we (dio_pad_sleep_en_13_we & dio_pad_sleep_regwen_13_qs),
11874 .wd (dio_pad_sleep_en_13_wd),
11875
11876 // from internal hardware
11877 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011878 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011879
11880 // to internal hardware
11881 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011882 .q (reg2hw.dio_pad_sleep_en[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011883
11884 // to register interface (read)
11885 .qs (dio_pad_sleep_en_13_qs)
11886 );
11887
11888 // Subregister 14 of Multireg dio_pad_sleep_en
11889 // R[dio_pad_sleep_en_14]: V(False)
11890
11891 prim_subreg #(
11892 .DW (1),
11893 .SWACCESS("RW"),
11894 .RESVAL (1'h0)
11895 ) u_dio_pad_sleep_en_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011896 .clk_i (clk_i),
11897 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011898
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011899 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011900 .we (dio_pad_sleep_en_14_we & dio_pad_sleep_regwen_14_qs),
11901 .wd (dio_pad_sleep_en_14_wd),
11902
11903 // from internal hardware
11904 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011905 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011906
11907 // to internal hardware
11908 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011909 .q (reg2hw.dio_pad_sleep_en[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011910
11911 // to register interface (read)
11912 .qs (dio_pad_sleep_en_14_qs)
11913 );
11914
11915 // Subregister 15 of Multireg dio_pad_sleep_en
11916 // R[dio_pad_sleep_en_15]: V(False)
11917
11918 prim_subreg #(
11919 .DW (1),
11920 .SWACCESS("RW"),
11921 .RESVAL (1'h0)
11922 ) u_dio_pad_sleep_en_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011923 .clk_i (clk_i),
11924 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011925
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011926 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011927 .we (dio_pad_sleep_en_15_we & dio_pad_sleep_regwen_15_qs),
11928 .wd (dio_pad_sleep_en_15_wd),
11929
11930 // from internal hardware
11931 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011932 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011933
11934 // to internal hardware
11935 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011936 .q (reg2hw.dio_pad_sleep_en[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011937
11938 // to register interface (read)
11939 .qs (dio_pad_sleep_en_15_qs)
11940 );
11941
11942
11943
11944 // Subregister 0 of Multireg dio_pad_sleep_mode
11945 // R[dio_pad_sleep_mode_0]: V(False)
11946
11947 prim_subreg #(
11948 .DW (2),
11949 .SWACCESS("RW"),
11950 .RESVAL (2'h2)
11951 ) u_dio_pad_sleep_mode_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011952 .clk_i (clk_i),
11953 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011954
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011955 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011956 .we (dio_pad_sleep_mode_0_we & dio_pad_sleep_regwen_0_qs),
11957 .wd (dio_pad_sleep_mode_0_wd),
11958
11959 // from internal hardware
11960 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011961 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011962
11963 // to internal hardware
11964 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011965 .q (reg2hw.dio_pad_sleep_mode[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011966
11967 // to register interface (read)
11968 .qs (dio_pad_sleep_mode_0_qs)
11969 );
11970
11971 // Subregister 1 of Multireg dio_pad_sleep_mode
11972 // R[dio_pad_sleep_mode_1]: V(False)
11973
11974 prim_subreg #(
11975 .DW (2),
11976 .SWACCESS("RW"),
11977 .RESVAL (2'h2)
11978 ) u_dio_pad_sleep_mode_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011979 .clk_i (clk_i),
11980 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011981
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011982 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011983 .we (dio_pad_sleep_mode_1_we & dio_pad_sleep_regwen_1_qs),
11984 .wd (dio_pad_sleep_mode_1_wd),
11985
11986 // from internal hardware
11987 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011988 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011989
11990 // to internal hardware
11991 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011992 .q (reg2hw.dio_pad_sleep_mode[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011993
11994 // to register interface (read)
11995 .qs (dio_pad_sleep_mode_1_qs)
11996 );
11997
11998 // Subregister 2 of Multireg dio_pad_sleep_mode
11999 // R[dio_pad_sleep_mode_2]: V(False)
12000
12001 prim_subreg #(
12002 .DW (2),
12003 .SWACCESS("RW"),
12004 .RESVAL (2'h2)
12005 ) u_dio_pad_sleep_mode_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012006 .clk_i (clk_i),
12007 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012008
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012009 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012010 .we (dio_pad_sleep_mode_2_we & dio_pad_sleep_regwen_2_qs),
12011 .wd (dio_pad_sleep_mode_2_wd),
12012
12013 // from internal hardware
12014 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012015 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012016
12017 // to internal hardware
12018 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012019 .q (reg2hw.dio_pad_sleep_mode[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012020
12021 // to register interface (read)
12022 .qs (dio_pad_sleep_mode_2_qs)
12023 );
12024
12025 // Subregister 3 of Multireg dio_pad_sleep_mode
12026 // R[dio_pad_sleep_mode_3]: V(False)
12027
12028 prim_subreg #(
12029 .DW (2),
12030 .SWACCESS("RW"),
12031 .RESVAL (2'h2)
12032 ) u_dio_pad_sleep_mode_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012033 .clk_i (clk_i),
12034 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012035
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012036 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012037 .we (dio_pad_sleep_mode_3_we & dio_pad_sleep_regwen_3_qs),
12038 .wd (dio_pad_sleep_mode_3_wd),
12039
12040 // from internal hardware
12041 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012042 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012043
12044 // to internal hardware
12045 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012046 .q (reg2hw.dio_pad_sleep_mode[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012047
12048 // to register interface (read)
12049 .qs (dio_pad_sleep_mode_3_qs)
12050 );
12051
12052 // Subregister 4 of Multireg dio_pad_sleep_mode
12053 // R[dio_pad_sleep_mode_4]: V(False)
12054
12055 prim_subreg #(
12056 .DW (2),
12057 .SWACCESS("RW"),
12058 .RESVAL (2'h2)
12059 ) u_dio_pad_sleep_mode_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012060 .clk_i (clk_i),
12061 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012062
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012063 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012064 .we (dio_pad_sleep_mode_4_we & dio_pad_sleep_regwen_4_qs),
12065 .wd (dio_pad_sleep_mode_4_wd),
12066
12067 // from internal hardware
12068 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012069 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012070
12071 // to internal hardware
12072 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012073 .q (reg2hw.dio_pad_sleep_mode[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012074
12075 // to register interface (read)
12076 .qs (dio_pad_sleep_mode_4_qs)
12077 );
12078
12079 // Subregister 5 of Multireg dio_pad_sleep_mode
12080 // R[dio_pad_sleep_mode_5]: V(False)
12081
12082 prim_subreg #(
12083 .DW (2),
12084 .SWACCESS("RW"),
12085 .RESVAL (2'h2)
12086 ) u_dio_pad_sleep_mode_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012087 .clk_i (clk_i),
12088 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012089
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012090 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012091 .we (dio_pad_sleep_mode_5_we & dio_pad_sleep_regwen_5_qs),
12092 .wd (dio_pad_sleep_mode_5_wd),
12093
12094 // from internal hardware
12095 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012096 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012097
12098 // to internal hardware
12099 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012100 .q (reg2hw.dio_pad_sleep_mode[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012101
12102 // to register interface (read)
12103 .qs (dio_pad_sleep_mode_5_qs)
12104 );
12105
12106 // Subregister 6 of Multireg dio_pad_sleep_mode
12107 // R[dio_pad_sleep_mode_6]: V(False)
12108
12109 prim_subreg #(
12110 .DW (2),
12111 .SWACCESS("RW"),
12112 .RESVAL (2'h2)
12113 ) u_dio_pad_sleep_mode_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012114 .clk_i (clk_i),
12115 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012116
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012117 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012118 .we (dio_pad_sleep_mode_6_we & dio_pad_sleep_regwen_6_qs),
12119 .wd (dio_pad_sleep_mode_6_wd),
12120
12121 // from internal hardware
12122 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012123 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012124
12125 // to internal hardware
12126 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012127 .q (reg2hw.dio_pad_sleep_mode[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012128
12129 // to register interface (read)
12130 .qs (dio_pad_sleep_mode_6_qs)
12131 );
12132
12133 // Subregister 7 of Multireg dio_pad_sleep_mode
12134 // R[dio_pad_sleep_mode_7]: V(False)
12135
12136 prim_subreg #(
12137 .DW (2),
12138 .SWACCESS("RW"),
12139 .RESVAL (2'h2)
12140 ) u_dio_pad_sleep_mode_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012141 .clk_i (clk_i),
12142 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012143
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012144 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012145 .we (dio_pad_sleep_mode_7_we & dio_pad_sleep_regwen_7_qs),
12146 .wd (dio_pad_sleep_mode_7_wd),
12147
12148 // from internal hardware
12149 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012150 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012151
12152 // to internal hardware
12153 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012154 .q (reg2hw.dio_pad_sleep_mode[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012155
12156 // to register interface (read)
12157 .qs (dio_pad_sleep_mode_7_qs)
12158 );
12159
12160 // Subregister 8 of Multireg dio_pad_sleep_mode
12161 // R[dio_pad_sleep_mode_8]: V(False)
12162
12163 prim_subreg #(
12164 .DW (2),
12165 .SWACCESS("RW"),
12166 .RESVAL (2'h2)
12167 ) u_dio_pad_sleep_mode_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012168 .clk_i (clk_i),
12169 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012170
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012171 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012172 .we (dio_pad_sleep_mode_8_we & dio_pad_sleep_regwen_8_qs),
12173 .wd (dio_pad_sleep_mode_8_wd),
12174
12175 // from internal hardware
12176 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012177 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012178
12179 // to internal hardware
12180 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012181 .q (reg2hw.dio_pad_sleep_mode[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012182
12183 // to register interface (read)
12184 .qs (dio_pad_sleep_mode_8_qs)
12185 );
12186
12187 // Subregister 9 of Multireg dio_pad_sleep_mode
12188 // R[dio_pad_sleep_mode_9]: V(False)
12189
12190 prim_subreg #(
12191 .DW (2),
12192 .SWACCESS("RW"),
12193 .RESVAL (2'h2)
12194 ) u_dio_pad_sleep_mode_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012195 .clk_i (clk_i),
12196 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012197
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012198 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012199 .we (dio_pad_sleep_mode_9_we & dio_pad_sleep_regwen_9_qs),
12200 .wd (dio_pad_sleep_mode_9_wd),
12201
12202 // from internal hardware
12203 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012204 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012205
12206 // to internal hardware
12207 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012208 .q (reg2hw.dio_pad_sleep_mode[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012209
12210 // to register interface (read)
12211 .qs (dio_pad_sleep_mode_9_qs)
12212 );
12213
12214 // Subregister 10 of Multireg dio_pad_sleep_mode
12215 // R[dio_pad_sleep_mode_10]: V(False)
12216
12217 prim_subreg #(
12218 .DW (2),
12219 .SWACCESS("RW"),
12220 .RESVAL (2'h2)
12221 ) u_dio_pad_sleep_mode_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012222 .clk_i (clk_i),
12223 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012224
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012225 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012226 .we (dio_pad_sleep_mode_10_we & dio_pad_sleep_regwen_10_qs),
12227 .wd (dio_pad_sleep_mode_10_wd),
12228
12229 // from internal hardware
12230 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012231 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012232
12233 // to internal hardware
12234 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012235 .q (reg2hw.dio_pad_sleep_mode[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012236
12237 // to register interface (read)
12238 .qs (dio_pad_sleep_mode_10_qs)
12239 );
12240
12241 // Subregister 11 of Multireg dio_pad_sleep_mode
12242 // R[dio_pad_sleep_mode_11]: V(False)
12243
12244 prim_subreg #(
12245 .DW (2),
12246 .SWACCESS("RW"),
12247 .RESVAL (2'h2)
12248 ) u_dio_pad_sleep_mode_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012249 .clk_i (clk_i),
12250 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012251
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012252 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012253 .we (dio_pad_sleep_mode_11_we & dio_pad_sleep_regwen_11_qs),
12254 .wd (dio_pad_sleep_mode_11_wd),
12255
12256 // from internal hardware
12257 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012258 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012259
12260 // to internal hardware
12261 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012262 .q (reg2hw.dio_pad_sleep_mode[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012263
12264 // to register interface (read)
12265 .qs (dio_pad_sleep_mode_11_qs)
12266 );
12267
12268 // Subregister 12 of Multireg dio_pad_sleep_mode
12269 // R[dio_pad_sleep_mode_12]: V(False)
12270
12271 prim_subreg #(
12272 .DW (2),
12273 .SWACCESS("RW"),
12274 .RESVAL (2'h2)
12275 ) u_dio_pad_sleep_mode_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012276 .clk_i (clk_i),
12277 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012278
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012279 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012280 .we (dio_pad_sleep_mode_12_we & dio_pad_sleep_regwen_12_qs),
12281 .wd (dio_pad_sleep_mode_12_wd),
12282
12283 // from internal hardware
12284 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012285 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012286
12287 // to internal hardware
12288 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012289 .q (reg2hw.dio_pad_sleep_mode[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012290
12291 // to register interface (read)
12292 .qs (dio_pad_sleep_mode_12_qs)
12293 );
12294
12295 // Subregister 13 of Multireg dio_pad_sleep_mode
12296 // R[dio_pad_sleep_mode_13]: V(False)
12297
12298 prim_subreg #(
12299 .DW (2),
12300 .SWACCESS("RW"),
12301 .RESVAL (2'h2)
12302 ) u_dio_pad_sleep_mode_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012303 .clk_i (clk_i),
12304 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012305
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012306 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012307 .we (dio_pad_sleep_mode_13_we & dio_pad_sleep_regwen_13_qs),
12308 .wd (dio_pad_sleep_mode_13_wd),
12309
12310 // from internal hardware
12311 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012312 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012313
12314 // to internal hardware
12315 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012316 .q (reg2hw.dio_pad_sleep_mode[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012317
12318 // to register interface (read)
12319 .qs (dio_pad_sleep_mode_13_qs)
12320 );
12321
12322 // Subregister 14 of Multireg dio_pad_sleep_mode
12323 // R[dio_pad_sleep_mode_14]: V(False)
12324
12325 prim_subreg #(
12326 .DW (2),
12327 .SWACCESS("RW"),
12328 .RESVAL (2'h2)
12329 ) u_dio_pad_sleep_mode_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012330 .clk_i (clk_i),
12331 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012332
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012333 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012334 .we (dio_pad_sleep_mode_14_we & dio_pad_sleep_regwen_14_qs),
12335 .wd (dio_pad_sleep_mode_14_wd),
12336
12337 // from internal hardware
12338 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012339 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012340
12341 // to internal hardware
12342 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012343 .q (reg2hw.dio_pad_sleep_mode[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012344
12345 // to register interface (read)
12346 .qs (dio_pad_sleep_mode_14_qs)
12347 );
12348
12349 // Subregister 15 of Multireg dio_pad_sleep_mode
12350 // R[dio_pad_sleep_mode_15]: V(False)
12351
12352 prim_subreg #(
12353 .DW (2),
12354 .SWACCESS("RW"),
12355 .RESVAL (2'h2)
12356 ) u_dio_pad_sleep_mode_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012357 .clk_i (clk_i),
12358 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012359
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012360 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012361 .we (dio_pad_sleep_mode_15_we & dio_pad_sleep_regwen_15_qs),
12362 .wd (dio_pad_sleep_mode_15_wd),
12363
12364 // from internal hardware
12365 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012366 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012367
12368 // to internal hardware
12369 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012370 .q (reg2hw.dio_pad_sleep_mode[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012371
12372 // to register interface (read)
12373 .qs (dio_pad_sleep_mode_15_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012374 );
12375
12376
12377
Michael Schaffner06fdb112021-02-10 16:52:56 -080012378 // Subregister 0 of Multireg wkup_detector_regwen
12379 // R[wkup_detector_regwen_0]: V(False)
12380
12381 prim_subreg #(
12382 .DW (1),
12383 .SWACCESS("W0C"),
12384 .RESVAL (1'h1)
12385 ) u_wkup_detector_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012386 .clk_i (clk_i),
12387 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012388
12389 // from register interface
12390 .we (wkup_detector_regwen_0_we),
12391 .wd (wkup_detector_regwen_0_wd),
12392
12393 // from internal hardware
12394 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012395 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012396
12397 // to internal hardware
12398 .qe (),
12399 .q (),
12400
12401 // to register interface (read)
12402 .qs (wkup_detector_regwen_0_qs)
12403 );
12404
12405 // Subregister 1 of Multireg wkup_detector_regwen
12406 // R[wkup_detector_regwen_1]: V(False)
12407
12408 prim_subreg #(
12409 .DW (1),
12410 .SWACCESS("W0C"),
12411 .RESVAL (1'h1)
12412 ) u_wkup_detector_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012413 .clk_i (clk_i),
12414 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012415
12416 // from register interface
12417 .we (wkup_detector_regwen_1_we),
12418 .wd (wkup_detector_regwen_1_wd),
12419
12420 // from internal hardware
12421 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012422 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012423
12424 // to internal hardware
12425 .qe (),
12426 .q (),
12427
12428 // to register interface (read)
12429 .qs (wkup_detector_regwen_1_qs)
12430 );
12431
12432 // Subregister 2 of Multireg wkup_detector_regwen
12433 // R[wkup_detector_regwen_2]: V(False)
12434
12435 prim_subreg #(
12436 .DW (1),
12437 .SWACCESS("W0C"),
12438 .RESVAL (1'h1)
12439 ) u_wkup_detector_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012440 .clk_i (clk_i),
12441 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012442
12443 // from register interface
12444 .we (wkup_detector_regwen_2_we),
12445 .wd (wkup_detector_regwen_2_wd),
12446
12447 // from internal hardware
12448 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012449 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012450
12451 // to internal hardware
12452 .qe (),
12453 .q (),
12454
12455 // to register interface (read)
12456 .qs (wkup_detector_regwen_2_qs)
12457 );
12458
12459 // Subregister 3 of Multireg wkup_detector_regwen
12460 // R[wkup_detector_regwen_3]: V(False)
12461
12462 prim_subreg #(
12463 .DW (1),
12464 .SWACCESS("W0C"),
12465 .RESVAL (1'h1)
12466 ) u_wkup_detector_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012467 .clk_i (clk_i),
12468 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012469
12470 // from register interface
12471 .we (wkup_detector_regwen_3_we),
12472 .wd (wkup_detector_regwen_3_wd),
12473
12474 // from internal hardware
12475 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012476 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012477
12478 // to internal hardware
12479 .qe (),
12480 .q (),
12481
12482 // to register interface (read)
12483 .qs (wkup_detector_regwen_3_qs)
12484 );
12485
12486 // Subregister 4 of Multireg wkup_detector_regwen
12487 // R[wkup_detector_regwen_4]: V(False)
12488
12489 prim_subreg #(
12490 .DW (1),
12491 .SWACCESS("W0C"),
12492 .RESVAL (1'h1)
12493 ) u_wkup_detector_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012494 .clk_i (clk_i),
12495 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012496
12497 // from register interface
12498 .we (wkup_detector_regwen_4_we),
12499 .wd (wkup_detector_regwen_4_wd),
12500
12501 // from internal hardware
12502 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012503 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012504
12505 // to internal hardware
12506 .qe (),
12507 .q (),
12508
12509 // to register interface (read)
12510 .qs (wkup_detector_regwen_4_qs)
12511 );
12512
12513 // Subregister 5 of Multireg wkup_detector_regwen
12514 // R[wkup_detector_regwen_5]: V(False)
12515
12516 prim_subreg #(
12517 .DW (1),
12518 .SWACCESS("W0C"),
12519 .RESVAL (1'h1)
12520 ) u_wkup_detector_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012521 .clk_i (clk_i),
12522 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012523
12524 // from register interface
12525 .we (wkup_detector_regwen_5_we),
12526 .wd (wkup_detector_regwen_5_wd),
12527
12528 // from internal hardware
12529 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012530 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012531
12532 // to internal hardware
12533 .qe (),
12534 .q (),
12535
12536 // to register interface (read)
12537 .qs (wkup_detector_regwen_5_qs)
12538 );
12539
12540 // Subregister 6 of Multireg wkup_detector_regwen
12541 // R[wkup_detector_regwen_6]: V(False)
12542
12543 prim_subreg #(
12544 .DW (1),
12545 .SWACCESS("W0C"),
12546 .RESVAL (1'h1)
12547 ) u_wkup_detector_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012548 .clk_i (clk_i),
12549 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012550
12551 // from register interface
12552 .we (wkup_detector_regwen_6_we),
12553 .wd (wkup_detector_regwen_6_wd),
12554
12555 // from internal hardware
12556 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012557 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012558
12559 // to internal hardware
12560 .qe (),
12561 .q (),
12562
12563 // to register interface (read)
12564 .qs (wkup_detector_regwen_6_qs)
12565 );
12566
12567 // Subregister 7 of Multireg wkup_detector_regwen
12568 // R[wkup_detector_regwen_7]: V(False)
12569
12570 prim_subreg #(
12571 .DW (1),
12572 .SWACCESS("W0C"),
12573 .RESVAL (1'h1)
12574 ) u_wkup_detector_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012575 .clk_i (clk_i),
12576 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012577
12578 // from register interface
12579 .we (wkup_detector_regwen_7_we),
12580 .wd (wkup_detector_regwen_7_wd),
12581
12582 // from internal hardware
12583 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012584 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012585
12586 // to internal hardware
12587 .qe (),
12588 .q (),
12589
12590 // to register interface (read)
12591 .qs (wkup_detector_regwen_7_qs)
12592 );
12593
12594
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012595
12596 // Subregister 0 of Multireg wkup_detector_en
Michael Schaffner06fdb112021-02-10 16:52:56 -080012597 // R[wkup_detector_en_0]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012598
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012599 prim_subreg #(
12600 .DW (1),
12601 .SWACCESS("RW"),
12602 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012603 ) u_wkup_detector_en_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012604 .clk_i (clk_i),
12605 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012606
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012607 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012608 .we (wkup_detector_en_0_we & wkup_detector_regwen_0_qs),
12609 .wd (wkup_detector_en_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012610
12611 // from internal hardware
12612 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012613 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012614
12615 // to internal hardware
12616 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012617 .q (reg2hw.wkup_detector_en[0].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012618
12619 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012620 .qs (wkup_detector_en_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012621 );
12622
Michael Schaffner06fdb112021-02-10 16:52:56 -080012623 // Subregister 1 of Multireg wkup_detector_en
12624 // R[wkup_detector_en_1]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012625
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012626 prim_subreg #(
12627 .DW (1),
12628 .SWACCESS("RW"),
12629 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012630 ) u_wkup_detector_en_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012631 .clk_i (clk_i),
12632 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012633
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012634 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012635 .we (wkup_detector_en_1_we & wkup_detector_regwen_1_qs),
12636 .wd (wkup_detector_en_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012637
12638 // from internal hardware
12639 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012640 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012641
12642 // to internal hardware
12643 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012644 .q (reg2hw.wkup_detector_en[1].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012645
12646 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012647 .qs (wkup_detector_en_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012648 );
12649
Michael Schaffner06fdb112021-02-10 16:52:56 -080012650 // Subregister 2 of Multireg wkup_detector_en
12651 // R[wkup_detector_en_2]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012652
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012653 prim_subreg #(
12654 .DW (1),
12655 .SWACCESS("RW"),
12656 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012657 ) u_wkup_detector_en_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012658 .clk_i (clk_i),
12659 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012660
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012661 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012662 .we (wkup_detector_en_2_we & wkup_detector_regwen_2_qs),
12663 .wd (wkup_detector_en_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012664
12665 // from internal hardware
12666 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012667 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012668
12669 // to internal hardware
12670 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012671 .q (reg2hw.wkup_detector_en[2].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012672
12673 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012674 .qs (wkup_detector_en_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012675 );
12676
Michael Schaffner06fdb112021-02-10 16:52:56 -080012677 // Subregister 3 of Multireg wkup_detector_en
12678 // R[wkup_detector_en_3]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012679
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012680 prim_subreg #(
12681 .DW (1),
12682 .SWACCESS("RW"),
12683 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012684 ) u_wkup_detector_en_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012685 .clk_i (clk_i),
12686 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012687
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012688 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012689 .we (wkup_detector_en_3_we & wkup_detector_regwen_3_qs),
12690 .wd (wkup_detector_en_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012691
12692 // from internal hardware
12693 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012694 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012695
12696 // to internal hardware
12697 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012698 .q (reg2hw.wkup_detector_en[3].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012699
12700 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012701 .qs (wkup_detector_en_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012702 );
12703
Michael Schaffner06fdb112021-02-10 16:52:56 -080012704 // Subregister 4 of Multireg wkup_detector_en
12705 // R[wkup_detector_en_4]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012706
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012707 prim_subreg #(
12708 .DW (1),
12709 .SWACCESS("RW"),
12710 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012711 ) u_wkup_detector_en_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012712 .clk_i (clk_i),
12713 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012714
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012715 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012716 .we (wkup_detector_en_4_we & wkup_detector_regwen_4_qs),
12717 .wd (wkup_detector_en_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012718
12719 // from internal hardware
12720 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012721 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012722
12723 // to internal hardware
12724 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012725 .q (reg2hw.wkup_detector_en[4].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012726
12727 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012728 .qs (wkup_detector_en_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012729 );
12730
Michael Schaffner06fdb112021-02-10 16:52:56 -080012731 // Subregister 5 of Multireg wkup_detector_en
12732 // R[wkup_detector_en_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012733
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012734 prim_subreg #(
12735 .DW (1),
12736 .SWACCESS("RW"),
12737 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012738 ) u_wkup_detector_en_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012739 .clk_i (clk_i),
12740 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012741
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012742 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012743 .we (wkup_detector_en_5_we & wkup_detector_regwen_5_qs),
12744 .wd (wkup_detector_en_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012745
12746 // from internal hardware
12747 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012748 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012749
12750 // to internal hardware
12751 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012752 .q (reg2hw.wkup_detector_en[5].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012753
12754 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012755 .qs (wkup_detector_en_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012756 );
12757
Michael Schaffner06fdb112021-02-10 16:52:56 -080012758 // Subregister 6 of Multireg wkup_detector_en
12759 // R[wkup_detector_en_6]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012760
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012761 prim_subreg #(
12762 .DW (1),
12763 .SWACCESS("RW"),
12764 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012765 ) u_wkup_detector_en_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012766 .clk_i (clk_i),
12767 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012768
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012769 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012770 .we (wkup_detector_en_6_we & wkup_detector_regwen_6_qs),
12771 .wd (wkup_detector_en_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012772
12773 // from internal hardware
12774 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012775 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012776
12777 // to internal hardware
12778 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012779 .q (reg2hw.wkup_detector_en[6].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012780
12781 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012782 .qs (wkup_detector_en_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012783 );
12784
Michael Schaffner06fdb112021-02-10 16:52:56 -080012785 // Subregister 7 of Multireg wkup_detector_en
12786 // R[wkup_detector_en_7]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012787
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012788 prim_subreg #(
12789 .DW (1),
12790 .SWACCESS("RW"),
12791 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012792 ) u_wkup_detector_en_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012793 .clk_i (clk_i),
12794 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012795
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012796 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012797 .we (wkup_detector_en_7_we & wkup_detector_regwen_7_qs),
12798 .wd (wkup_detector_en_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012799
12800 // from internal hardware
12801 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012802 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012803
12804 // to internal hardware
12805 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012806 .q (reg2hw.wkup_detector_en[7].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012807
12808 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012809 .qs (wkup_detector_en_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012810 );
12811
12812
12813
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012814 // Subregister 0 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012815 // R[wkup_detector_0]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012816
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012817 // F[mode_0]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012818 prim_subreg #(
12819 .DW (3),
12820 .SWACCESS("RW"),
12821 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012822 ) u_wkup_detector_0_mode_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012823 .clk_i (clk_i),
12824 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012825
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012826 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012827 .we (wkup_detector_0_mode_0_we & wkup_detector_regwen_0_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012828 .wd (wkup_detector_0_mode_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012829
12830 // from internal hardware
12831 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012832 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012833
12834 // to internal hardware
12835 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012836 .q (reg2hw.wkup_detector[0].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012837
12838 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012839 .qs (wkup_detector_0_mode_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012840 );
12841
12842
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012843 // F[filter_0]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012844 prim_subreg #(
12845 .DW (1),
12846 .SWACCESS("RW"),
12847 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012848 ) u_wkup_detector_0_filter_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012849 .clk_i (clk_i),
12850 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012851
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012852 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012853 .we (wkup_detector_0_filter_0_we & wkup_detector_regwen_0_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012854 .wd (wkup_detector_0_filter_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012855
12856 // from internal hardware
12857 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012858 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012859
12860 // to internal hardware
12861 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012862 .q (reg2hw.wkup_detector[0].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012863
12864 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012865 .qs (wkup_detector_0_filter_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012866 );
12867
12868
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012869 // F[miodio_0]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012870 prim_subreg #(
12871 .DW (1),
12872 .SWACCESS("RW"),
12873 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012874 ) u_wkup_detector_0_miodio_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012875 .clk_i (clk_i),
12876 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012877
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012878 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012879 .we (wkup_detector_0_miodio_0_we & wkup_detector_regwen_0_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012880 .wd (wkup_detector_0_miodio_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012881
12882 // from internal hardware
12883 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012884 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012885
12886 // to internal hardware
12887 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012888 .q (reg2hw.wkup_detector[0].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012889
12890 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012891 .qs (wkup_detector_0_miodio_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012892 );
12893
12894
12895 // Subregister 1 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012896 // R[wkup_detector_1]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012897
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012898 // F[mode_1]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012899 prim_subreg #(
12900 .DW (3),
12901 .SWACCESS("RW"),
12902 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012903 ) u_wkup_detector_1_mode_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012904 .clk_i (clk_i),
12905 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012906
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012907 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012908 .we (wkup_detector_1_mode_1_we & wkup_detector_regwen_1_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012909 .wd (wkup_detector_1_mode_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012910
12911 // from internal hardware
12912 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012913 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012914
12915 // to internal hardware
12916 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012917 .q (reg2hw.wkup_detector[1].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012918
12919 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012920 .qs (wkup_detector_1_mode_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012921 );
12922
12923
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012924 // F[filter_1]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012925 prim_subreg #(
12926 .DW (1),
12927 .SWACCESS("RW"),
12928 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012929 ) u_wkup_detector_1_filter_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012930 .clk_i (clk_i),
12931 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012932
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012933 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012934 .we (wkup_detector_1_filter_1_we & wkup_detector_regwen_1_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012935 .wd (wkup_detector_1_filter_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012936
12937 // from internal hardware
12938 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012939 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012940
12941 // to internal hardware
12942 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012943 .q (reg2hw.wkup_detector[1].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012944
12945 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012946 .qs (wkup_detector_1_filter_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012947 );
12948
12949
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012950 // F[miodio_1]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012951 prim_subreg #(
12952 .DW (1),
12953 .SWACCESS("RW"),
12954 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012955 ) u_wkup_detector_1_miodio_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012956 .clk_i (clk_i),
12957 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012958
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012959 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012960 .we (wkup_detector_1_miodio_1_we & wkup_detector_regwen_1_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012961 .wd (wkup_detector_1_miodio_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012962
12963 // from internal hardware
12964 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012965 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012966
12967 // to internal hardware
12968 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012969 .q (reg2hw.wkup_detector[1].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012970
12971 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012972 .qs (wkup_detector_1_miodio_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012973 );
12974
12975
12976 // Subregister 2 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012977 // R[wkup_detector_2]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012978
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012979 // F[mode_2]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012980 prim_subreg #(
12981 .DW (3),
12982 .SWACCESS("RW"),
12983 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012984 ) u_wkup_detector_2_mode_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012985 .clk_i (clk_i),
12986 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012987
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012988 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012989 .we (wkup_detector_2_mode_2_we & wkup_detector_regwen_2_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012990 .wd (wkup_detector_2_mode_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012991
12992 // from internal hardware
12993 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012994 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012995
12996 // to internal hardware
12997 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012998 .q (reg2hw.wkup_detector[2].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012999
13000 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013001 .qs (wkup_detector_2_mode_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013002 );
13003
13004
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013005 // F[filter_2]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013006 prim_subreg #(
13007 .DW (1),
13008 .SWACCESS("RW"),
13009 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013010 ) u_wkup_detector_2_filter_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013011 .clk_i (clk_i),
13012 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013013
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013014 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013015 .we (wkup_detector_2_filter_2_we & wkup_detector_regwen_2_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013016 .wd (wkup_detector_2_filter_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013017
13018 // from internal hardware
13019 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013020 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013021
13022 // to internal hardware
13023 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013024 .q (reg2hw.wkup_detector[2].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013025
13026 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013027 .qs (wkup_detector_2_filter_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013028 );
13029
13030
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013031 // F[miodio_2]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013032 prim_subreg #(
13033 .DW (1),
13034 .SWACCESS("RW"),
13035 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013036 ) u_wkup_detector_2_miodio_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013037 .clk_i (clk_i),
13038 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013039
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013040 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013041 .we (wkup_detector_2_miodio_2_we & wkup_detector_regwen_2_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013042 .wd (wkup_detector_2_miodio_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013043
13044 // from internal hardware
13045 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013046 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013047
13048 // to internal hardware
13049 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013050 .q (reg2hw.wkup_detector[2].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013051
13052 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013053 .qs (wkup_detector_2_miodio_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013054 );
13055
13056
13057 // Subregister 3 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013058 // R[wkup_detector_3]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013059
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013060 // F[mode_3]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013061 prim_subreg #(
13062 .DW (3),
13063 .SWACCESS("RW"),
13064 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013065 ) u_wkup_detector_3_mode_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013066 .clk_i (clk_i),
13067 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013068
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013069 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013070 .we (wkup_detector_3_mode_3_we & wkup_detector_regwen_3_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013071 .wd (wkup_detector_3_mode_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013072
13073 // from internal hardware
13074 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013075 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013076
13077 // to internal hardware
13078 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013079 .q (reg2hw.wkup_detector[3].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013080
13081 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013082 .qs (wkup_detector_3_mode_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013083 );
13084
13085
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013086 // F[filter_3]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013087 prim_subreg #(
13088 .DW (1),
13089 .SWACCESS("RW"),
13090 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013091 ) u_wkup_detector_3_filter_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013092 .clk_i (clk_i),
13093 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013094
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013095 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013096 .we (wkup_detector_3_filter_3_we & wkup_detector_regwen_3_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013097 .wd (wkup_detector_3_filter_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013098
13099 // from internal hardware
13100 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013101 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013102
13103 // to internal hardware
13104 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013105 .q (reg2hw.wkup_detector[3].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013106
13107 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013108 .qs (wkup_detector_3_filter_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013109 );
13110
13111
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013112 // F[miodio_3]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013113 prim_subreg #(
13114 .DW (1),
13115 .SWACCESS("RW"),
13116 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013117 ) u_wkup_detector_3_miodio_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013118 .clk_i (clk_i),
13119 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013120
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013121 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013122 .we (wkup_detector_3_miodio_3_we & wkup_detector_regwen_3_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013123 .wd (wkup_detector_3_miodio_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013124
13125 // from internal hardware
13126 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013127 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013128
13129 // to internal hardware
13130 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013131 .q (reg2hw.wkup_detector[3].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013132
13133 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013134 .qs (wkup_detector_3_miodio_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013135 );
13136
13137
13138 // Subregister 4 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013139 // R[wkup_detector_4]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013140
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013141 // F[mode_4]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013142 prim_subreg #(
13143 .DW (3),
13144 .SWACCESS("RW"),
13145 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013146 ) u_wkup_detector_4_mode_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013147 .clk_i (clk_i),
13148 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013149
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013150 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013151 .we (wkup_detector_4_mode_4_we & wkup_detector_regwen_4_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013152 .wd (wkup_detector_4_mode_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013153
13154 // from internal hardware
13155 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013156 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013157
13158 // to internal hardware
13159 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013160 .q (reg2hw.wkup_detector[4].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013161
13162 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013163 .qs (wkup_detector_4_mode_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013164 );
13165
13166
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013167 // F[filter_4]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013168 prim_subreg #(
13169 .DW (1),
13170 .SWACCESS("RW"),
13171 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013172 ) u_wkup_detector_4_filter_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013173 .clk_i (clk_i),
13174 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013175
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013176 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013177 .we (wkup_detector_4_filter_4_we & wkup_detector_regwen_4_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013178 .wd (wkup_detector_4_filter_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013179
13180 // from internal hardware
13181 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013182 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013183
13184 // to internal hardware
13185 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013186 .q (reg2hw.wkup_detector[4].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013187
13188 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013189 .qs (wkup_detector_4_filter_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013190 );
13191
13192
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013193 // F[miodio_4]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013194 prim_subreg #(
13195 .DW (1),
13196 .SWACCESS("RW"),
13197 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013198 ) u_wkup_detector_4_miodio_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013199 .clk_i (clk_i),
13200 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013201
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013202 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013203 .we (wkup_detector_4_miodio_4_we & wkup_detector_regwen_4_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013204 .wd (wkup_detector_4_miodio_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013205
13206 // from internal hardware
13207 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013208 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013209
13210 // to internal hardware
13211 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013212 .q (reg2hw.wkup_detector[4].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013213
13214 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013215 .qs (wkup_detector_4_miodio_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013216 );
13217
13218
13219 // Subregister 5 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013220 // R[wkup_detector_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013221
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013222 // F[mode_5]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013223 prim_subreg #(
13224 .DW (3),
13225 .SWACCESS("RW"),
13226 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013227 ) u_wkup_detector_5_mode_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013228 .clk_i (clk_i),
13229 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013230
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013231 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013232 .we (wkup_detector_5_mode_5_we & wkup_detector_regwen_5_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013233 .wd (wkup_detector_5_mode_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013234
13235 // from internal hardware
13236 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013237 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013238
13239 // to internal hardware
13240 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013241 .q (reg2hw.wkup_detector[5].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013242
13243 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013244 .qs (wkup_detector_5_mode_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013245 );
13246
13247
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013248 // F[filter_5]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013249 prim_subreg #(
13250 .DW (1),
13251 .SWACCESS("RW"),
13252 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013253 ) u_wkup_detector_5_filter_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013254 .clk_i (clk_i),
13255 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013256
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013257 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013258 .we (wkup_detector_5_filter_5_we & wkup_detector_regwen_5_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013259 .wd (wkup_detector_5_filter_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013260
13261 // from internal hardware
13262 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013263 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013264
13265 // to internal hardware
13266 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013267 .q (reg2hw.wkup_detector[5].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013268
13269 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013270 .qs (wkup_detector_5_filter_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013271 );
13272
13273
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013274 // F[miodio_5]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013275 prim_subreg #(
13276 .DW (1),
13277 .SWACCESS("RW"),
13278 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013279 ) u_wkup_detector_5_miodio_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013280 .clk_i (clk_i),
13281 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013282
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013283 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013284 .we (wkup_detector_5_miodio_5_we & wkup_detector_regwen_5_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013285 .wd (wkup_detector_5_miodio_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013286
13287 // from internal hardware
13288 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013289 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013290
13291 // to internal hardware
13292 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013293 .q (reg2hw.wkup_detector[5].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013294
13295 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013296 .qs (wkup_detector_5_miodio_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013297 );
13298
13299
13300 // Subregister 6 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013301 // R[wkup_detector_6]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013302
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013303 // F[mode_6]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013304 prim_subreg #(
13305 .DW (3),
13306 .SWACCESS("RW"),
13307 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013308 ) u_wkup_detector_6_mode_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013309 .clk_i (clk_i),
13310 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013311
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013312 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013313 .we (wkup_detector_6_mode_6_we & wkup_detector_regwen_6_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013314 .wd (wkup_detector_6_mode_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013315
13316 // from internal hardware
13317 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013318 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013319
13320 // to internal hardware
13321 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013322 .q (reg2hw.wkup_detector[6].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013323
13324 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013325 .qs (wkup_detector_6_mode_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013326 );
13327
13328
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013329 // F[filter_6]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013330 prim_subreg #(
13331 .DW (1),
13332 .SWACCESS("RW"),
13333 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013334 ) u_wkup_detector_6_filter_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013335 .clk_i (clk_i),
13336 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013337
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013338 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013339 .we (wkup_detector_6_filter_6_we & wkup_detector_regwen_6_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013340 .wd (wkup_detector_6_filter_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013341
13342 // from internal hardware
13343 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013344 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013345
13346 // to internal hardware
13347 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013348 .q (reg2hw.wkup_detector[6].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013349
13350 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013351 .qs (wkup_detector_6_filter_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013352 );
13353
13354
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013355 // F[miodio_6]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013356 prim_subreg #(
13357 .DW (1),
13358 .SWACCESS("RW"),
13359 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013360 ) u_wkup_detector_6_miodio_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013361 .clk_i (clk_i),
13362 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013363
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013364 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013365 .we (wkup_detector_6_miodio_6_we & wkup_detector_regwen_6_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013366 .wd (wkup_detector_6_miodio_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013367
13368 // from internal hardware
13369 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013370 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013371
13372 // to internal hardware
13373 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013374 .q (reg2hw.wkup_detector[6].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013375
13376 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013377 .qs (wkup_detector_6_miodio_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013378 );
13379
13380
13381 // Subregister 7 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013382 // R[wkup_detector_7]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013383
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013384 // F[mode_7]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013385 prim_subreg #(
13386 .DW (3),
13387 .SWACCESS("RW"),
13388 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013389 ) u_wkup_detector_7_mode_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013390 .clk_i (clk_i),
13391 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013392
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013393 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013394 .we (wkup_detector_7_mode_7_we & wkup_detector_regwen_7_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013395 .wd (wkup_detector_7_mode_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013396
13397 // from internal hardware
13398 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013399 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013400
13401 // to internal hardware
13402 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013403 .q (reg2hw.wkup_detector[7].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013404
13405 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013406 .qs (wkup_detector_7_mode_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013407 );
13408
13409
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013410 // F[filter_7]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013411 prim_subreg #(
13412 .DW (1),
13413 .SWACCESS("RW"),
13414 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013415 ) u_wkup_detector_7_filter_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013416 .clk_i (clk_i),
13417 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013418
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013419 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013420 .we (wkup_detector_7_filter_7_we & wkup_detector_regwen_7_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013421 .wd (wkup_detector_7_filter_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013422
13423 // from internal hardware
13424 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013425 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013426
13427 // to internal hardware
13428 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013429 .q (reg2hw.wkup_detector[7].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013430
13431 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013432 .qs (wkup_detector_7_filter_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013433 );
13434
13435
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013436 // F[miodio_7]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013437 prim_subreg #(
13438 .DW (1),
13439 .SWACCESS("RW"),
13440 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013441 ) u_wkup_detector_7_miodio_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013442 .clk_i (clk_i),
13443 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013444
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013445 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013446 .we (wkup_detector_7_miodio_7_we & wkup_detector_regwen_7_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013447 .wd (wkup_detector_7_miodio_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013448
13449 // from internal hardware
13450 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013451 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013452
13453 // to internal hardware
13454 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013455 .q (reg2hw.wkup_detector[7].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013456
13457 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013458 .qs (wkup_detector_7_miodio_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013459 );
13460
13461
13462
13463
13464 // Subregister 0 of Multireg wkup_detector_cnt_th
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013465 // R[wkup_detector_cnt_th_0]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013466
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013467 prim_subreg #(
13468 .DW (8),
13469 .SWACCESS("RW"),
13470 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013471 ) u_wkup_detector_cnt_th_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013472 .clk_i (clk_i),
13473 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013474
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013475 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013476 .we (wkup_detector_cnt_th_0_we & wkup_detector_regwen_0_qs),
13477 .wd (wkup_detector_cnt_th_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013478
13479 // from internal hardware
13480 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013481 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013482
13483 // to internal hardware
13484 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013485 .q (reg2hw.wkup_detector_cnt_th[0].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013486
13487 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013488 .qs (wkup_detector_cnt_th_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013489 );
13490
Michael Schaffner06fdb112021-02-10 16:52:56 -080013491 // Subregister 1 of Multireg wkup_detector_cnt_th
13492 // R[wkup_detector_cnt_th_1]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013493
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013494 prim_subreg #(
13495 .DW (8),
13496 .SWACCESS("RW"),
13497 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013498 ) u_wkup_detector_cnt_th_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013499 .clk_i (clk_i),
13500 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013501
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013502 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013503 .we (wkup_detector_cnt_th_1_we & wkup_detector_regwen_1_qs),
13504 .wd (wkup_detector_cnt_th_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013505
13506 // from internal hardware
13507 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013508 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013509
13510 // to internal hardware
13511 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013512 .q (reg2hw.wkup_detector_cnt_th[1].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013513
13514 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013515 .qs (wkup_detector_cnt_th_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013516 );
13517
Michael Schaffner06fdb112021-02-10 16:52:56 -080013518 // Subregister 2 of Multireg wkup_detector_cnt_th
13519 // R[wkup_detector_cnt_th_2]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013520
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013521 prim_subreg #(
13522 .DW (8),
13523 .SWACCESS("RW"),
13524 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013525 ) u_wkup_detector_cnt_th_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013526 .clk_i (clk_i),
13527 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013528
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013529 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013530 .we (wkup_detector_cnt_th_2_we & wkup_detector_regwen_2_qs),
13531 .wd (wkup_detector_cnt_th_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013532
13533 // from internal hardware
13534 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013535 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013536
13537 // to internal hardware
13538 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013539 .q (reg2hw.wkup_detector_cnt_th[2].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013540
13541 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013542 .qs (wkup_detector_cnt_th_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013543 );
13544
Michael Schaffner06fdb112021-02-10 16:52:56 -080013545 // Subregister 3 of Multireg wkup_detector_cnt_th
13546 // R[wkup_detector_cnt_th_3]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013547
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013548 prim_subreg #(
13549 .DW (8),
13550 .SWACCESS("RW"),
13551 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013552 ) u_wkup_detector_cnt_th_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013553 .clk_i (clk_i),
13554 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013555
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013556 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013557 .we (wkup_detector_cnt_th_3_we & wkup_detector_regwen_3_qs),
13558 .wd (wkup_detector_cnt_th_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013559
13560 // from internal hardware
13561 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013562 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013563
13564 // to internal hardware
13565 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013566 .q (reg2hw.wkup_detector_cnt_th[3].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013567
13568 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013569 .qs (wkup_detector_cnt_th_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013570 );
13571
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013572 // Subregister 4 of Multireg wkup_detector_cnt_th
Michael Schaffner06fdb112021-02-10 16:52:56 -080013573 // R[wkup_detector_cnt_th_4]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013574
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013575 prim_subreg #(
13576 .DW (8),
13577 .SWACCESS("RW"),
13578 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013579 ) u_wkup_detector_cnt_th_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013580 .clk_i (clk_i),
13581 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013582
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013583 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013584 .we (wkup_detector_cnt_th_4_we & wkup_detector_regwen_4_qs),
13585 .wd (wkup_detector_cnt_th_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013586
13587 // from internal hardware
13588 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013589 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013590
13591 // to internal hardware
13592 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013593 .q (reg2hw.wkup_detector_cnt_th[4].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013594
13595 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013596 .qs (wkup_detector_cnt_th_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013597 );
13598
Michael Schaffner06fdb112021-02-10 16:52:56 -080013599 // Subregister 5 of Multireg wkup_detector_cnt_th
13600 // R[wkup_detector_cnt_th_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013601
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013602 prim_subreg #(
13603 .DW (8),
13604 .SWACCESS("RW"),
13605 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013606 ) u_wkup_detector_cnt_th_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013607 .clk_i (clk_i),
13608 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013609
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013610 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013611 .we (wkup_detector_cnt_th_5_we & wkup_detector_regwen_5_qs),
13612 .wd (wkup_detector_cnt_th_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013613
13614 // from internal hardware
13615 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013616 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013617
13618 // to internal hardware
13619 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013620 .q (reg2hw.wkup_detector_cnt_th[5].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013621
13622 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013623 .qs (wkup_detector_cnt_th_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013624 );
13625
Michael Schaffner06fdb112021-02-10 16:52:56 -080013626 // Subregister 6 of Multireg wkup_detector_cnt_th
13627 // R[wkup_detector_cnt_th_6]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013628
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013629 prim_subreg #(
13630 .DW (8),
13631 .SWACCESS("RW"),
13632 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013633 ) u_wkup_detector_cnt_th_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013634 .clk_i (clk_i),
13635 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013636
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013637 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013638 .we (wkup_detector_cnt_th_6_we & wkup_detector_regwen_6_qs),
13639 .wd (wkup_detector_cnt_th_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013640
13641 // from internal hardware
13642 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013643 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013644
13645 // to internal hardware
13646 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013647 .q (reg2hw.wkup_detector_cnt_th[6].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013648
13649 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013650 .qs (wkup_detector_cnt_th_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013651 );
13652
Michael Schaffner06fdb112021-02-10 16:52:56 -080013653 // Subregister 7 of Multireg wkup_detector_cnt_th
13654 // R[wkup_detector_cnt_th_7]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013655
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013656 prim_subreg #(
13657 .DW (8),
13658 .SWACCESS("RW"),
13659 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013660 ) u_wkup_detector_cnt_th_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013661 .clk_i (clk_i),
13662 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013663
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013664 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013665 .we (wkup_detector_cnt_th_7_we & wkup_detector_regwen_7_qs),
13666 .wd (wkup_detector_cnt_th_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013667
13668 // from internal hardware
13669 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013670 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013671
13672 // to internal hardware
13673 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013674 .q (reg2hw.wkup_detector_cnt_th[7].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013675
13676 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013677 .qs (wkup_detector_cnt_th_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013678 );
13679
13680
13681
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013682 // Subregister 0 of Multireg wkup_detector_padsel
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013683 // R[wkup_detector_padsel_0]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013684
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013685 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013686 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013687 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013688 .RESVAL (6'h0)
13689 ) u_wkup_detector_padsel_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013690 .clk_i (clk_i),
13691 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013692
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013693 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013694 .we (wkup_detector_padsel_0_we & wkup_detector_regwen_0_qs),
13695 .wd (wkup_detector_padsel_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013696
13697 // from internal hardware
13698 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013699 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013700
13701 // to internal hardware
13702 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013703 .q (reg2hw.wkup_detector_padsel[0].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013704
13705 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013706 .qs (wkup_detector_padsel_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013707 );
13708
Michael Schaffner06fdb112021-02-10 16:52:56 -080013709 // Subregister 1 of Multireg wkup_detector_padsel
13710 // R[wkup_detector_padsel_1]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013711
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013712 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013713 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013714 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013715 .RESVAL (6'h0)
13716 ) u_wkup_detector_padsel_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013717 .clk_i (clk_i),
13718 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013719
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013720 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013721 .we (wkup_detector_padsel_1_we & wkup_detector_regwen_1_qs),
13722 .wd (wkup_detector_padsel_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013723
13724 // from internal hardware
13725 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013726 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013727
13728 // to internal hardware
13729 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013730 .q (reg2hw.wkup_detector_padsel[1].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013731
13732 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013733 .qs (wkup_detector_padsel_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013734 );
13735
Michael Schaffner06fdb112021-02-10 16:52:56 -080013736 // Subregister 2 of Multireg wkup_detector_padsel
13737 // R[wkup_detector_padsel_2]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013738
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013739 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013740 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013741 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013742 .RESVAL (6'h0)
13743 ) u_wkup_detector_padsel_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013744 .clk_i (clk_i),
13745 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013746
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013747 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013748 .we (wkup_detector_padsel_2_we & wkup_detector_regwen_2_qs),
13749 .wd (wkup_detector_padsel_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013750
13751 // from internal hardware
13752 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013753 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013754
13755 // to internal hardware
13756 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013757 .q (reg2hw.wkup_detector_padsel[2].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013758
13759 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013760 .qs (wkup_detector_padsel_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013761 );
13762
Michael Schaffner06fdb112021-02-10 16:52:56 -080013763 // Subregister 3 of Multireg wkup_detector_padsel
13764 // R[wkup_detector_padsel_3]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013765
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013766 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013767 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013768 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013769 .RESVAL (6'h0)
13770 ) u_wkup_detector_padsel_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013771 .clk_i (clk_i),
13772 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013773
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013774 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013775 .we (wkup_detector_padsel_3_we & wkup_detector_regwen_3_qs),
13776 .wd (wkup_detector_padsel_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013777
13778 // from internal hardware
13779 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013780 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013781
13782 // to internal hardware
13783 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013784 .q (reg2hw.wkup_detector_padsel[3].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013785
13786 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013787 .qs (wkup_detector_padsel_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013788 );
13789
Michael Schaffner06fdb112021-02-10 16:52:56 -080013790 // Subregister 4 of Multireg wkup_detector_padsel
13791 // R[wkup_detector_padsel_4]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013792
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013793 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013794 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013795 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013796 .RESVAL (6'h0)
13797 ) u_wkup_detector_padsel_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013798 .clk_i (clk_i),
13799 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013800
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013801 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013802 .we (wkup_detector_padsel_4_we & wkup_detector_regwen_4_qs),
13803 .wd (wkup_detector_padsel_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013804
13805 // from internal hardware
13806 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013807 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013808
13809 // to internal hardware
13810 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013811 .q (reg2hw.wkup_detector_padsel[4].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013812
13813 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013814 .qs (wkup_detector_padsel_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013815 );
13816
Michael Schaffner06fdb112021-02-10 16:52:56 -080013817 // Subregister 5 of Multireg wkup_detector_padsel
13818 // R[wkup_detector_padsel_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013819
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013820 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013821 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013822 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013823 .RESVAL (6'h0)
13824 ) u_wkup_detector_padsel_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013825 .clk_i (clk_i),
13826 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013827
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013828 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013829 .we (wkup_detector_padsel_5_we & wkup_detector_regwen_5_qs),
13830 .wd (wkup_detector_padsel_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013831
13832 // from internal hardware
13833 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013834 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013835
13836 // to internal hardware
13837 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013838 .q (reg2hw.wkup_detector_padsel[5].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013839
13840 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013841 .qs (wkup_detector_padsel_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013842 );
13843
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013844 // Subregister 6 of Multireg wkup_detector_padsel
Michael Schaffner06fdb112021-02-10 16:52:56 -080013845 // R[wkup_detector_padsel_6]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013846
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013847 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013848 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013849 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013850 .RESVAL (6'h0)
13851 ) u_wkup_detector_padsel_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013852 .clk_i (clk_i),
13853 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013854
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013855 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013856 .we (wkup_detector_padsel_6_we & wkup_detector_regwen_6_qs),
13857 .wd (wkup_detector_padsel_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013858
13859 // from internal hardware
13860 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013861 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013862
13863 // to internal hardware
13864 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013865 .q (reg2hw.wkup_detector_padsel[6].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013866
13867 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013868 .qs (wkup_detector_padsel_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013869 );
13870
Michael Schaffner06fdb112021-02-10 16:52:56 -080013871 // Subregister 7 of Multireg wkup_detector_padsel
13872 // R[wkup_detector_padsel_7]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013873
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013874 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013875 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013876 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013877 .RESVAL (6'h0)
13878 ) u_wkup_detector_padsel_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013879 .clk_i (clk_i),
13880 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013881
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013882 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013883 .we (wkup_detector_padsel_7_we & wkup_detector_regwen_7_qs),
13884 .wd (wkup_detector_padsel_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013885
13886 // from internal hardware
13887 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013888 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013889
13890 // to internal hardware
13891 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013892 .q (reg2hw.wkup_detector_padsel[7].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013893
13894 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013895 .qs (wkup_detector_padsel_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013896 );
13897
13898
13899
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013900 // Subregister 0 of Multireg wkup_cause
13901 // R[wkup_cause]: V(True)
13902
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013903 // F[cause_0]: 0:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013904 prim_subreg_ext #(
13905 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013906 ) u_wkup_cause_cause_0 (
13907 .re (wkup_cause_cause_0_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013908 .we (wkup_cause_cause_0_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013909 .wd (wkup_cause_cause_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013910 .d (hw2reg.wkup_cause[0].d),
13911 .qre (),
13912 .qe (reg2hw.wkup_cause[0].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013913 .q (reg2hw.wkup_cause[0].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013914 .qs (wkup_cause_cause_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013915 );
13916
13917
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013918 // F[cause_1]: 1:1
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013919 prim_subreg_ext #(
13920 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013921 ) u_wkup_cause_cause_1 (
13922 .re (wkup_cause_cause_1_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013923 .we (wkup_cause_cause_1_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013924 .wd (wkup_cause_cause_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013925 .d (hw2reg.wkup_cause[1].d),
13926 .qre (),
13927 .qe (reg2hw.wkup_cause[1].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013928 .q (reg2hw.wkup_cause[1].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013929 .qs (wkup_cause_cause_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013930 );
13931
13932
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013933 // F[cause_2]: 2:2
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013934 prim_subreg_ext #(
13935 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013936 ) u_wkup_cause_cause_2 (
13937 .re (wkup_cause_cause_2_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013938 .we (wkup_cause_cause_2_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013939 .wd (wkup_cause_cause_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013940 .d (hw2reg.wkup_cause[2].d),
13941 .qre (),
13942 .qe (reg2hw.wkup_cause[2].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013943 .q (reg2hw.wkup_cause[2].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013944 .qs (wkup_cause_cause_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013945 );
13946
13947
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013948 // F[cause_3]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013949 prim_subreg_ext #(
13950 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013951 ) u_wkup_cause_cause_3 (
13952 .re (wkup_cause_cause_3_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013953 .we (wkup_cause_cause_3_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013954 .wd (wkup_cause_cause_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013955 .d (hw2reg.wkup_cause[3].d),
13956 .qre (),
13957 .qe (reg2hw.wkup_cause[3].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013958 .q (reg2hw.wkup_cause[3].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013959 .qs (wkup_cause_cause_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013960 );
13961
13962
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013963 // F[cause_4]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013964 prim_subreg_ext #(
13965 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013966 ) u_wkup_cause_cause_4 (
13967 .re (wkup_cause_cause_4_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013968 .we (wkup_cause_cause_4_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013969 .wd (wkup_cause_cause_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013970 .d (hw2reg.wkup_cause[4].d),
13971 .qre (),
13972 .qe (reg2hw.wkup_cause[4].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013973 .q (reg2hw.wkup_cause[4].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013974 .qs (wkup_cause_cause_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013975 );
13976
13977
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013978 // F[cause_5]: 5:5
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013979 prim_subreg_ext #(
13980 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013981 ) u_wkup_cause_cause_5 (
13982 .re (wkup_cause_cause_5_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013983 .we (wkup_cause_cause_5_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013984 .wd (wkup_cause_cause_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013985 .d (hw2reg.wkup_cause[5].d),
13986 .qre (),
13987 .qe (reg2hw.wkup_cause[5].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013988 .q (reg2hw.wkup_cause[5].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013989 .qs (wkup_cause_cause_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013990 );
13991
13992
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013993 // F[cause_6]: 6:6
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013994 prim_subreg_ext #(
13995 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013996 ) u_wkup_cause_cause_6 (
13997 .re (wkup_cause_cause_6_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013998 .we (wkup_cause_cause_6_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013999 .wd (wkup_cause_cause_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070014000 .d (hw2reg.wkup_cause[6].d),
14001 .qre (),
14002 .qe (reg2hw.wkup_cause[6].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010014003 .q (reg2hw.wkup_cause[6].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020014004 .qs (wkup_cause_cause_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070014005 );
14006
14007
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020014008 // F[cause_7]: 7:7
Michael Schaffner920e4cc2020-04-28 22:58:12 -070014009 prim_subreg_ext #(
14010 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020014011 ) u_wkup_cause_cause_7 (
14012 .re (wkup_cause_cause_7_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080014013 .we (wkup_cause_cause_7_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020014014 .wd (wkup_cause_cause_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070014015 .d (hw2reg.wkup_cause[7].d),
14016 .qre (),
14017 .qe (reg2hw.wkup_cause[7].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010014018 .q (reg2hw.wkup_cause[7].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020014019 .qs (wkup_cause_cause_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070014020 );
14021
14022
14023
14024
14025
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014026 logic [413:0] addr_hit;
Michael Schaffner51c61442019-10-01 15:49:10 -070014027 always_comb begin
14028 addr_hit = '0;
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014029 addr_hit[ 0] = (reg_addr == PINMUX_ALERT_TEST_OFFSET);
14030 addr_hit[ 1] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_0_OFFSET);
14031 addr_hit[ 2] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_1_OFFSET);
14032 addr_hit[ 3] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_2_OFFSET);
14033 addr_hit[ 4] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_3_OFFSET);
14034 addr_hit[ 5] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_4_OFFSET);
14035 addr_hit[ 6] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_5_OFFSET);
14036 addr_hit[ 7] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_6_OFFSET);
14037 addr_hit[ 8] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_7_OFFSET);
14038 addr_hit[ 9] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_8_OFFSET);
14039 addr_hit[ 10] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_9_OFFSET);
14040 addr_hit[ 11] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_10_OFFSET);
14041 addr_hit[ 12] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_11_OFFSET);
14042 addr_hit[ 13] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_12_OFFSET);
14043 addr_hit[ 14] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_13_OFFSET);
14044 addr_hit[ 15] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_14_OFFSET);
14045 addr_hit[ 16] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_15_OFFSET);
14046 addr_hit[ 17] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_16_OFFSET);
14047 addr_hit[ 18] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_17_OFFSET);
14048 addr_hit[ 19] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_18_OFFSET);
14049 addr_hit[ 20] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_19_OFFSET);
14050 addr_hit[ 21] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_20_OFFSET);
14051 addr_hit[ 22] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_21_OFFSET);
14052 addr_hit[ 23] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_22_OFFSET);
14053 addr_hit[ 24] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_23_OFFSET);
14054 addr_hit[ 25] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_24_OFFSET);
14055 addr_hit[ 26] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_25_OFFSET);
14056 addr_hit[ 27] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_26_OFFSET);
14057 addr_hit[ 28] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_27_OFFSET);
14058 addr_hit[ 29] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_28_OFFSET);
14059 addr_hit[ 30] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_29_OFFSET);
14060 addr_hit[ 31] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_30_OFFSET);
14061 addr_hit[ 32] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_31_OFFSET);
14062 addr_hit[ 33] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_32_OFFSET);
14063 addr_hit[ 34] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_0_OFFSET);
14064 addr_hit[ 35] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_1_OFFSET);
14065 addr_hit[ 36] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_2_OFFSET);
14066 addr_hit[ 37] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_3_OFFSET);
14067 addr_hit[ 38] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_4_OFFSET);
14068 addr_hit[ 39] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_5_OFFSET);
14069 addr_hit[ 40] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_6_OFFSET);
14070 addr_hit[ 41] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_7_OFFSET);
14071 addr_hit[ 42] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_8_OFFSET);
14072 addr_hit[ 43] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_9_OFFSET);
14073 addr_hit[ 44] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_10_OFFSET);
14074 addr_hit[ 45] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_11_OFFSET);
14075 addr_hit[ 46] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_12_OFFSET);
14076 addr_hit[ 47] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_13_OFFSET);
14077 addr_hit[ 48] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_14_OFFSET);
14078 addr_hit[ 49] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_15_OFFSET);
14079 addr_hit[ 50] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_16_OFFSET);
14080 addr_hit[ 51] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_17_OFFSET);
14081 addr_hit[ 52] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_18_OFFSET);
14082 addr_hit[ 53] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_19_OFFSET);
14083 addr_hit[ 54] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_20_OFFSET);
14084 addr_hit[ 55] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_21_OFFSET);
14085 addr_hit[ 56] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_22_OFFSET);
14086 addr_hit[ 57] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_23_OFFSET);
14087 addr_hit[ 58] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_24_OFFSET);
14088 addr_hit[ 59] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_25_OFFSET);
14089 addr_hit[ 60] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_26_OFFSET);
14090 addr_hit[ 61] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_27_OFFSET);
14091 addr_hit[ 62] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_28_OFFSET);
14092 addr_hit[ 63] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_29_OFFSET);
14093 addr_hit[ 64] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_30_OFFSET);
14094 addr_hit[ 65] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_31_OFFSET);
14095 addr_hit[ 66] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_32_OFFSET);
14096 addr_hit[ 67] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_0_OFFSET);
14097 addr_hit[ 68] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_1_OFFSET);
14098 addr_hit[ 69] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_2_OFFSET);
14099 addr_hit[ 70] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_3_OFFSET);
14100 addr_hit[ 71] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_4_OFFSET);
14101 addr_hit[ 72] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_5_OFFSET);
14102 addr_hit[ 73] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_6_OFFSET);
14103 addr_hit[ 74] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_7_OFFSET);
14104 addr_hit[ 75] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_8_OFFSET);
14105 addr_hit[ 76] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_9_OFFSET);
14106 addr_hit[ 77] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_10_OFFSET);
14107 addr_hit[ 78] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_11_OFFSET);
14108 addr_hit[ 79] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_12_OFFSET);
14109 addr_hit[ 80] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_13_OFFSET);
14110 addr_hit[ 81] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_14_OFFSET);
14111 addr_hit[ 82] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_15_OFFSET);
14112 addr_hit[ 83] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_16_OFFSET);
14113 addr_hit[ 84] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_17_OFFSET);
14114 addr_hit[ 85] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_18_OFFSET);
14115 addr_hit[ 86] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_19_OFFSET);
14116 addr_hit[ 87] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_20_OFFSET);
14117 addr_hit[ 88] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_21_OFFSET);
14118 addr_hit[ 89] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_22_OFFSET);
14119 addr_hit[ 90] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_23_OFFSET);
14120 addr_hit[ 91] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_24_OFFSET);
14121 addr_hit[ 92] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_25_OFFSET);
14122 addr_hit[ 93] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_26_OFFSET);
14123 addr_hit[ 94] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_27_OFFSET);
14124 addr_hit[ 95] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_28_OFFSET);
14125 addr_hit[ 96] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_29_OFFSET);
14126 addr_hit[ 97] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_30_OFFSET);
14127 addr_hit[ 98] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_31_OFFSET);
14128 addr_hit[ 99] = (reg_addr == PINMUX_MIO_OUTSEL_0_OFFSET);
14129 addr_hit[100] = (reg_addr == PINMUX_MIO_OUTSEL_1_OFFSET);
14130 addr_hit[101] = (reg_addr == PINMUX_MIO_OUTSEL_2_OFFSET);
14131 addr_hit[102] = (reg_addr == PINMUX_MIO_OUTSEL_3_OFFSET);
14132 addr_hit[103] = (reg_addr == PINMUX_MIO_OUTSEL_4_OFFSET);
14133 addr_hit[104] = (reg_addr == PINMUX_MIO_OUTSEL_5_OFFSET);
14134 addr_hit[105] = (reg_addr == PINMUX_MIO_OUTSEL_6_OFFSET);
14135 addr_hit[106] = (reg_addr == PINMUX_MIO_OUTSEL_7_OFFSET);
14136 addr_hit[107] = (reg_addr == PINMUX_MIO_OUTSEL_8_OFFSET);
14137 addr_hit[108] = (reg_addr == PINMUX_MIO_OUTSEL_9_OFFSET);
14138 addr_hit[109] = (reg_addr == PINMUX_MIO_OUTSEL_10_OFFSET);
14139 addr_hit[110] = (reg_addr == PINMUX_MIO_OUTSEL_11_OFFSET);
14140 addr_hit[111] = (reg_addr == PINMUX_MIO_OUTSEL_12_OFFSET);
14141 addr_hit[112] = (reg_addr == PINMUX_MIO_OUTSEL_13_OFFSET);
14142 addr_hit[113] = (reg_addr == PINMUX_MIO_OUTSEL_14_OFFSET);
14143 addr_hit[114] = (reg_addr == PINMUX_MIO_OUTSEL_15_OFFSET);
14144 addr_hit[115] = (reg_addr == PINMUX_MIO_OUTSEL_16_OFFSET);
14145 addr_hit[116] = (reg_addr == PINMUX_MIO_OUTSEL_17_OFFSET);
14146 addr_hit[117] = (reg_addr == PINMUX_MIO_OUTSEL_18_OFFSET);
14147 addr_hit[118] = (reg_addr == PINMUX_MIO_OUTSEL_19_OFFSET);
14148 addr_hit[119] = (reg_addr == PINMUX_MIO_OUTSEL_20_OFFSET);
14149 addr_hit[120] = (reg_addr == PINMUX_MIO_OUTSEL_21_OFFSET);
14150 addr_hit[121] = (reg_addr == PINMUX_MIO_OUTSEL_22_OFFSET);
14151 addr_hit[122] = (reg_addr == PINMUX_MIO_OUTSEL_23_OFFSET);
14152 addr_hit[123] = (reg_addr == PINMUX_MIO_OUTSEL_24_OFFSET);
14153 addr_hit[124] = (reg_addr == PINMUX_MIO_OUTSEL_25_OFFSET);
14154 addr_hit[125] = (reg_addr == PINMUX_MIO_OUTSEL_26_OFFSET);
14155 addr_hit[126] = (reg_addr == PINMUX_MIO_OUTSEL_27_OFFSET);
14156 addr_hit[127] = (reg_addr == PINMUX_MIO_OUTSEL_28_OFFSET);
14157 addr_hit[128] = (reg_addr == PINMUX_MIO_OUTSEL_29_OFFSET);
14158 addr_hit[129] = (reg_addr == PINMUX_MIO_OUTSEL_30_OFFSET);
14159 addr_hit[130] = (reg_addr == PINMUX_MIO_OUTSEL_31_OFFSET);
14160 addr_hit[131] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_0_OFFSET);
14161 addr_hit[132] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_1_OFFSET);
14162 addr_hit[133] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_2_OFFSET);
14163 addr_hit[134] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_3_OFFSET);
14164 addr_hit[135] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_4_OFFSET);
14165 addr_hit[136] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_5_OFFSET);
14166 addr_hit[137] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_6_OFFSET);
14167 addr_hit[138] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_7_OFFSET);
14168 addr_hit[139] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_8_OFFSET);
14169 addr_hit[140] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_9_OFFSET);
14170 addr_hit[141] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_10_OFFSET);
14171 addr_hit[142] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_11_OFFSET);
14172 addr_hit[143] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_12_OFFSET);
14173 addr_hit[144] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_13_OFFSET);
14174 addr_hit[145] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_14_OFFSET);
14175 addr_hit[146] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_15_OFFSET);
14176 addr_hit[147] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_16_OFFSET);
14177 addr_hit[148] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_17_OFFSET);
14178 addr_hit[149] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_18_OFFSET);
14179 addr_hit[150] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_19_OFFSET);
14180 addr_hit[151] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_20_OFFSET);
14181 addr_hit[152] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_21_OFFSET);
14182 addr_hit[153] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_22_OFFSET);
14183 addr_hit[154] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_23_OFFSET);
14184 addr_hit[155] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_24_OFFSET);
14185 addr_hit[156] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_25_OFFSET);
14186 addr_hit[157] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_26_OFFSET);
14187 addr_hit[158] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_27_OFFSET);
14188 addr_hit[159] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_28_OFFSET);
14189 addr_hit[160] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_29_OFFSET);
14190 addr_hit[161] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_30_OFFSET);
14191 addr_hit[162] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_31_OFFSET);
14192 addr_hit[163] = (reg_addr == PINMUX_MIO_PAD_ATTR_0_OFFSET);
14193 addr_hit[164] = (reg_addr == PINMUX_MIO_PAD_ATTR_1_OFFSET);
14194 addr_hit[165] = (reg_addr == PINMUX_MIO_PAD_ATTR_2_OFFSET);
14195 addr_hit[166] = (reg_addr == PINMUX_MIO_PAD_ATTR_3_OFFSET);
14196 addr_hit[167] = (reg_addr == PINMUX_MIO_PAD_ATTR_4_OFFSET);
14197 addr_hit[168] = (reg_addr == PINMUX_MIO_PAD_ATTR_5_OFFSET);
14198 addr_hit[169] = (reg_addr == PINMUX_MIO_PAD_ATTR_6_OFFSET);
14199 addr_hit[170] = (reg_addr == PINMUX_MIO_PAD_ATTR_7_OFFSET);
14200 addr_hit[171] = (reg_addr == PINMUX_MIO_PAD_ATTR_8_OFFSET);
14201 addr_hit[172] = (reg_addr == PINMUX_MIO_PAD_ATTR_9_OFFSET);
14202 addr_hit[173] = (reg_addr == PINMUX_MIO_PAD_ATTR_10_OFFSET);
14203 addr_hit[174] = (reg_addr == PINMUX_MIO_PAD_ATTR_11_OFFSET);
14204 addr_hit[175] = (reg_addr == PINMUX_MIO_PAD_ATTR_12_OFFSET);
14205 addr_hit[176] = (reg_addr == PINMUX_MIO_PAD_ATTR_13_OFFSET);
14206 addr_hit[177] = (reg_addr == PINMUX_MIO_PAD_ATTR_14_OFFSET);
14207 addr_hit[178] = (reg_addr == PINMUX_MIO_PAD_ATTR_15_OFFSET);
14208 addr_hit[179] = (reg_addr == PINMUX_MIO_PAD_ATTR_16_OFFSET);
14209 addr_hit[180] = (reg_addr == PINMUX_MIO_PAD_ATTR_17_OFFSET);
14210 addr_hit[181] = (reg_addr == PINMUX_MIO_PAD_ATTR_18_OFFSET);
14211 addr_hit[182] = (reg_addr == PINMUX_MIO_PAD_ATTR_19_OFFSET);
14212 addr_hit[183] = (reg_addr == PINMUX_MIO_PAD_ATTR_20_OFFSET);
14213 addr_hit[184] = (reg_addr == PINMUX_MIO_PAD_ATTR_21_OFFSET);
14214 addr_hit[185] = (reg_addr == PINMUX_MIO_PAD_ATTR_22_OFFSET);
14215 addr_hit[186] = (reg_addr == PINMUX_MIO_PAD_ATTR_23_OFFSET);
14216 addr_hit[187] = (reg_addr == PINMUX_MIO_PAD_ATTR_24_OFFSET);
14217 addr_hit[188] = (reg_addr == PINMUX_MIO_PAD_ATTR_25_OFFSET);
14218 addr_hit[189] = (reg_addr == PINMUX_MIO_PAD_ATTR_26_OFFSET);
14219 addr_hit[190] = (reg_addr == PINMUX_MIO_PAD_ATTR_27_OFFSET);
14220 addr_hit[191] = (reg_addr == PINMUX_MIO_PAD_ATTR_28_OFFSET);
14221 addr_hit[192] = (reg_addr == PINMUX_MIO_PAD_ATTR_29_OFFSET);
14222 addr_hit[193] = (reg_addr == PINMUX_MIO_PAD_ATTR_30_OFFSET);
14223 addr_hit[194] = (reg_addr == PINMUX_MIO_PAD_ATTR_31_OFFSET);
14224 addr_hit[195] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_0_OFFSET);
14225 addr_hit[196] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_1_OFFSET);
14226 addr_hit[197] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_2_OFFSET);
14227 addr_hit[198] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_3_OFFSET);
14228 addr_hit[199] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_4_OFFSET);
14229 addr_hit[200] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_5_OFFSET);
14230 addr_hit[201] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_6_OFFSET);
14231 addr_hit[202] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_7_OFFSET);
14232 addr_hit[203] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_8_OFFSET);
14233 addr_hit[204] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_9_OFFSET);
14234 addr_hit[205] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_10_OFFSET);
14235 addr_hit[206] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_11_OFFSET);
14236 addr_hit[207] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_12_OFFSET);
14237 addr_hit[208] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_13_OFFSET);
14238 addr_hit[209] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_14_OFFSET);
14239 addr_hit[210] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_15_OFFSET);
14240 addr_hit[211] = (reg_addr == PINMUX_DIO_PAD_ATTR_0_OFFSET);
14241 addr_hit[212] = (reg_addr == PINMUX_DIO_PAD_ATTR_1_OFFSET);
14242 addr_hit[213] = (reg_addr == PINMUX_DIO_PAD_ATTR_2_OFFSET);
14243 addr_hit[214] = (reg_addr == PINMUX_DIO_PAD_ATTR_3_OFFSET);
14244 addr_hit[215] = (reg_addr == PINMUX_DIO_PAD_ATTR_4_OFFSET);
14245 addr_hit[216] = (reg_addr == PINMUX_DIO_PAD_ATTR_5_OFFSET);
14246 addr_hit[217] = (reg_addr == PINMUX_DIO_PAD_ATTR_6_OFFSET);
14247 addr_hit[218] = (reg_addr == PINMUX_DIO_PAD_ATTR_7_OFFSET);
14248 addr_hit[219] = (reg_addr == PINMUX_DIO_PAD_ATTR_8_OFFSET);
14249 addr_hit[220] = (reg_addr == PINMUX_DIO_PAD_ATTR_9_OFFSET);
14250 addr_hit[221] = (reg_addr == PINMUX_DIO_PAD_ATTR_10_OFFSET);
14251 addr_hit[222] = (reg_addr == PINMUX_DIO_PAD_ATTR_11_OFFSET);
14252 addr_hit[223] = (reg_addr == PINMUX_DIO_PAD_ATTR_12_OFFSET);
14253 addr_hit[224] = (reg_addr == PINMUX_DIO_PAD_ATTR_13_OFFSET);
14254 addr_hit[225] = (reg_addr == PINMUX_DIO_PAD_ATTR_14_OFFSET);
14255 addr_hit[226] = (reg_addr == PINMUX_DIO_PAD_ATTR_15_OFFSET);
14256 addr_hit[227] = (reg_addr == PINMUX_MIO_PAD_SLEEP_STATUS_OFFSET);
14257 addr_hit[228] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_0_OFFSET);
14258 addr_hit[229] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_1_OFFSET);
14259 addr_hit[230] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_2_OFFSET);
14260 addr_hit[231] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_3_OFFSET);
14261 addr_hit[232] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_4_OFFSET);
14262 addr_hit[233] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_5_OFFSET);
14263 addr_hit[234] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_6_OFFSET);
14264 addr_hit[235] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_7_OFFSET);
14265 addr_hit[236] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_8_OFFSET);
14266 addr_hit[237] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_9_OFFSET);
14267 addr_hit[238] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_10_OFFSET);
14268 addr_hit[239] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_11_OFFSET);
14269 addr_hit[240] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_12_OFFSET);
14270 addr_hit[241] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_13_OFFSET);
14271 addr_hit[242] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_14_OFFSET);
14272 addr_hit[243] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_15_OFFSET);
14273 addr_hit[244] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_16_OFFSET);
14274 addr_hit[245] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_17_OFFSET);
14275 addr_hit[246] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_18_OFFSET);
14276 addr_hit[247] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_19_OFFSET);
14277 addr_hit[248] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_20_OFFSET);
14278 addr_hit[249] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_21_OFFSET);
14279 addr_hit[250] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_22_OFFSET);
14280 addr_hit[251] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_23_OFFSET);
14281 addr_hit[252] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_24_OFFSET);
14282 addr_hit[253] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_25_OFFSET);
14283 addr_hit[254] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_26_OFFSET);
14284 addr_hit[255] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_27_OFFSET);
14285 addr_hit[256] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_28_OFFSET);
14286 addr_hit[257] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_29_OFFSET);
14287 addr_hit[258] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_30_OFFSET);
14288 addr_hit[259] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_31_OFFSET);
14289 addr_hit[260] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_0_OFFSET);
14290 addr_hit[261] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_1_OFFSET);
14291 addr_hit[262] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_2_OFFSET);
14292 addr_hit[263] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_3_OFFSET);
14293 addr_hit[264] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_4_OFFSET);
14294 addr_hit[265] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_5_OFFSET);
14295 addr_hit[266] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_6_OFFSET);
14296 addr_hit[267] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_7_OFFSET);
14297 addr_hit[268] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_8_OFFSET);
14298 addr_hit[269] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_9_OFFSET);
14299 addr_hit[270] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_10_OFFSET);
14300 addr_hit[271] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_11_OFFSET);
14301 addr_hit[272] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_12_OFFSET);
14302 addr_hit[273] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_13_OFFSET);
14303 addr_hit[274] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_14_OFFSET);
14304 addr_hit[275] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_15_OFFSET);
14305 addr_hit[276] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_16_OFFSET);
14306 addr_hit[277] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_17_OFFSET);
14307 addr_hit[278] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_18_OFFSET);
14308 addr_hit[279] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_19_OFFSET);
14309 addr_hit[280] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_20_OFFSET);
14310 addr_hit[281] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_21_OFFSET);
14311 addr_hit[282] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_22_OFFSET);
14312 addr_hit[283] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_23_OFFSET);
14313 addr_hit[284] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_24_OFFSET);
14314 addr_hit[285] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_25_OFFSET);
14315 addr_hit[286] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_26_OFFSET);
14316 addr_hit[287] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_27_OFFSET);
14317 addr_hit[288] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_28_OFFSET);
14318 addr_hit[289] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_29_OFFSET);
14319 addr_hit[290] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_30_OFFSET);
14320 addr_hit[291] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_31_OFFSET);
14321 addr_hit[292] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_0_OFFSET);
14322 addr_hit[293] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_1_OFFSET);
14323 addr_hit[294] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_2_OFFSET);
14324 addr_hit[295] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_3_OFFSET);
14325 addr_hit[296] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_4_OFFSET);
14326 addr_hit[297] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_5_OFFSET);
14327 addr_hit[298] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_6_OFFSET);
14328 addr_hit[299] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_7_OFFSET);
14329 addr_hit[300] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_8_OFFSET);
14330 addr_hit[301] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_9_OFFSET);
14331 addr_hit[302] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_10_OFFSET);
14332 addr_hit[303] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_11_OFFSET);
14333 addr_hit[304] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_12_OFFSET);
14334 addr_hit[305] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_13_OFFSET);
14335 addr_hit[306] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_14_OFFSET);
14336 addr_hit[307] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_15_OFFSET);
14337 addr_hit[308] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_16_OFFSET);
14338 addr_hit[309] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_17_OFFSET);
14339 addr_hit[310] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_18_OFFSET);
14340 addr_hit[311] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_19_OFFSET);
14341 addr_hit[312] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_20_OFFSET);
14342 addr_hit[313] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_21_OFFSET);
14343 addr_hit[314] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_22_OFFSET);
14344 addr_hit[315] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_23_OFFSET);
14345 addr_hit[316] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_24_OFFSET);
14346 addr_hit[317] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_25_OFFSET);
14347 addr_hit[318] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_26_OFFSET);
14348 addr_hit[319] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_27_OFFSET);
14349 addr_hit[320] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_28_OFFSET);
14350 addr_hit[321] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_29_OFFSET);
14351 addr_hit[322] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_30_OFFSET);
14352 addr_hit[323] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_31_OFFSET);
14353 addr_hit[324] = (reg_addr == PINMUX_DIO_PAD_SLEEP_STATUS_OFFSET);
14354 addr_hit[325] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_0_OFFSET);
14355 addr_hit[326] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_1_OFFSET);
14356 addr_hit[327] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_2_OFFSET);
14357 addr_hit[328] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_3_OFFSET);
14358 addr_hit[329] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_4_OFFSET);
14359 addr_hit[330] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_5_OFFSET);
14360 addr_hit[331] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_6_OFFSET);
14361 addr_hit[332] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_7_OFFSET);
14362 addr_hit[333] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_8_OFFSET);
14363 addr_hit[334] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_9_OFFSET);
14364 addr_hit[335] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_10_OFFSET);
14365 addr_hit[336] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_11_OFFSET);
14366 addr_hit[337] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_12_OFFSET);
14367 addr_hit[338] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_13_OFFSET);
14368 addr_hit[339] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_14_OFFSET);
14369 addr_hit[340] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_15_OFFSET);
14370 addr_hit[341] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_0_OFFSET);
14371 addr_hit[342] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_1_OFFSET);
14372 addr_hit[343] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_2_OFFSET);
14373 addr_hit[344] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_3_OFFSET);
14374 addr_hit[345] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_4_OFFSET);
14375 addr_hit[346] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_5_OFFSET);
14376 addr_hit[347] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_6_OFFSET);
14377 addr_hit[348] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_7_OFFSET);
14378 addr_hit[349] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_8_OFFSET);
14379 addr_hit[350] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_9_OFFSET);
14380 addr_hit[351] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_10_OFFSET);
14381 addr_hit[352] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_11_OFFSET);
14382 addr_hit[353] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_12_OFFSET);
14383 addr_hit[354] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_13_OFFSET);
14384 addr_hit[355] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_14_OFFSET);
14385 addr_hit[356] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_15_OFFSET);
14386 addr_hit[357] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_0_OFFSET);
14387 addr_hit[358] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_1_OFFSET);
14388 addr_hit[359] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_2_OFFSET);
14389 addr_hit[360] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_3_OFFSET);
14390 addr_hit[361] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_4_OFFSET);
14391 addr_hit[362] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_5_OFFSET);
14392 addr_hit[363] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_6_OFFSET);
14393 addr_hit[364] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_7_OFFSET);
14394 addr_hit[365] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_8_OFFSET);
14395 addr_hit[366] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_9_OFFSET);
14396 addr_hit[367] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_10_OFFSET);
14397 addr_hit[368] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_11_OFFSET);
14398 addr_hit[369] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_12_OFFSET);
14399 addr_hit[370] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_13_OFFSET);
14400 addr_hit[371] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_14_OFFSET);
14401 addr_hit[372] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_15_OFFSET);
14402 addr_hit[373] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_0_OFFSET);
14403 addr_hit[374] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_1_OFFSET);
14404 addr_hit[375] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_2_OFFSET);
14405 addr_hit[376] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_3_OFFSET);
14406 addr_hit[377] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_4_OFFSET);
14407 addr_hit[378] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_5_OFFSET);
14408 addr_hit[379] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_6_OFFSET);
14409 addr_hit[380] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_7_OFFSET);
14410 addr_hit[381] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_0_OFFSET);
14411 addr_hit[382] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_1_OFFSET);
14412 addr_hit[383] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_2_OFFSET);
14413 addr_hit[384] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_3_OFFSET);
14414 addr_hit[385] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_4_OFFSET);
14415 addr_hit[386] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_5_OFFSET);
14416 addr_hit[387] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_6_OFFSET);
14417 addr_hit[388] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_7_OFFSET);
14418 addr_hit[389] = (reg_addr == PINMUX_WKUP_DETECTOR_0_OFFSET);
14419 addr_hit[390] = (reg_addr == PINMUX_WKUP_DETECTOR_1_OFFSET);
14420 addr_hit[391] = (reg_addr == PINMUX_WKUP_DETECTOR_2_OFFSET);
14421 addr_hit[392] = (reg_addr == PINMUX_WKUP_DETECTOR_3_OFFSET);
14422 addr_hit[393] = (reg_addr == PINMUX_WKUP_DETECTOR_4_OFFSET);
14423 addr_hit[394] = (reg_addr == PINMUX_WKUP_DETECTOR_5_OFFSET);
14424 addr_hit[395] = (reg_addr == PINMUX_WKUP_DETECTOR_6_OFFSET);
14425 addr_hit[396] = (reg_addr == PINMUX_WKUP_DETECTOR_7_OFFSET);
14426 addr_hit[397] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_0_OFFSET);
14427 addr_hit[398] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_1_OFFSET);
14428 addr_hit[399] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_2_OFFSET);
14429 addr_hit[400] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_3_OFFSET);
14430 addr_hit[401] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_4_OFFSET);
14431 addr_hit[402] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_5_OFFSET);
14432 addr_hit[403] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_6_OFFSET);
14433 addr_hit[404] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_7_OFFSET);
14434 addr_hit[405] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_0_OFFSET);
14435 addr_hit[406] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_1_OFFSET);
14436 addr_hit[407] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_2_OFFSET);
14437 addr_hit[408] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_3_OFFSET);
14438 addr_hit[409] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_4_OFFSET);
14439 addr_hit[410] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_5_OFFSET);
14440 addr_hit[411] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_6_OFFSET);
14441 addr_hit[412] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_7_OFFSET);
14442 addr_hit[413] = (reg_addr == PINMUX_WKUP_CAUSE_OFFSET);
Michael Schaffner51c61442019-10-01 15:49:10 -070014443 end
14444
14445 assign addrmiss = (reg_re || reg_we) ? ~|addr_hit : 1'b0 ;
14446
14447 // Check sub-word write is permitted
14448 always_comb begin
Rupert Swarbrickce8e3932021-04-21 11:45:34 +010014449 wr_err = (reg_we &
14450 ((addr_hit[ 0] & (|(PINMUX_PERMIT[ 0] & ~reg_be))) |
14451 (addr_hit[ 1] & (|(PINMUX_PERMIT[ 1] & ~reg_be))) |
14452 (addr_hit[ 2] & (|(PINMUX_PERMIT[ 2] & ~reg_be))) |
14453 (addr_hit[ 3] & (|(PINMUX_PERMIT[ 3] & ~reg_be))) |
14454 (addr_hit[ 4] & (|(PINMUX_PERMIT[ 4] & ~reg_be))) |
14455 (addr_hit[ 5] & (|(PINMUX_PERMIT[ 5] & ~reg_be))) |
14456 (addr_hit[ 6] & (|(PINMUX_PERMIT[ 6] & ~reg_be))) |
14457 (addr_hit[ 7] & (|(PINMUX_PERMIT[ 7] & ~reg_be))) |
14458 (addr_hit[ 8] & (|(PINMUX_PERMIT[ 8] & ~reg_be))) |
14459 (addr_hit[ 9] & (|(PINMUX_PERMIT[ 9] & ~reg_be))) |
14460 (addr_hit[ 10] & (|(PINMUX_PERMIT[ 10] & ~reg_be))) |
14461 (addr_hit[ 11] & (|(PINMUX_PERMIT[ 11] & ~reg_be))) |
14462 (addr_hit[ 12] & (|(PINMUX_PERMIT[ 12] & ~reg_be))) |
14463 (addr_hit[ 13] & (|(PINMUX_PERMIT[ 13] & ~reg_be))) |
14464 (addr_hit[ 14] & (|(PINMUX_PERMIT[ 14] & ~reg_be))) |
14465 (addr_hit[ 15] & (|(PINMUX_PERMIT[ 15] & ~reg_be))) |
14466 (addr_hit[ 16] & (|(PINMUX_PERMIT[ 16] & ~reg_be))) |
14467 (addr_hit[ 17] & (|(PINMUX_PERMIT[ 17] & ~reg_be))) |
14468 (addr_hit[ 18] & (|(PINMUX_PERMIT[ 18] & ~reg_be))) |
14469 (addr_hit[ 19] & (|(PINMUX_PERMIT[ 19] & ~reg_be))) |
14470 (addr_hit[ 20] & (|(PINMUX_PERMIT[ 20] & ~reg_be))) |
14471 (addr_hit[ 21] & (|(PINMUX_PERMIT[ 21] & ~reg_be))) |
14472 (addr_hit[ 22] & (|(PINMUX_PERMIT[ 22] & ~reg_be))) |
14473 (addr_hit[ 23] & (|(PINMUX_PERMIT[ 23] & ~reg_be))) |
14474 (addr_hit[ 24] & (|(PINMUX_PERMIT[ 24] & ~reg_be))) |
14475 (addr_hit[ 25] & (|(PINMUX_PERMIT[ 25] & ~reg_be))) |
14476 (addr_hit[ 26] & (|(PINMUX_PERMIT[ 26] & ~reg_be))) |
14477 (addr_hit[ 27] & (|(PINMUX_PERMIT[ 27] & ~reg_be))) |
14478 (addr_hit[ 28] & (|(PINMUX_PERMIT[ 28] & ~reg_be))) |
14479 (addr_hit[ 29] & (|(PINMUX_PERMIT[ 29] & ~reg_be))) |
14480 (addr_hit[ 30] & (|(PINMUX_PERMIT[ 30] & ~reg_be))) |
14481 (addr_hit[ 31] & (|(PINMUX_PERMIT[ 31] & ~reg_be))) |
14482 (addr_hit[ 32] & (|(PINMUX_PERMIT[ 32] & ~reg_be))) |
14483 (addr_hit[ 33] & (|(PINMUX_PERMIT[ 33] & ~reg_be))) |
14484 (addr_hit[ 34] & (|(PINMUX_PERMIT[ 34] & ~reg_be))) |
14485 (addr_hit[ 35] & (|(PINMUX_PERMIT[ 35] & ~reg_be))) |
14486 (addr_hit[ 36] & (|(PINMUX_PERMIT[ 36] & ~reg_be))) |
14487 (addr_hit[ 37] & (|(PINMUX_PERMIT[ 37] & ~reg_be))) |
14488 (addr_hit[ 38] & (|(PINMUX_PERMIT[ 38] & ~reg_be))) |
14489 (addr_hit[ 39] & (|(PINMUX_PERMIT[ 39] & ~reg_be))) |
14490 (addr_hit[ 40] & (|(PINMUX_PERMIT[ 40] & ~reg_be))) |
14491 (addr_hit[ 41] & (|(PINMUX_PERMIT[ 41] & ~reg_be))) |
14492 (addr_hit[ 42] & (|(PINMUX_PERMIT[ 42] & ~reg_be))) |
14493 (addr_hit[ 43] & (|(PINMUX_PERMIT[ 43] & ~reg_be))) |
14494 (addr_hit[ 44] & (|(PINMUX_PERMIT[ 44] & ~reg_be))) |
14495 (addr_hit[ 45] & (|(PINMUX_PERMIT[ 45] & ~reg_be))) |
14496 (addr_hit[ 46] & (|(PINMUX_PERMIT[ 46] & ~reg_be))) |
14497 (addr_hit[ 47] & (|(PINMUX_PERMIT[ 47] & ~reg_be))) |
14498 (addr_hit[ 48] & (|(PINMUX_PERMIT[ 48] & ~reg_be))) |
14499 (addr_hit[ 49] & (|(PINMUX_PERMIT[ 49] & ~reg_be))) |
14500 (addr_hit[ 50] & (|(PINMUX_PERMIT[ 50] & ~reg_be))) |
14501 (addr_hit[ 51] & (|(PINMUX_PERMIT[ 51] & ~reg_be))) |
14502 (addr_hit[ 52] & (|(PINMUX_PERMIT[ 52] & ~reg_be))) |
14503 (addr_hit[ 53] & (|(PINMUX_PERMIT[ 53] & ~reg_be))) |
14504 (addr_hit[ 54] & (|(PINMUX_PERMIT[ 54] & ~reg_be))) |
14505 (addr_hit[ 55] & (|(PINMUX_PERMIT[ 55] & ~reg_be))) |
14506 (addr_hit[ 56] & (|(PINMUX_PERMIT[ 56] & ~reg_be))) |
14507 (addr_hit[ 57] & (|(PINMUX_PERMIT[ 57] & ~reg_be))) |
14508 (addr_hit[ 58] & (|(PINMUX_PERMIT[ 58] & ~reg_be))) |
14509 (addr_hit[ 59] & (|(PINMUX_PERMIT[ 59] & ~reg_be))) |
14510 (addr_hit[ 60] & (|(PINMUX_PERMIT[ 60] & ~reg_be))) |
14511 (addr_hit[ 61] & (|(PINMUX_PERMIT[ 61] & ~reg_be))) |
14512 (addr_hit[ 62] & (|(PINMUX_PERMIT[ 62] & ~reg_be))) |
14513 (addr_hit[ 63] & (|(PINMUX_PERMIT[ 63] & ~reg_be))) |
14514 (addr_hit[ 64] & (|(PINMUX_PERMIT[ 64] & ~reg_be))) |
14515 (addr_hit[ 65] & (|(PINMUX_PERMIT[ 65] & ~reg_be))) |
14516 (addr_hit[ 66] & (|(PINMUX_PERMIT[ 66] & ~reg_be))) |
14517 (addr_hit[ 67] & (|(PINMUX_PERMIT[ 67] & ~reg_be))) |
14518 (addr_hit[ 68] & (|(PINMUX_PERMIT[ 68] & ~reg_be))) |
14519 (addr_hit[ 69] & (|(PINMUX_PERMIT[ 69] & ~reg_be))) |
14520 (addr_hit[ 70] & (|(PINMUX_PERMIT[ 70] & ~reg_be))) |
14521 (addr_hit[ 71] & (|(PINMUX_PERMIT[ 71] & ~reg_be))) |
14522 (addr_hit[ 72] & (|(PINMUX_PERMIT[ 72] & ~reg_be))) |
14523 (addr_hit[ 73] & (|(PINMUX_PERMIT[ 73] & ~reg_be))) |
14524 (addr_hit[ 74] & (|(PINMUX_PERMIT[ 74] & ~reg_be))) |
14525 (addr_hit[ 75] & (|(PINMUX_PERMIT[ 75] & ~reg_be))) |
14526 (addr_hit[ 76] & (|(PINMUX_PERMIT[ 76] & ~reg_be))) |
14527 (addr_hit[ 77] & (|(PINMUX_PERMIT[ 77] & ~reg_be))) |
14528 (addr_hit[ 78] & (|(PINMUX_PERMIT[ 78] & ~reg_be))) |
14529 (addr_hit[ 79] & (|(PINMUX_PERMIT[ 79] & ~reg_be))) |
14530 (addr_hit[ 80] & (|(PINMUX_PERMIT[ 80] & ~reg_be))) |
14531 (addr_hit[ 81] & (|(PINMUX_PERMIT[ 81] & ~reg_be))) |
14532 (addr_hit[ 82] & (|(PINMUX_PERMIT[ 82] & ~reg_be))) |
14533 (addr_hit[ 83] & (|(PINMUX_PERMIT[ 83] & ~reg_be))) |
14534 (addr_hit[ 84] & (|(PINMUX_PERMIT[ 84] & ~reg_be))) |
14535 (addr_hit[ 85] & (|(PINMUX_PERMIT[ 85] & ~reg_be))) |
14536 (addr_hit[ 86] & (|(PINMUX_PERMIT[ 86] & ~reg_be))) |
14537 (addr_hit[ 87] & (|(PINMUX_PERMIT[ 87] & ~reg_be))) |
14538 (addr_hit[ 88] & (|(PINMUX_PERMIT[ 88] & ~reg_be))) |
14539 (addr_hit[ 89] & (|(PINMUX_PERMIT[ 89] & ~reg_be))) |
14540 (addr_hit[ 90] & (|(PINMUX_PERMIT[ 90] & ~reg_be))) |
14541 (addr_hit[ 91] & (|(PINMUX_PERMIT[ 91] & ~reg_be))) |
14542 (addr_hit[ 92] & (|(PINMUX_PERMIT[ 92] & ~reg_be))) |
14543 (addr_hit[ 93] & (|(PINMUX_PERMIT[ 93] & ~reg_be))) |
14544 (addr_hit[ 94] & (|(PINMUX_PERMIT[ 94] & ~reg_be))) |
14545 (addr_hit[ 95] & (|(PINMUX_PERMIT[ 95] & ~reg_be))) |
14546 (addr_hit[ 96] & (|(PINMUX_PERMIT[ 96] & ~reg_be))) |
14547 (addr_hit[ 97] & (|(PINMUX_PERMIT[ 97] & ~reg_be))) |
14548 (addr_hit[ 98] & (|(PINMUX_PERMIT[ 98] & ~reg_be))) |
14549 (addr_hit[ 99] & (|(PINMUX_PERMIT[ 99] & ~reg_be))) |
14550 (addr_hit[100] & (|(PINMUX_PERMIT[100] & ~reg_be))) |
14551 (addr_hit[101] & (|(PINMUX_PERMIT[101] & ~reg_be))) |
14552 (addr_hit[102] & (|(PINMUX_PERMIT[102] & ~reg_be))) |
14553 (addr_hit[103] & (|(PINMUX_PERMIT[103] & ~reg_be))) |
14554 (addr_hit[104] & (|(PINMUX_PERMIT[104] & ~reg_be))) |
14555 (addr_hit[105] & (|(PINMUX_PERMIT[105] & ~reg_be))) |
14556 (addr_hit[106] & (|(PINMUX_PERMIT[106] & ~reg_be))) |
14557 (addr_hit[107] & (|(PINMUX_PERMIT[107] & ~reg_be))) |
14558 (addr_hit[108] & (|(PINMUX_PERMIT[108] & ~reg_be))) |
14559 (addr_hit[109] & (|(PINMUX_PERMIT[109] & ~reg_be))) |
14560 (addr_hit[110] & (|(PINMUX_PERMIT[110] & ~reg_be))) |
14561 (addr_hit[111] & (|(PINMUX_PERMIT[111] & ~reg_be))) |
14562 (addr_hit[112] & (|(PINMUX_PERMIT[112] & ~reg_be))) |
14563 (addr_hit[113] & (|(PINMUX_PERMIT[113] & ~reg_be))) |
14564 (addr_hit[114] & (|(PINMUX_PERMIT[114] & ~reg_be))) |
14565 (addr_hit[115] & (|(PINMUX_PERMIT[115] & ~reg_be))) |
14566 (addr_hit[116] & (|(PINMUX_PERMIT[116] & ~reg_be))) |
14567 (addr_hit[117] & (|(PINMUX_PERMIT[117] & ~reg_be))) |
14568 (addr_hit[118] & (|(PINMUX_PERMIT[118] & ~reg_be))) |
14569 (addr_hit[119] & (|(PINMUX_PERMIT[119] & ~reg_be))) |
14570 (addr_hit[120] & (|(PINMUX_PERMIT[120] & ~reg_be))) |
14571 (addr_hit[121] & (|(PINMUX_PERMIT[121] & ~reg_be))) |
14572 (addr_hit[122] & (|(PINMUX_PERMIT[122] & ~reg_be))) |
14573 (addr_hit[123] & (|(PINMUX_PERMIT[123] & ~reg_be))) |
14574 (addr_hit[124] & (|(PINMUX_PERMIT[124] & ~reg_be))) |
14575 (addr_hit[125] & (|(PINMUX_PERMIT[125] & ~reg_be))) |
14576 (addr_hit[126] & (|(PINMUX_PERMIT[126] & ~reg_be))) |
14577 (addr_hit[127] & (|(PINMUX_PERMIT[127] & ~reg_be))) |
14578 (addr_hit[128] & (|(PINMUX_PERMIT[128] & ~reg_be))) |
14579 (addr_hit[129] & (|(PINMUX_PERMIT[129] & ~reg_be))) |
14580 (addr_hit[130] & (|(PINMUX_PERMIT[130] & ~reg_be))) |
14581 (addr_hit[131] & (|(PINMUX_PERMIT[131] & ~reg_be))) |
14582 (addr_hit[132] & (|(PINMUX_PERMIT[132] & ~reg_be))) |
14583 (addr_hit[133] & (|(PINMUX_PERMIT[133] & ~reg_be))) |
14584 (addr_hit[134] & (|(PINMUX_PERMIT[134] & ~reg_be))) |
14585 (addr_hit[135] & (|(PINMUX_PERMIT[135] & ~reg_be))) |
14586 (addr_hit[136] & (|(PINMUX_PERMIT[136] & ~reg_be))) |
14587 (addr_hit[137] & (|(PINMUX_PERMIT[137] & ~reg_be))) |
14588 (addr_hit[138] & (|(PINMUX_PERMIT[138] & ~reg_be))) |
14589 (addr_hit[139] & (|(PINMUX_PERMIT[139] & ~reg_be))) |
14590 (addr_hit[140] & (|(PINMUX_PERMIT[140] & ~reg_be))) |
14591 (addr_hit[141] & (|(PINMUX_PERMIT[141] & ~reg_be))) |
14592 (addr_hit[142] & (|(PINMUX_PERMIT[142] & ~reg_be))) |
14593 (addr_hit[143] & (|(PINMUX_PERMIT[143] & ~reg_be))) |
14594 (addr_hit[144] & (|(PINMUX_PERMIT[144] & ~reg_be))) |
14595 (addr_hit[145] & (|(PINMUX_PERMIT[145] & ~reg_be))) |
14596 (addr_hit[146] & (|(PINMUX_PERMIT[146] & ~reg_be))) |
14597 (addr_hit[147] & (|(PINMUX_PERMIT[147] & ~reg_be))) |
14598 (addr_hit[148] & (|(PINMUX_PERMIT[148] & ~reg_be))) |
14599 (addr_hit[149] & (|(PINMUX_PERMIT[149] & ~reg_be))) |
14600 (addr_hit[150] & (|(PINMUX_PERMIT[150] & ~reg_be))) |
14601 (addr_hit[151] & (|(PINMUX_PERMIT[151] & ~reg_be))) |
14602 (addr_hit[152] & (|(PINMUX_PERMIT[152] & ~reg_be))) |
14603 (addr_hit[153] & (|(PINMUX_PERMIT[153] & ~reg_be))) |
14604 (addr_hit[154] & (|(PINMUX_PERMIT[154] & ~reg_be))) |
14605 (addr_hit[155] & (|(PINMUX_PERMIT[155] & ~reg_be))) |
14606 (addr_hit[156] & (|(PINMUX_PERMIT[156] & ~reg_be))) |
14607 (addr_hit[157] & (|(PINMUX_PERMIT[157] & ~reg_be))) |
14608 (addr_hit[158] & (|(PINMUX_PERMIT[158] & ~reg_be))) |
14609 (addr_hit[159] & (|(PINMUX_PERMIT[159] & ~reg_be))) |
14610 (addr_hit[160] & (|(PINMUX_PERMIT[160] & ~reg_be))) |
14611 (addr_hit[161] & (|(PINMUX_PERMIT[161] & ~reg_be))) |
14612 (addr_hit[162] & (|(PINMUX_PERMIT[162] & ~reg_be))) |
14613 (addr_hit[163] & (|(PINMUX_PERMIT[163] & ~reg_be))) |
14614 (addr_hit[164] & (|(PINMUX_PERMIT[164] & ~reg_be))) |
14615 (addr_hit[165] & (|(PINMUX_PERMIT[165] & ~reg_be))) |
14616 (addr_hit[166] & (|(PINMUX_PERMIT[166] & ~reg_be))) |
14617 (addr_hit[167] & (|(PINMUX_PERMIT[167] & ~reg_be))) |
14618 (addr_hit[168] & (|(PINMUX_PERMIT[168] & ~reg_be))) |
14619 (addr_hit[169] & (|(PINMUX_PERMIT[169] & ~reg_be))) |
14620 (addr_hit[170] & (|(PINMUX_PERMIT[170] & ~reg_be))) |
14621 (addr_hit[171] & (|(PINMUX_PERMIT[171] & ~reg_be))) |
14622 (addr_hit[172] & (|(PINMUX_PERMIT[172] & ~reg_be))) |
14623 (addr_hit[173] & (|(PINMUX_PERMIT[173] & ~reg_be))) |
14624 (addr_hit[174] & (|(PINMUX_PERMIT[174] & ~reg_be))) |
14625 (addr_hit[175] & (|(PINMUX_PERMIT[175] & ~reg_be))) |
14626 (addr_hit[176] & (|(PINMUX_PERMIT[176] & ~reg_be))) |
14627 (addr_hit[177] & (|(PINMUX_PERMIT[177] & ~reg_be))) |
14628 (addr_hit[178] & (|(PINMUX_PERMIT[178] & ~reg_be))) |
14629 (addr_hit[179] & (|(PINMUX_PERMIT[179] & ~reg_be))) |
14630 (addr_hit[180] & (|(PINMUX_PERMIT[180] & ~reg_be))) |
14631 (addr_hit[181] & (|(PINMUX_PERMIT[181] & ~reg_be))) |
14632 (addr_hit[182] & (|(PINMUX_PERMIT[182] & ~reg_be))) |
14633 (addr_hit[183] & (|(PINMUX_PERMIT[183] & ~reg_be))) |
14634 (addr_hit[184] & (|(PINMUX_PERMIT[184] & ~reg_be))) |
14635 (addr_hit[185] & (|(PINMUX_PERMIT[185] & ~reg_be))) |
14636 (addr_hit[186] & (|(PINMUX_PERMIT[186] & ~reg_be))) |
14637 (addr_hit[187] & (|(PINMUX_PERMIT[187] & ~reg_be))) |
14638 (addr_hit[188] & (|(PINMUX_PERMIT[188] & ~reg_be))) |
14639 (addr_hit[189] & (|(PINMUX_PERMIT[189] & ~reg_be))) |
14640 (addr_hit[190] & (|(PINMUX_PERMIT[190] & ~reg_be))) |
14641 (addr_hit[191] & (|(PINMUX_PERMIT[191] & ~reg_be))) |
14642 (addr_hit[192] & (|(PINMUX_PERMIT[192] & ~reg_be))) |
14643 (addr_hit[193] & (|(PINMUX_PERMIT[193] & ~reg_be))) |
14644 (addr_hit[194] & (|(PINMUX_PERMIT[194] & ~reg_be))) |
14645 (addr_hit[195] & (|(PINMUX_PERMIT[195] & ~reg_be))) |
14646 (addr_hit[196] & (|(PINMUX_PERMIT[196] & ~reg_be))) |
14647 (addr_hit[197] & (|(PINMUX_PERMIT[197] & ~reg_be))) |
14648 (addr_hit[198] & (|(PINMUX_PERMIT[198] & ~reg_be))) |
14649 (addr_hit[199] & (|(PINMUX_PERMIT[199] & ~reg_be))) |
14650 (addr_hit[200] & (|(PINMUX_PERMIT[200] & ~reg_be))) |
14651 (addr_hit[201] & (|(PINMUX_PERMIT[201] & ~reg_be))) |
14652 (addr_hit[202] & (|(PINMUX_PERMIT[202] & ~reg_be))) |
14653 (addr_hit[203] & (|(PINMUX_PERMIT[203] & ~reg_be))) |
14654 (addr_hit[204] & (|(PINMUX_PERMIT[204] & ~reg_be))) |
14655 (addr_hit[205] & (|(PINMUX_PERMIT[205] & ~reg_be))) |
14656 (addr_hit[206] & (|(PINMUX_PERMIT[206] & ~reg_be))) |
14657 (addr_hit[207] & (|(PINMUX_PERMIT[207] & ~reg_be))) |
14658 (addr_hit[208] & (|(PINMUX_PERMIT[208] & ~reg_be))) |
14659 (addr_hit[209] & (|(PINMUX_PERMIT[209] & ~reg_be))) |
14660 (addr_hit[210] & (|(PINMUX_PERMIT[210] & ~reg_be))) |
14661 (addr_hit[211] & (|(PINMUX_PERMIT[211] & ~reg_be))) |
14662 (addr_hit[212] & (|(PINMUX_PERMIT[212] & ~reg_be))) |
14663 (addr_hit[213] & (|(PINMUX_PERMIT[213] & ~reg_be))) |
14664 (addr_hit[214] & (|(PINMUX_PERMIT[214] & ~reg_be))) |
14665 (addr_hit[215] & (|(PINMUX_PERMIT[215] & ~reg_be))) |
14666 (addr_hit[216] & (|(PINMUX_PERMIT[216] & ~reg_be))) |
14667 (addr_hit[217] & (|(PINMUX_PERMIT[217] & ~reg_be))) |
14668 (addr_hit[218] & (|(PINMUX_PERMIT[218] & ~reg_be))) |
14669 (addr_hit[219] & (|(PINMUX_PERMIT[219] & ~reg_be))) |
14670 (addr_hit[220] & (|(PINMUX_PERMIT[220] & ~reg_be))) |
14671 (addr_hit[221] & (|(PINMUX_PERMIT[221] & ~reg_be))) |
14672 (addr_hit[222] & (|(PINMUX_PERMIT[222] & ~reg_be))) |
14673 (addr_hit[223] & (|(PINMUX_PERMIT[223] & ~reg_be))) |
14674 (addr_hit[224] & (|(PINMUX_PERMIT[224] & ~reg_be))) |
14675 (addr_hit[225] & (|(PINMUX_PERMIT[225] & ~reg_be))) |
14676 (addr_hit[226] & (|(PINMUX_PERMIT[226] & ~reg_be))) |
14677 (addr_hit[227] & (|(PINMUX_PERMIT[227] & ~reg_be))) |
14678 (addr_hit[228] & (|(PINMUX_PERMIT[228] & ~reg_be))) |
14679 (addr_hit[229] & (|(PINMUX_PERMIT[229] & ~reg_be))) |
14680 (addr_hit[230] & (|(PINMUX_PERMIT[230] & ~reg_be))) |
14681 (addr_hit[231] & (|(PINMUX_PERMIT[231] & ~reg_be))) |
14682 (addr_hit[232] & (|(PINMUX_PERMIT[232] & ~reg_be))) |
14683 (addr_hit[233] & (|(PINMUX_PERMIT[233] & ~reg_be))) |
14684 (addr_hit[234] & (|(PINMUX_PERMIT[234] & ~reg_be))) |
14685 (addr_hit[235] & (|(PINMUX_PERMIT[235] & ~reg_be))) |
14686 (addr_hit[236] & (|(PINMUX_PERMIT[236] & ~reg_be))) |
14687 (addr_hit[237] & (|(PINMUX_PERMIT[237] & ~reg_be))) |
14688 (addr_hit[238] & (|(PINMUX_PERMIT[238] & ~reg_be))) |
14689 (addr_hit[239] & (|(PINMUX_PERMIT[239] & ~reg_be))) |
14690 (addr_hit[240] & (|(PINMUX_PERMIT[240] & ~reg_be))) |
14691 (addr_hit[241] & (|(PINMUX_PERMIT[241] & ~reg_be))) |
14692 (addr_hit[242] & (|(PINMUX_PERMIT[242] & ~reg_be))) |
14693 (addr_hit[243] & (|(PINMUX_PERMIT[243] & ~reg_be))) |
14694 (addr_hit[244] & (|(PINMUX_PERMIT[244] & ~reg_be))) |
14695 (addr_hit[245] & (|(PINMUX_PERMIT[245] & ~reg_be))) |
14696 (addr_hit[246] & (|(PINMUX_PERMIT[246] & ~reg_be))) |
14697 (addr_hit[247] & (|(PINMUX_PERMIT[247] & ~reg_be))) |
14698 (addr_hit[248] & (|(PINMUX_PERMIT[248] & ~reg_be))) |
14699 (addr_hit[249] & (|(PINMUX_PERMIT[249] & ~reg_be))) |
14700 (addr_hit[250] & (|(PINMUX_PERMIT[250] & ~reg_be))) |
14701 (addr_hit[251] & (|(PINMUX_PERMIT[251] & ~reg_be))) |
14702 (addr_hit[252] & (|(PINMUX_PERMIT[252] & ~reg_be))) |
14703 (addr_hit[253] & (|(PINMUX_PERMIT[253] & ~reg_be))) |
14704 (addr_hit[254] & (|(PINMUX_PERMIT[254] & ~reg_be))) |
14705 (addr_hit[255] & (|(PINMUX_PERMIT[255] & ~reg_be))) |
14706 (addr_hit[256] & (|(PINMUX_PERMIT[256] & ~reg_be))) |
14707 (addr_hit[257] & (|(PINMUX_PERMIT[257] & ~reg_be))) |
14708 (addr_hit[258] & (|(PINMUX_PERMIT[258] & ~reg_be))) |
14709 (addr_hit[259] & (|(PINMUX_PERMIT[259] & ~reg_be))) |
14710 (addr_hit[260] & (|(PINMUX_PERMIT[260] & ~reg_be))) |
14711 (addr_hit[261] & (|(PINMUX_PERMIT[261] & ~reg_be))) |
14712 (addr_hit[262] & (|(PINMUX_PERMIT[262] & ~reg_be))) |
14713 (addr_hit[263] & (|(PINMUX_PERMIT[263] & ~reg_be))) |
14714 (addr_hit[264] & (|(PINMUX_PERMIT[264] & ~reg_be))) |
14715 (addr_hit[265] & (|(PINMUX_PERMIT[265] & ~reg_be))) |
14716 (addr_hit[266] & (|(PINMUX_PERMIT[266] & ~reg_be))) |
14717 (addr_hit[267] & (|(PINMUX_PERMIT[267] & ~reg_be))) |
14718 (addr_hit[268] & (|(PINMUX_PERMIT[268] & ~reg_be))) |
14719 (addr_hit[269] & (|(PINMUX_PERMIT[269] & ~reg_be))) |
14720 (addr_hit[270] & (|(PINMUX_PERMIT[270] & ~reg_be))) |
14721 (addr_hit[271] & (|(PINMUX_PERMIT[271] & ~reg_be))) |
14722 (addr_hit[272] & (|(PINMUX_PERMIT[272] & ~reg_be))) |
14723 (addr_hit[273] & (|(PINMUX_PERMIT[273] & ~reg_be))) |
14724 (addr_hit[274] & (|(PINMUX_PERMIT[274] & ~reg_be))) |
14725 (addr_hit[275] & (|(PINMUX_PERMIT[275] & ~reg_be))) |
14726 (addr_hit[276] & (|(PINMUX_PERMIT[276] & ~reg_be))) |
14727 (addr_hit[277] & (|(PINMUX_PERMIT[277] & ~reg_be))) |
14728 (addr_hit[278] & (|(PINMUX_PERMIT[278] & ~reg_be))) |
14729 (addr_hit[279] & (|(PINMUX_PERMIT[279] & ~reg_be))) |
14730 (addr_hit[280] & (|(PINMUX_PERMIT[280] & ~reg_be))) |
14731 (addr_hit[281] & (|(PINMUX_PERMIT[281] & ~reg_be))) |
14732 (addr_hit[282] & (|(PINMUX_PERMIT[282] & ~reg_be))) |
14733 (addr_hit[283] & (|(PINMUX_PERMIT[283] & ~reg_be))) |
14734 (addr_hit[284] & (|(PINMUX_PERMIT[284] & ~reg_be))) |
14735 (addr_hit[285] & (|(PINMUX_PERMIT[285] & ~reg_be))) |
14736 (addr_hit[286] & (|(PINMUX_PERMIT[286] & ~reg_be))) |
14737 (addr_hit[287] & (|(PINMUX_PERMIT[287] & ~reg_be))) |
14738 (addr_hit[288] & (|(PINMUX_PERMIT[288] & ~reg_be))) |
14739 (addr_hit[289] & (|(PINMUX_PERMIT[289] & ~reg_be))) |
14740 (addr_hit[290] & (|(PINMUX_PERMIT[290] & ~reg_be))) |
14741 (addr_hit[291] & (|(PINMUX_PERMIT[291] & ~reg_be))) |
14742 (addr_hit[292] & (|(PINMUX_PERMIT[292] & ~reg_be))) |
14743 (addr_hit[293] & (|(PINMUX_PERMIT[293] & ~reg_be))) |
14744 (addr_hit[294] & (|(PINMUX_PERMIT[294] & ~reg_be))) |
14745 (addr_hit[295] & (|(PINMUX_PERMIT[295] & ~reg_be))) |
14746 (addr_hit[296] & (|(PINMUX_PERMIT[296] & ~reg_be))) |
14747 (addr_hit[297] & (|(PINMUX_PERMIT[297] & ~reg_be))) |
14748 (addr_hit[298] & (|(PINMUX_PERMIT[298] & ~reg_be))) |
14749 (addr_hit[299] & (|(PINMUX_PERMIT[299] & ~reg_be))) |
14750 (addr_hit[300] & (|(PINMUX_PERMIT[300] & ~reg_be))) |
14751 (addr_hit[301] & (|(PINMUX_PERMIT[301] & ~reg_be))) |
14752 (addr_hit[302] & (|(PINMUX_PERMIT[302] & ~reg_be))) |
14753 (addr_hit[303] & (|(PINMUX_PERMIT[303] & ~reg_be))) |
14754 (addr_hit[304] & (|(PINMUX_PERMIT[304] & ~reg_be))) |
14755 (addr_hit[305] & (|(PINMUX_PERMIT[305] & ~reg_be))) |
14756 (addr_hit[306] & (|(PINMUX_PERMIT[306] & ~reg_be))) |
14757 (addr_hit[307] & (|(PINMUX_PERMIT[307] & ~reg_be))) |
14758 (addr_hit[308] & (|(PINMUX_PERMIT[308] & ~reg_be))) |
14759 (addr_hit[309] & (|(PINMUX_PERMIT[309] & ~reg_be))) |
14760 (addr_hit[310] & (|(PINMUX_PERMIT[310] & ~reg_be))) |
14761 (addr_hit[311] & (|(PINMUX_PERMIT[311] & ~reg_be))) |
14762 (addr_hit[312] & (|(PINMUX_PERMIT[312] & ~reg_be))) |
14763 (addr_hit[313] & (|(PINMUX_PERMIT[313] & ~reg_be))) |
14764 (addr_hit[314] & (|(PINMUX_PERMIT[314] & ~reg_be))) |
14765 (addr_hit[315] & (|(PINMUX_PERMIT[315] & ~reg_be))) |
14766 (addr_hit[316] & (|(PINMUX_PERMIT[316] & ~reg_be))) |
14767 (addr_hit[317] & (|(PINMUX_PERMIT[317] & ~reg_be))) |
14768 (addr_hit[318] & (|(PINMUX_PERMIT[318] & ~reg_be))) |
14769 (addr_hit[319] & (|(PINMUX_PERMIT[319] & ~reg_be))) |
14770 (addr_hit[320] & (|(PINMUX_PERMIT[320] & ~reg_be))) |
14771 (addr_hit[321] & (|(PINMUX_PERMIT[321] & ~reg_be))) |
14772 (addr_hit[322] & (|(PINMUX_PERMIT[322] & ~reg_be))) |
14773 (addr_hit[323] & (|(PINMUX_PERMIT[323] & ~reg_be))) |
14774 (addr_hit[324] & (|(PINMUX_PERMIT[324] & ~reg_be))) |
14775 (addr_hit[325] & (|(PINMUX_PERMIT[325] & ~reg_be))) |
14776 (addr_hit[326] & (|(PINMUX_PERMIT[326] & ~reg_be))) |
14777 (addr_hit[327] & (|(PINMUX_PERMIT[327] & ~reg_be))) |
14778 (addr_hit[328] & (|(PINMUX_PERMIT[328] & ~reg_be))) |
14779 (addr_hit[329] & (|(PINMUX_PERMIT[329] & ~reg_be))) |
14780 (addr_hit[330] & (|(PINMUX_PERMIT[330] & ~reg_be))) |
14781 (addr_hit[331] & (|(PINMUX_PERMIT[331] & ~reg_be))) |
14782 (addr_hit[332] & (|(PINMUX_PERMIT[332] & ~reg_be))) |
14783 (addr_hit[333] & (|(PINMUX_PERMIT[333] & ~reg_be))) |
14784 (addr_hit[334] & (|(PINMUX_PERMIT[334] & ~reg_be))) |
14785 (addr_hit[335] & (|(PINMUX_PERMIT[335] & ~reg_be))) |
14786 (addr_hit[336] & (|(PINMUX_PERMIT[336] & ~reg_be))) |
14787 (addr_hit[337] & (|(PINMUX_PERMIT[337] & ~reg_be))) |
14788 (addr_hit[338] & (|(PINMUX_PERMIT[338] & ~reg_be))) |
14789 (addr_hit[339] & (|(PINMUX_PERMIT[339] & ~reg_be))) |
14790 (addr_hit[340] & (|(PINMUX_PERMIT[340] & ~reg_be))) |
14791 (addr_hit[341] & (|(PINMUX_PERMIT[341] & ~reg_be))) |
14792 (addr_hit[342] & (|(PINMUX_PERMIT[342] & ~reg_be))) |
14793 (addr_hit[343] & (|(PINMUX_PERMIT[343] & ~reg_be))) |
14794 (addr_hit[344] & (|(PINMUX_PERMIT[344] & ~reg_be))) |
14795 (addr_hit[345] & (|(PINMUX_PERMIT[345] & ~reg_be))) |
14796 (addr_hit[346] & (|(PINMUX_PERMIT[346] & ~reg_be))) |
14797 (addr_hit[347] & (|(PINMUX_PERMIT[347] & ~reg_be))) |
14798 (addr_hit[348] & (|(PINMUX_PERMIT[348] & ~reg_be))) |
14799 (addr_hit[349] & (|(PINMUX_PERMIT[349] & ~reg_be))) |
14800 (addr_hit[350] & (|(PINMUX_PERMIT[350] & ~reg_be))) |
14801 (addr_hit[351] & (|(PINMUX_PERMIT[351] & ~reg_be))) |
14802 (addr_hit[352] & (|(PINMUX_PERMIT[352] & ~reg_be))) |
14803 (addr_hit[353] & (|(PINMUX_PERMIT[353] & ~reg_be))) |
14804 (addr_hit[354] & (|(PINMUX_PERMIT[354] & ~reg_be))) |
14805 (addr_hit[355] & (|(PINMUX_PERMIT[355] & ~reg_be))) |
14806 (addr_hit[356] & (|(PINMUX_PERMIT[356] & ~reg_be))) |
14807 (addr_hit[357] & (|(PINMUX_PERMIT[357] & ~reg_be))) |
14808 (addr_hit[358] & (|(PINMUX_PERMIT[358] & ~reg_be))) |
14809 (addr_hit[359] & (|(PINMUX_PERMIT[359] & ~reg_be))) |
14810 (addr_hit[360] & (|(PINMUX_PERMIT[360] & ~reg_be))) |
14811 (addr_hit[361] & (|(PINMUX_PERMIT[361] & ~reg_be))) |
14812 (addr_hit[362] & (|(PINMUX_PERMIT[362] & ~reg_be))) |
14813 (addr_hit[363] & (|(PINMUX_PERMIT[363] & ~reg_be))) |
14814 (addr_hit[364] & (|(PINMUX_PERMIT[364] & ~reg_be))) |
14815 (addr_hit[365] & (|(PINMUX_PERMIT[365] & ~reg_be))) |
14816 (addr_hit[366] & (|(PINMUX_PERMIT[366] & ~reg_be))) |
14817 (addr_hit[367] & (|(PINMUX_PERMIT[367] & ~reg_be))) |
14818 (addr_hit[368] & (|(PINMUX_PERMIT[368] & ~reg_be))) |
14819 (addr_hit[369] & (|(PINMUX_PERMIT[369] & ~reg_be))) |
14820 (addr_hit[370] & (|(PINMUX_PERMIT[370] & ~reg_be))) |
14821 (addr_hit[371] & (|(PINMUX_PERMIT[371] & ~reg_be))) |
14822 (addr_hit[372] & (|(PINMUX_PERMIT[372] & ~reg_be))) |
14823 (addr_hit[373] & (|(PINMUX_PERMIT[373] & ~reg_be))) |
14824 (addr_hit[374] & (|(PINMUX_PERMIT[374] & ~reg_be))) |
14825 (addr_hit[375] & (|(PINMUX_PERMIT[375] & ~reg_be))) |
14826 (addr_hit[376] & (|(PINMUX_PERMIT[376] & ~reg_be))) |
14827 (addr_hit[377] & (|(PINMUX_PERMIT[377] & ~reg_be))) |
14828 (addr_hit[378] & (|(PINMUX_PERMIT[378] & ~reg_be))) |
14829 (addr_hit[379] & (|(PINMUX_PERMIT[379] & ~reg_be))) |
14830 (addr_hit[380] & (|(PINMUX_PERMIT[380] & ~reg_be))) |
14831 (addr_hit[381] & (|(PINMUX_PERMIT[381] & ~reg_be))) |
14832 (addr_hit[382] & (|(PINMUX_PERMIT[382] & ~reg_be))) |
14833 (addr_hit[383] & (|(PINMUX_PERMIT[383] & ~reg_be))) |
14834 (addr_hit[384] & (|(PINMUX_PERMIT[384] & ~reg_be))) |
14835 (addr_hit[385] & (|(PINMUX_PERMIT[385] & ~reg_be))) |
14836 (addr_hit[386] & (|(PINMUX_PERMIT[386] & ~reg_be))) |
14837 (addr_hit[387] & (|(PINMUX_PERMIT[387] & ~reg_be))) |
14838 (addr_hit[388] & (|(PINMUX_PERMIT[388] & ~reg_be))) |
14839 (addr_hit[389] & (|(PINMUX_PERMIT[389] & ~reg_be))) |
14840 (addr_hit[390] & (|(PINMUX_PERMIT[390] & ~reg_be))) |
14841 (addr_hit[391] & (|(PINMUX_PERMIT[391] & ~reg_be))) |
14842 (addr_hit[392] & (|(PINMUX_PERMIT[392] & ~reg_be))) |
14843 (addr_hit[393] & (|(PINMUX_PERMIT[393] & ~reg_be))) |
14844 (addr_hit[394] & (|(PINMUX_PERMIT[394] & ~reg_be))) |
14845 (addr_hit[395] & (|(PINMUX_PERMIT[395] & ~reg_be))) |
14846 (addr_hit[396] & (|(PINMUX_PERMIT[396] & ~reg_be))) |
14847 (addr_hit[397] & (|(PINMUX_PERMIT[397] & ~reg_be))) |
14848 (addr_hit[398] & (|(PINMUX_PERMIT[398] & ~reg_be))) |
14849 (addr_hit[399] & (|(PINMUX_PERMIT[399] & ~reg_be))) |
14850 (addr_hit[400] & (|(PINMUX_PERMIT[400] & ~reg_be))) |
14851 (addr_hit[401] & (|(PINMUX_PERMIT[401] & ~reg_be))) |
14852 (addr_hit[402] & (|(PINMUX_PERMIT[402] & ~reg_be))) |
14853 (addr_hit[403] & (|(PINMUX_PERMIT[403] & ~reg_be))) |
14854 (addr_hit[404] & (|(PINMUX_PERMIT[404] & ~reg_be))) |
14855 (addr_hit[405] & (|(PINMUX_PERMIT[405] & ~reg_be))) |
14856 (addr_hit[406] & (|(PINMUX_PERMIT[406] & ~reg_be))) |
14857 (addr_hit[407] & (|(PINMUX_PERMIT[407] & ~reg_be))) |
14858 (addr_hit[408] & (|(PINMUX_PERMIT[408] & ~reg_be))) |
14859 (addr_hit[409] & (|(PINMUX_PERMIT[409] & ~reg_be))) |
14860 (addr_hit[410] & (|(PINMUX_PERMIT[410] & ~reg_be))) |
14861 (addr_hit[411] & (|(PINMUX_PERMIT[411] & ~reg_be))) |
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014862 (addr_hit[412] & (|(PINMUX_PERMIT[412] & ~reg_be))) |
14863 (addr_hit[413] & (|(PINMUX_PERMIT[413] & ~reg_be)))));
Michael Schaffner51c61442019-10-01 15:49:10 -070014864 end
14865
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014866 assign alert_test_we = addr_hit[0] & reg_we & !reg_error;
14867 assign alert_test_wd = reg_wdata[0];
14868
14869 assign mio_periph_insel_regwen_0_we = addr_hit[1] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014870 assign mio_periph_insel_regwen_0_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014871
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014872 assign mio_periph_insel_regwen_1_we = addr_hit[2] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014873 assign mio_periph_insel_regwen_1_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014874
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014875 assign mio_periph_insel_regwen_2_we = addr_hit[3] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014876 assign mio_periph_insel_regwen_2_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014877
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014878 assign mio_periph_insel_regwen_3_we = addr_hit[4] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014879 assign mio_periph_insel_regwen_3_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014880
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014881 assign mio_periph_insel_regwen_4_we = addr_hit[5] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014882 assign mio_periph_insel_regwen_4_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014883
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014884 assign mio_periph_insel_regwen_5_we = addr_hit[6] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014885 assign mio_periph_insel_regwen_5_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014886
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014887 assign mio_periph_insel_regwen_6_we = addr_hit[7] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014888 assign mio_periph_insel_regwen_6_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014889
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014890 assign mio_periph_insel_regwen_7_we = addr_hit[8] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014891 assign mio_periph_insel_regwen_7_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014892
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014893 assign mio_periph_insel_regwen_8_we = addr_hit[9] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014894 assign mio_periph_insel_regwen_8_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014895
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014896 assign mio_periph_insel_regwen_9_we = addr_hit[10] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014897 assign mio_periph_insel_regwen_9_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014898
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014899 assign mio_periph_insel_regwen_10_we = addr_hit[11] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014900 assign mio_periph_insel_regwen_10_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014901
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014902 assign mio_periph_insel_regwen_11_we = addr_hit[12] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014903 assign mio_periph_insel_regwen_11_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014904
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014905 assign mio_periph_insel_regwen_12_we = addr_hit[13] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014906 assign mio_periph_insel_regwen_12_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014907
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014908 assign mio_periph_insel_regwen_13_we = addr_hit[14] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014909 assign mio_periph_insel_regwen_13_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014910
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014911 assign mio_periph_insel_regwen_14_we = addr_hit[15] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014912 assign mio_periph_insel_regwen_14_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014913
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014914 assign mio_periph_insel_regwen_15_we = addr_hit[16] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014915 assign mio_periph_insel_regwen_15_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014916
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014917 assign mio_periph_insel_regwen_16_we = addr_hit[17] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014918 assign mio_periph_insel_regwen_16_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014919
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014920 assign mio_periph_insel_regwen_17_we = addr_hit[18] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014921 assign mio_periph_insel_regwen_17_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014922
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014923 assign mio_periph_insel_regwen_18_we = addr_hit[19] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014924 assign mio_periph_insel_regwen_18_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014925
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014926 assign mio_periph_insel_regwen_19_we = addr_hit[20] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014927 assign mio_periph_insel_regwen_19_wd = reg_wdata[0];
14928
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014929 assign mio_periph_insel_regwen_20_we = addr_hit[21] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014930 assign mio_periph_insel_regwen_20_wd = reg_wdata[0];
14931
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014932 assign mio_periph_insel_regwen_21_we = addr_hit[22] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014933 assign mio_periph_insel_regwen_21_wd = reg_wdata[0];
14934
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014935 assign mio_periph_insel_regwen_22_we = addr_hit[23] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014936 assign mio_periph_insel_regwen_22_wd = reg_wdata[0];
14937
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014938 assign mio_periph_insel_regwen_23_we = addr_hit[24] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014939 assign mio_periph_insel_regwen_23_wd = reg_wdata[0];
14940
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014941 assign mio_periph_insel_regwen_24_we = addr_hit[25] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014942 assign mio_periph_insel_regwen_24_wd = reg_wdata[0];
14943
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014944 assign mio_periph_insel_regwen_25_we = addr_hit[26] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014945 assign mio_periph_insel_regwen_25_wd = reg_wdata[0];
14946
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014947 assign mio_periph_insel_regwen_26_we = addr_hit[27] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014948 assign mio_periph_insel_regwen_26_wd = reg_wdata[0];
14949
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014950 assign mio_periph_insel_regwen_27_we = addr_hit[28] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014951 assign mio_periph_insel_regwen_27_wd = reg_wdata[0];
14952
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014953 assign mio_periph_insel_regwen_28_we = addr_hit[29] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014954 assign mio_periph_insel_regwen_28_wd = reg_wdata[0];
14955
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014956 assign mio_periph_insel_regwen_29_we = addr_hit[30] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014957 assign mio_periph_insel_regwen_29_wd = reg_wdata[0];
14958
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014959 assign mio_periph_insel_regwen_30_we = addr_hit[31] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014960 assign mio_periph_insel_regwen_30_wd = reg_wdata[0];
14961
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014962 assign mio_periph_insel_regwen_31_we = addr_hit[32] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014963 assign mio_periph_insel_regwen_31_wd = reg_wdata[0];
14964
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014965 assign mio_periph_insel_regwen_32_we = addr_hit[33] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014966 assign mio_periph_insel_regwen_32_wd = reg_wdata[0];
14967
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014968 assign mio_periph_insel_0_we = addr_hit[34] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014969 assign mio_periph_insel_0_wd = reg_wdata[5:0];
14970
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014971 assign mio_periph_insel_1_we = addr_hit[35] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014972 assign mio_periph_insel_1_wd = reg_wdata[5:0];
14973
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014974 assign mio_periph_insel_2_we = addr_hit[36] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014975 assign mio_periph_insel_2_wd = reg_wdata[5:0];
14976
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014977 assign mio_periph_insel_3_we = addr_hit[37] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014978 assign mio_periph_insel_3_wd = reg_wdata[5:0];
14979
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014980 assign mio_periph_insel_4_we = addr_hit[38] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014981 assign mio_periph_insel_4_wd = reg_wdata[5:0];
14982
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014983 assign mio_periph_insel_5_we = addr_hit[39] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014984 assign mio_periph_insel_5_wd = reg_wdata[5:0];
14985
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014986 assign mio_periph_insel_6_we = addr_hit[40] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014987 assign mio_periph_insel_6_wd = reg_wdata[5:0];
14988
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014989 assign mio_periph_insel_7_we = addr_hit[41] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014990 assign mio_periph_insel_7_wd = reg_wdata[5:0];
14991
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014992 assign mio_periph_insel_8_we = addr_hit[42] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014993 assign mio_periph_insel_8_wd = reg_wdata[5:0];
14994
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014995 assign mio_periph_insel_9_we = addr_hit[43] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014996 assign mio_periph_insel_9_wd = reg_wdata[5:0];
14997
Michael Schaffneraf488fb2021-06-07 17:31:14 -070014998 assign mio_periph_insel_10_we = addr_hit[44] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014999 assign mio_periph_insel_10_wd = reg_wdata[5:0];
15000
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015001 assign mio_periph_insel_11_we = addr_hit[45] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015002 assign mio_periph_insel_11_wd = reg_wdata[5:0];
15003
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015004 assign mio_periph_insel_12_we = addr_hit[46] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015005 assign mio_periph_insel_12_wd = reg_wdata[5:0];
15006
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015007 assign mio_periph_insel_13_we = addr_hit[47] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015008 assign mio_periph_insel_13_wd = reg_wdata[5:0];
15009
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015010 assign mio_periph_insel_14_we = addr_hit[48] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015011 assign mio_periph_insel_14_wd = reg_wdata[5:0];
15012
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015013 assign mio_periph_insel_15_we = addr_hit[49] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015014 assign mio_periph_insel_15_wd = reg_wdata[5:0];
15015
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015016 assign mio_periph_insel_16_we = addr_hit[50] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015017 assign mio_periph_insel_16_wd = reg_wdata[5:0];
15018
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015019 assign mio_periph_insel_17_we = addr_hit[51] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015020 assign mio_periph_insel_17_wd = reg_wdata[5:0];
15021
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015022 assign mio_periph_insel_18_we = addr_hit[52] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015023 assign mio_periph_insel_18_wd = reg_wdata[5:0];
15024
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015025 assign mio_periph_insel_19_we = addr_hit[53] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015026 assign mio_periph_insel_19_wd = reg_wdata[5:0];
15027
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015028 assign mio_periph_insel_20_we = addr_hit[54] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015029 assign mio_periph_insel_20_wd = reg_wdata[5:0];
15030
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015031 assign mio_periph_insel_21_we = addr_hit[55] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015032 assign mio_periph_insel_21_wd = reg_wdata[5:0];
15033
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015034 assign mio_periph_insel_22_we = addr_hit[56] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015035 assign mio_periph_insel_22_wd = reg_wdata[5:0];
15036
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015037 assign mio_periph_insel_23_we = addr_hit[57] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015038 assign mio_periph_insel_23_wd = reg_wdata[5:0];
15039
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015040 assign mio_periph_insel_24_we = addr_hit[58] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015041 assign mio_periph_insel_24_wd = reg_wdata[5:0];
15042
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015043 assign mio_periph_insel_25_we = addr_hit[59] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015044 assign mio_periph_insel_25_wd = reg_wdata[5:0];
15045
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015046 assign mio_periph_insel_26_we = addr_hit[60] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015047 assign mio_periph_insel_26_wd = reg_wdata[5:0];
15048
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015049 assign mio_periph_insel_27_we = addr_hit[61] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015050 assign mio_periph_insel_27_wd = reg_wdata[5:0];
15051
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015052 assign mio_periph_insel_28_we = addr_hit[62] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015053 assign mio_periph_insel_28_wd = reg_wdata[5:0];
15054
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015055 assign mio_periph_insel_29_we = addr_hit[63] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015056 assign mio_periph_insel_29_wd = reg_wdata[5:0];
15057
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015058 assign mio_periph_insel_30_we = addr_hit[64] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015059 assign mio_periph_insel_30_wd = reg_wdata[5:0];
15060
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015061 assign mio_periph_insel_31_we = addr_hit[65] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015062 assign mio_periph_insel_31_wd = reg_wdata[5:0];
15063
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015064 assign mio_periph_insel_32_we = addr_hit[66] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015065 assign mio_periph_insel_32_wd = reg_wdata[5:0];
15066
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015067 assign mio_outsel_regwen_0_we = addr_hit[67] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015068 assign mio_outsel_regwen_0_wd = reg_wdata[0];
15069
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015070 assign mio_outsel_regwen_1_we = addr_hit[68] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015071 assign mio_outsel_regwen_1_wd = reg_wdata[0];
15072
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015073 assign mio_outsel_regwen_2_we = addr_hit[69] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015074 assign mio_outsel_regwen_2_wd = reg_wdata[0];
15075
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015076 assign mio_outsel_regwen_3_we = addr_hit[70] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015077 assign mio_outsel_regwen_3_wd = reg_wdata[0];
15078
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015079 assign mio_outsel_regwen_4_we = addr_hit[71] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015080 assign mio_outsel_regwen_4_wd = reg_wdata[0];
15081
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015082 assign mio_outsel_regwen_5_we = addr_hit[72] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015083 assign mio_outsel_regwen_5_wd = reg_wdata[0];
15084
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015085 assign mio_outsel_regwen_6_we = addr_hit[73] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015086 assign mio_outsel_regwen_6_wd = reg_wdata[0];
15087
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015088 assign mio_outsel_regwen_7_we = addr_hit[74] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015089 assign mio_outsel_regwen_7_wd = reg_wdata[0];
15090
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015091 assign mio_outsel_regwen_8_we = addr_hit[75] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015092 assign mio_outsel_regwen_8_wd = reg_wdata[0];
15093
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015094 assign mio_outsel_regwen_9_we = addr_hit[76] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015095 assign mio_outsel_regwen_9_wd = reg_wdata[0];
15096
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015097 assign mio_outsel_regwen_10_we = addr_hit[77] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015098 assign mio_outsel_regwen_10_wd = reg_wdata[0];
15099
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015100 assign mio_outsel_regwen_11_we = addr_hit[78] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015101 assign mio_outsel_regwen_11_wd = reg_wdata[0];
15102
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015103 assign mio_outsel_regwen_12_we = addr_hit[79] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015104 assign mio_outsel_regwen_12_wd = reg_wdata[0];
15105
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015106 assign mio_outsel_regwen_13_we = addr_hit[80] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015107 assign mio_outsel_regwen_13_wd = reg_wdata[0];
15108
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015109 assign mio_outsel_regwen_14_we = addr_hit[81] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015110 assign mio_outsel_regwen_14_wd = reg_wdata[0];
15111
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015112 assign mio_outsel_regwen_15_we = addr_hit[82] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015113 assign mio_outsel_regwen_15_wd = reg_wdata[0];
15114
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015115 assign mio_outsel_regwen_16_we = addr_hit[83] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015116 assign mio_outsel_regwen_16_wd = reg_wdata[0];
15117
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015118 assign mio_outsel_regwen_17_we = addr_hit[84] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015119 assign mio_outsel_regwen_17_wd = reg_wdata[0];
15120
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015121 assign mio_outsel_regwen_18_we = addr_hit[85] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015122 assign mio_outsel_regwen_18_wd = reg_wdata[0];
15123
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015124 assign mio_outsel_regwen_19_we = addr_hit[86] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015125 assign mio_outsel_regwen_19_wd = reg_wdata[0];
15126
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015127 assign mio_outsel_regwen_20_we = addr_hit[87] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015128 assign mio_outsel_regwen_20_wd = reg_wdata[0];
15129
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015130 assign mio_outsel_regwen_21_we = addr_hit[88] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015131 assign mio_outsel_regwen_21_wd = reg_wdata[0];
15132
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015133 assign mio_outsel_regwen_22_we = addr_hit[89] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015134 assign mio_outsel_regwen_22_wd = reg_wdata[0];
15135
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015136 assign mio_outsel_regwen_23_we = addr_hit[90] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015137 assign mio_outsel_regwen_23_wd = reg_wdata[0];
15138
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015139 assign mio_outsel_regwen_24_we = addr_hit[91] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015140 assign mio_outsel_regwen_24_wd = reg_wdata[0];
15141
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015142 assign mio_outsel_regwen_25_we = addr_hit[92] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015143 assign mio_outsel_regwen_25_wd = reg_wdata[0];
15144
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015145 assign mio_outsel_regwen_26_we = addr_hit[93] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015146 assign mio_outsel_regwen_26_wd = reg_wdata[0];
15147
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015148 assign mio_outsel_regwen_27_we = addr_hit[94] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015149 assign mio_outsel_regwen_27_wd = reg_wdata[0];
15150
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015151 assign mio_outsel_regwen_28_we = addr_hit[95] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015152 assign mio_outsel_regwen_28_wd = reg_wdata[0];
15153
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015154 assign mio_outsel_regwen_29_we = addr_hit[96] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015155 assign mio_outsel_regwen_29_wd = reg_wdata[0];
15156
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015157 assign mio_outsel_regwen_30_we = addr_hit[97] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015158 assign mio_outsel_regwen_30_wd = reg_wdata[0];
15159
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015160 assign mio_outsel_regwen_31_we = addr_hit[98] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015161 assign mio_outsel_regwen_31_wd = reg_wdata[0];
15162
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015163 assign mio_outsel_0_we = addr_hit[99] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015164 assign mio_outsel_0_wd = reg_wdata[5:0];
15165
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015166 assign mio_outsel_1_we = addr_hit[100] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015167 assign mio_outsel_1_wd = reg_wdata[5:0];
15168
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015169 assign mio_outsel_2_we = addr_hit[101] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015170 assign mio_outsel_2_wd = reg_wdata[5:0];
15171
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015172 assign mio_outsel_3_we = addr_hit[102] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015173 assign mio_outsel_3_wd = reg_wdata[5:0];
15174
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015175 assign mio_outsel_4_we = addr_hit[103] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015176 assign mio_outsel_4_wd = reg_wdata[5:0];
15177
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015178 assign mio_outsel_5_we = addr_hit[104] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015179 assign mio_outsel_5_wd = reg_wdata[5:0];
15180
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015181 assign mio_outsel_6_we = addr_hit[105] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015182 assign mio_outsel_6_wd = reg_wdata[5:0];
15183
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015184 assign mio_outsel_7_we = addr_hit[106] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015185 assign mio_outsel_7_wd = reg_wdata[5:0];
15186
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015187 assign mio_outsel_8_we = addr_hit[107] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015188 assign mio_outsel_8_wd = reg_wdata[5:0];
15189
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015190 assign mio_outsel_9_we = addr_hit[108] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015191 assign mio_outsel_9_wd = reg_wdata[5:0];
15192
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015193 assign mio_outsel_10_we = addr_hit[109] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015194 assign mio_outsel_10_wd = reg_wdata[5:0];
15195
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015196 assign mio_outsel_11_we = addr_hit[110] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015197 assign mio_outsel_11_wd = reg_wdata[5:0];
15198
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015199 assign mio_outsel_12_we = addr_hit[111] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015200 assign mio_outsel_12_wd = reg_wdata[5:0];
15201
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015202 assign mio_outsel_13_we = addr_hit[112] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015203 assign mio_outsel_13_wd = reg_wdata[5:0];
15204
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015205 assign mio_outsel_14_we = addr_hit[113] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015206 assign mio_outsel_14_wd = reg_wdata[5:0];
15207
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015208 assign mio_outsel_15_we = addr_hit[114] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015209 assign mio_outsel_15_wd = reg_wdata[5:0];
15210
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015211 assign mio_outsel_16_we = addr_hit[115] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015212 assign mio_outsel_16_wd = reg_wdata[5:0];
15213
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015214 assign mio_outsel_17_we = addr_hit[116] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015215 assign mio_outsel_17_wd = reg_wdata[5:0];
15216
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015217 assign mio_outsel_18_we = addr_hit[117] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015218 assign mio_outsel_18_wd = reg_wdata[5:0];
15219
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015220 assign mio_outsel_19_we = addr_hit[118] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015221 assign mio_outsel_19_wd = reg_wdata[5:0];
15222
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015223 assign mio_outsel_20_we = addr_hit[119] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015224 assign mio_outsel_20_wd = reg_wdata[5:0];
15225
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015226 assign mio_outsel_21_we = addr_hit[120] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015227 assign mio_outsel_21_wd = reg_wdata[5:0];
15228
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015229 assign mio_outsel_22_we = addr_hit[121] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015230 assign mio_outsel_22_wd = reg_wdata[5:0];
15231
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015232 assign mio_outsel_23_we = addr_hit[122] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015233 assign mio_outsel_23_wd = reg_wdata[5:0];
15234
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015235 assign mio_outsel_24_we = addr_hit[123] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015236 assign mio_outsel_24_wd = reg_wdata[5:0];
15237
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015238 assign mio_outsel_25_we = addr_hit[124] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015239 assign mio_outsel_25_wd = reg_wdata[5:0];
15240
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015241 assign mio_outsel_26_we = addr_hit[125] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015242 assign mio_outsel_26_wd = reg_wdata[5:0];
15243
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015244 assign mio_outsel_27_we = addr_hit[126] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015245 assign mio_outsel_27_wd = reg_wdata[5:0];
15246
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015247 assign mio_outsel_28_we = addr_hit[127] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015248 assign mio_outsel_28_wd = reg_wdata[5:0];
15249
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015250 assign mio_outsel_29_we = addr_hit[128] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015251 assign mio_outsel_29_wd = reg_wdata[5:0];
15252
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015253 assign mio_outsel_30_we = addr_hit[129] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015254 assign mio_outsel_30_wd = reg_wdata[5:0];
15255
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015256 assign mio_outsel_31_we = addr_hit[130] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015257 assign mio_outsel_31_wd = reg_wdata[5:0];
15258
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015259 assign mio_pad_attr_regwen_0_we = addr_hit[131] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015260 assign mio_pad_attr_regwen_0_wd = reg_wdata[0];
15261
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015262 assign mio_pad_attr_regwen_1_we = addr_hit[132] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015263 assign mio_pad_attr_regwen_1_wd = reg_wdata[0];
15264
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015265 assign mio_pad_attr_regwen_2_we = addr_hit[133] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015266 assign mio_pad_attr_regwen_2_wd = reg_wdata[0];
15267
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015268 assign mio_pad_attr_regwen_3_we = addr_hit[134] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015269 assign mio_pad_attr_regwen_3_wd = reg_wdata[0];
15270
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015271 assign mio_pad_attr_regwen_4_we = addr_hit[135] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015272 assign mio_pad_attr_regwen_4_wd = reg_wdata[0];
15273
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015274 assign mio_pad_attr_regwen_5_we = addr_hit[136] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015275 assign mio_pad_attr_regwen_5_wd = reg_wdata[0];
15276
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015277 assign mio_pad_attr_regwen_6_we = addr_hit[137] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015278 assign mio_pad_attr_regwen_6_wd = reg_wdata[0];
15279
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015280 assign mio_pad_attr_regwen_7_we = addr_hit[138] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015281 assign mio_pad_attr_regwen_7_wd = reg_wdata[0];
15282
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015283 assign mio_pad_attr_regwen_8_we = addr_hit[139] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015284 assign mio_pad_attr_regwen_8_wd = reg_wdata[0];
15285
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015286 assign mio_pad_attr_regwen_9_we = addr_hit[140] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015287 assign mio_pad_attr_regwen_9_wd = reg_wdata[0];
15288
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015289 assign mio_pad_attr_regwen_10_we = addr_hit[141] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015290 assign mio_pad_attr_regwen_10_wd = reg_wdata[0];
15291
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015292 assign mio_pad_attr_regwen_11_we = addr_hit[142] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015293 assign mio_pad_attr_regwen_11_wd = reg_wdata[0];
15294
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015295 assign mio_pad_attr_regwen_12_we = addr_hit[143] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015296 assign mio_pad_attr_regwen_12_wd = reg_wdata[0];
15297
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015298 assign mio_pad_attr_regwen_13_we = addr_hit[144] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015299 assign mio_pad_attr_regwen_13_wd = reg_wdata[0];
15300
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015301 assign mio_pad_attr_regwen_14_we = addr_hit[145] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015302 assign mio_pad_attr_regwen_14_wd = reg_wdata[0];
15303
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015304 assign mio_pad_attr_regwen_15_we = addr_hit[146] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015305 assign mio_pad_attr_regwen_15_wd = reg_wdata[0];
15306
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015307 assign mio_pad_attr_regwen_16_we = addr_hit[147] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015308 assign mio_pad_attr_regwen_16_wd = reg_wdata[0];
15309
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015310 assign mio_pad_attr_regwen_17_we = addr_hit[148] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015311 assign mio_pad_attr_regwen_17_wd = reg_wdata[0];
15312
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015313 assign mio_pad_attr_regwen_18_we = addr_hit[149] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015314 assign mio_pad_attr_regwen_18_wd = reg_wdata[0];
15315
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015316 assign mio_pad_attr_regwen_19_we = addr_hit[150] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015317 assign mio_pad_attr_regwen_19_wd = reg_wdata[0];
15318
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015319 assign mio_pad_attr_regwen_20_we = addr_hit[151] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015320 assign mio_pad_attr_regwen_20_wd = reg_wdata[0];
15321
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015322 assign mio_pad_attr_regwen_21_we = addr_hit[152] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015323 assign mio_pad_attr_regwen_21_wd = reg_wdata[0];
15324
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015325 assign mio_pad_attr_regwen_22_we = addr_hit[153] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015326 assign mio_pad_attr_regwen_22_wd = reg_wdata[0];
15327
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015328 assign mio_pad_attr_regwen_23_we = addr_hit[154] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015329 assign mio_pad_attr_regwen_23_wd = reg_wdata[0];
15330
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015331 assign mio_pad_attr_regwen_24_we = addr_hit[155] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015332 assign mio_pad_attr_regwen_24_wd = reg_wdata[0];
15333
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015334 assign mio_pad_attr_regwen_25_we = addr_hit[156] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015335 assign mio_pad_attr_regwen_25_wd = reg_wdata[0];
15336
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015337 assign mio_pad_attr_regwen_26_we = addr_hit[157] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015338 assign mio_pad_attr_regwen_26_wd = reg_wdata[0];
15339
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015340 assign mio_pad_attr_regwen_27_we = addr_hit[158] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015341 assign mio_pad_attr_regwen_27_wd = reg_wdata[0];
15342
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015343 assign mio_pad_attr_regwen_28_we = addr_hit[159] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015344 assign mio_pad_attr_regwen_28_wd = reg_wdata[0];
15345
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015346 assign mio_pad_attr_regwen_29_we = addr_hit[160] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015347 assign mio_pad_attr_regwen_29_wd = reg_wdata[0];
15348
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015349 assign mio_pad_attr_regwen_30_we = addr_hit[161] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015350 assign mio_pad_attr_regwen_30_wd = reg_wdata[0];
15351
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015352 assign mio_pad_attr_regwen_31_we = addr_hit[162] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015353 assign mio_pad_attr_regwen_31_wd = reg_wdata[0];
15354
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015355 assign mio_pad_attr_0_we = addr_hit[163] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015356 assign mio_pad_attr_0_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015357 assign mio_pad_attr_0_re = addr_hit[163] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015358
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015359 assign mio_pad_attr_1_we = addr_hit[164] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015360 assign mio_pad_attr_1_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015361 assign mio_pad_attr_1_re = addr_hit[164] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015362
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015363 assign mio_pad_attr_2_we = addr_hit[165] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015364 assign mio_pad_attr_2_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015365 assign mio_pad_attr_2_re = addr_hit[165] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015366
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015367 assign mio_pad_attr_3_we = addr_hit[166] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015368 assign mio_pad_attr_3_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015369 assign mio_pad_attr_3_re = addr_hit[166] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015370
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015371 assign mio_pad_attr_4_we = addr_hit[167] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015372 assign mio_pad_attr_4_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015373 assign mio_pad_attr_4_re = addr_hit[167] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015374
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015375 assign mio_pad_attr_5_we = addr_hit[168] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015376 assign mio_pad_attr_5_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015377 assign mio_pad_attr_5_re = addr_hit[168] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015378
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015379 assign mio_pad_attr_6_we = addr_hit[169] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015380 assign mio_pad_attr_6_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015381 assign mio_pad_attr_6_re = addr_hit[169] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015382
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015383 assign mio_pad_attr_7_we = addr_hit[170] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015384 assign mio_pad_attr_7_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015385 assign mio_pad_attr_7_re = addr_hit[170] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015386
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015387 assign mio_pad_attr_8_we = addr_hit[171] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015388 assign mio_pad_attr_8_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015389 assign mio_pad_attr_8_re = addr_hit[171] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015390
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015391 assign mio_pad_attr_9_we = addr_hit[172] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015392 assign mio_pad_attr_9_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015393 assign mio_pad_attr_9_re = addr_hit[172] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015394
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015395 assign mio_pad_attr_10_we = addr_hit[173] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015396 assign mio_pad_attr_10_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015397 assign mio_pad_attr_10_re = addr_hit[173] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015398
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015399 assign mio_pad_attr_11_we = addr_hit[174] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015400 assign mio_pad_attr_11_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015401 assign mio_pad_attr_11_re = addr_hit[174] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015402
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015403 assign mio_pad_attr_12_we = addr_hit[175] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015404 assign mio_pad_attr_12_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015405 assign mio_pad_attr_12_re = addr_hit[175] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015406
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015407 assign mio_pad_attr_13_we = addr_hit[176] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015408 assign mio_pad_attr_13_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015409 assign mio_pad_attr_13_re = addr_hit[176] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015410
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015411 assign mio_pad_attr_14_we = addr_hit[177] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015412 assign mio_pad_attr_14_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015413 assign mio_pad_attr_14_re = addr_hit[177] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015414
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015415 assign mio_pad_attr_15_we = addr_hit[178] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015416 assign mio_pad_attr_15_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015417 assign mio_pad_attr_15_re = addr_hit[178] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015418
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015419 assign mio_pad_attr_16_we = addr_hit[179] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015420 assign mio_pad_attr_16_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015421 assign mio_pad_attr_16_re = addr_hit[179] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015422
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015423 assign mio_pad_attr_17_we = addr_hit[180] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015424 assign mio_pad_attr_17_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015425 assign mio_pad_attr_17_re = addr_hit[180] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015426
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015427 assign mio_pad_attr_18_we = addr_hit[181] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015428 assign mio_pad_attr_18_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015429 assign mio_pad_attr_18_re = addr_hit[181] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015430
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015431 assign mio_pad_attr_19_we = addr_hit[182] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015432 assign mio_pad_attr_19_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015433 assign mio_pad_attr_19_re = addr_hit[182] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015434
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015435 assign mio_pad_attr_20_we = addr_hit[183] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015436 assign mio_pad_attr_20_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015437 assign mio_pad_attr_20_re = addr_hit[183] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015438
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015439 assign mio_pad_attr_21_we = addr_hit[184] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015440 assign mio_pad_attr_21_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015441 assign mio_pad_attr_21_re = addr_hit[184] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015442
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015443 assign mio_pad_attr_22_we = addr_hit[185] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015444 assign mio_pad_attr_22_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015445 assign mio_pad_attr_22_re = addr_hit[185] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015446
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015447 assign mio_pad_attr_23_we = addr_hit[186] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015448 assign mio_pad_attr_23_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015449 assign mio_pad_attr_23_re = addr_hit[186] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015450
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015451 assign mio_pad_attr_24_we = addr_hit[187] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015452 assign mio_pad_attr_24_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015453 assign mio_pad_attr_24_re = addr_hit[187] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015454
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015455 assign mio_pad_attr_25_we = addr_hit[188] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015456 assign mio_pad_attr_25_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015457 assign mio_pad_attr_25_re = addr_hit[188] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015458
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015459 assign mio_pad_attr_26_we = addr_hit[189] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015460 assign mio_pad_attr_26_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015461 assign mio_pad_attr_26_re = addr_hit[189] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015462
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015463 assign mio_pad_attr_27_we = addr_hit[190] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015464 assign mio_pad_attr_27_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015465 assign mio_pad_attr_27_re = addr_hit[190] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015466
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015467 assign mio_pad_attr_28_we = addr_hit[191] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015468 assign mio_pad_attr_28_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015469 assign mio_pad_attr_28_re = addr_hit[191] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015470
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015471 assign mio_pad_attr_29_we = addr_hit[192] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015472 assign mio_pad_attr_29_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015473 assign mio_pad_attr_29_re = addr_hit[192] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015474
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015475 assign mio_pad_attr_30_we = addr_hit[193] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015476 assign mio_pad_attr_30_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015477 assign mio_pad_attr_30_re = addr_hit[193] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015478
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015479 assign mio_pad_attr_31_we = addr_hit[194] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015480 assign mio_pad_attr_31_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015481 assign mio_pad_attr_31_re = addr_hit[194] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015482
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015483 assign dio_pad_attr_regwen_0_we = addr_hit[195] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015484 assign dio_pad_attr_regwen_0_wd = reg_wdata[0];
15485
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015486 assign dio_pad_attr_regwen_1_we = addr_hit[196] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015487 assign dio_pad_attr_regwen_1_wd = reg_wdata[0];
15488
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015489 assign dio_pad_attr_regwen_2_we = addr_hit[197] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015490 assign dio_pad_attr_regwen_2_wd = reg_wdata[0];
15491
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015492 assign dio_pad_attr_regwen_3_we = addr_hit[198] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015493 assign dio_pad_attr_regwen_3_wd = reg_wdata[0];
15494
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015495 assign dio_pad_attr_regwen_4_we = addr_hit[199] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015496 assign dio_pad_attr_regwen_4_wd = reg_wdata[0];
15497
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015498 assign dio_pad_attr_regwen_5_we = addr_hit[200] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015499 assign dio_pad_attr_regwen_5_wd = reg_wdata[0];
15500
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015501 assign dio_pad_attr_regwen_6_we = addr_hit[201] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015502 assign dio_pad_attr_regwen_6_wd = reg_wdata[0];
15503
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015504 assign dio_pad_attr_regwen_7_we = addr_hit[202] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015505 assign dio_pad_attr_regwen_7_wd = reg_wdata[0];
15506
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015507 assign dio_pad_attr_regwen_8_we = addr_hit[203] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015508 assign dio_pad_attr_regwen_8_wd = reg_wdata[0];
15509
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015510 assign dio_pad_attr_regwen_9_we = addr_hit[204] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015511 assign dio_pad_attr_regwen_9_wd = reg_wdata[0];
15512
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015513 assign dio_pad_attr_regwen_10_we = addr_hit[205] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015514 assign dio_pad_attr_regwen_10_wd = reg_wdata[0];
15515
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015516 assign dio_pad_attr_regwen_11_we = addr_hit[206] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015517 assign dio_pad_attr_regwen_11_wd = reg_wdata[0];
15518
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015519 assign dio_pad_attr_regwen_12_we = addr_hit[207] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015520 assign dio_pad_attr_regwen_12_wd = reg_wdata[0];
15521
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015522 assign dio_pad_attr_regwen_13_we = addr_hit[208] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015523 assign dio_pad_attr_regwen_13_wd = reg_wdata[0];
15524
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015525 assign dio_pad_attr_regwen_14_we = addr_hit[209] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015526 assign dio_pad_attr_regwen_14_wd = reg_wdata[0];
15527
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015528 assign dio_pad_attr_regwen_15_we = addr_hit[210] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015529 assign dio_pad_attr_regwen_15_wd = reg_wdata[0];
15530
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015531 assign dio_pad_attr_0_we = addr_hit[211] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015532 assign dio_pad_attr_0_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015533 assign dio_pad_attr_0_re = addr_hit[211] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015534
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015535 assign dio_pad_attr_1_we = addr_hit[212] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015536 assign dio_pad_attr_1_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015537 assign dio_pad_attr_1_re = addr_hit[212] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015538
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015539 assign dio_pad_attr_2_we = addr_hit[213] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015540 assign dio_pad_attr_2_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015541 assign dio_pad_attr_2_re = addr_hit[213] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015542
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015543 assign dio_pad_attr_3_we = addr_hit[214] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015544 assign dio_pad_attr_3_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015545 assign dio_pad_attr_3_re = addr_hit[214] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015546
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015547 assign dio_pad_attr_4_we = addr_hit[215] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015548 assign dio_pad_attr_4_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015549 assign dio_pad_attr_4_re = addr_hit[215] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015550
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015551 assign dio_pad_attr_5_we = addr_hit[216] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015552 assign dio_pad_attr_5_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015553 assign dio_pad_attr_5_re = addr_hit[216] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015554
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015555 assign dio_pad_attr_6_we = addr_hit[217] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015556 assign dio_pad_attr_6_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015557 assign dio_pad_attr_6_re = addr_hit[217] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015558
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015559 assign dio_pad_attr_7_we = addr_hit[218] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015560 assign dio_pad_attr_7_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015561 assign dio_pad_attr_7_re = addr_hit[218] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015562
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015563 assign dio_pad_attr_8_we = addr_hit[219] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015564 assign dio_pad_attr_8_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015565 assign dio_pad_attr_8_re = addr_hit[219] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015566
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015567 assign dio_pad_attr_9_we = addr_hit[220] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015568 assign dio_pad_attr_9_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015569 assign dio_pad_attr_9_re = addr_hit[220] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015570
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015571 assign dio_pad_attr_10_we = addr_hit[221] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015572 assign dio_pad_attr_10_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015573 assign dio_pad_attr_10_re = addr_hit[221] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015574
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015575 assign dio_pad_attr_11_we = addr_hit[222] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015576 assign dio_pad_attr_11_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015577 assign dio_pad_attr_11_re = addr_hit[222] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015578
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015579 assign dio_pad_attr_12_we = addr_hit[223] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015580 assign dio_pad_attr_12_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015581 assign dio_pad_attr_12_re = addr_hit[223] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015582
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015583 assign dio_pad_attr_13_we = addr_hit[224] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015584 assign dio_pad_attr_13_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015585 assign dio_pad_attr_13_re = addr_hit[224] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015586
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015587 assign dio_pad_attr_14_we = addr_hit[225] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015588 assign dio_pad_attr_14_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015589 assign dio_pad_attr_14_re = addr_hit[225] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015590
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015591 assign dio_pad_attr_15_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015592 assign dio_pad_attr_15_wd = reg_wdata[12:0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015593 assign dio_pad_attr_15_re = addr_hit[226] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015594
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015595 assign mio_pad_sleep_status_en_0_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015596 assign mio_pad_sleep_status_en_0_wd = reg_wdata[0];
15597
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015598 assign mio_pad_sleep_status_en_1_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015599 assign mio_pad_sleep_status_en_1_wd = reg_wdata[1];
15600
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015601 assign mio_pad_sleep_status_en_2_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015602 assign mio_pad_sleep_status_en_2_wd = reg_wdata[2];
15603
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015604 assign mio_pad_sleep_status_en_3_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015605 assign mio_pad_sleep_status_en_3_wd = reg_wdata[3];
15606
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015607 assign mio_pad_sleep_status_en_4_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015608 assign mio_pad_sleep_status_en_4_wd = reg_wdata[4];
15609
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015610 assign mio_pad_sleep_status_en_5_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015611 assign mio_pad_sleep_status_en_5_wd = reg_wdata[5];
15612
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015613 assign mio_pad_sleep_status_en_6_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015614 assign mio_pad_sleep_status_en_6_wd = reg_wdata[6];
15615
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015616 assign mio_pad_sleep_status_en_7_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015617 assign mio_pad_sleep_status_en_7_wd = reg_wdata[7];
15618
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015619 assign mio_pad_sleep_status_en_8_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015620 assign mio_pad_sleep_status_en_8_wd = reg_wdata[8];
15621
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015622 assign mio_pad_sleep_status_en_9_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015623 assign mio_pad_sleep_status_en_9_wd = reg_wdata[9];
15624
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015625 assign mio_pad_sleep_status_en_10_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015626 assign mio_pad_sleep_status_en_10_wd = reg_wdata[10];
15627
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015628 assign mio_pad_sleep_status_en_11_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015629 assign mio_pad_sleep_status_en_11_wd = reg_wdata[11];
15630
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015631 assign mio_pad_sleep_status_en_12_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015632 assign mio_pad_sleep_status_en_12_wd = reg_wdata[12];
15633
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015634 assign mio_pad_sleep_status_en_13_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015635 assign mio_pad_sleep_status_en_13_wd = reg_wdata[13];
15636
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015637 assign mio_pad_sleep_status_en_14_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015638 assign mio_pad_sleep_status_en_14_wd = reg_wdata[14];
15639
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015640 assign mio_pad_sleep_status_en_15_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015641 assign mio_pad_sleep_status_en_15_wd = reg_wdata[15];
15642
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015643 assign mio_pad_sleep_status_en_16_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015644 assign mio_pad_sleep_status_en_16_wd = reg_wdata[16];
15645
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015646 assign mio_pad_sleep_status_en_17_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015647 assign mio_pad_sleep_status_en_17_wd = reg_wdata[17];
15648
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015649 assign mio_pad_sleep_status_en_18_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015650 assign mio_pad_sleep_status_en_18_wd = reg_wdata[18];
15651
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015652 assign mio_pad_sleep_status_en_19_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015653 assign mio_pad_sleep_status_en_19_wd = reg_wdata[19];
15654
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015655 assign mio_pad_sleep_status_en_20_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015656 assign mio_pad_sleep_status_en_20_wd = reg_wdata[20];
15657
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015658 assign mio_pad_sleep_status_en_21_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015659 assign mio_pad_sleep_status_en_21_wd = reg_wdata[21];
15660
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015661 assign mio_pad_sleep_status_en_22_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015662 assign mio_pad_sleep_status_en_22_wd = reg_wdata[22];
15663
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015664 assign mio_pad_sleep_status_en_23_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015665 assign mio_pad_sleep_status_en_23_wd = reg_wdata[23];
15666
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015667 assign mio_pad_sleep_status_en_24_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015668 assign mio_pad_sleep_status_en_24_wd = reg_wdata[24];
15669
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015670 assign mio_pad_sleep_status_en_25_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015671 assign mio_pad_sleep_status_en_25_wd = reg_wdata[25];
15672
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015673 assign mio_pad_sleep_status_en_26_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015674 assign mio_pad_sleep_status_en_26_wd = reg_wdata[26];
15675
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015676 assign mio_pad_sleep_status_en_27_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015677 assign mio_pad_sleep_status_en_27_wd = reg_wdata[27];
15678
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015679 assign mio_pad_sleep_status_en_28_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015680 assign mio_pad_sleep_status_en_28_wd = reg_wdata[28];
15681
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015682 assign mio_pad_sleep_status_en_29_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015683 assign mio_pad_sleep_status_en_29_wd = reg_wdata[29];
15684
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015685 assign mio_pad_sleep_status_en_30_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015686 assign mio_pad_sleep_status_en_30_wd = reg_wdata[30];
15687
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015688 assign mio_pad_sleep_status_en_31_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015689 assign mio_pad_sleep_status_en_31_wd = reg_wdata[31];
15690
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015691 assign mio_pad_sleep_regwen_0_we = addr_hit[228] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015692 assign mio_pad_sleep_regwen_0_wd = reg_wdata[0];
15693
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015694 assign mio_pad_sleep_regwen_1_we = addr_hit[229] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015695 assign mio_pad_sleep_regwen_1_wd = reg_wdata[0];
15696
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015697 assign mio_pad_sleep_regwen_2_we = addr_hit[230] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015698 assign mio_pad_sleep_regwen_2_wd = reg_wdata[0];
15699
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015700 assign mio_pad_sleep_regwen_3_we = addr_hit[231] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015701 assign mio_pad_sleep_regwen_3_wd = reg_wdata[0];
15702
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015703 assign mio_pad_sleep_regwen_4_we = addr_hit[232] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015704 assign mio_pad_sleep_regwen_4_wd = reg_wdata[0];
15705
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015706 assign mio_pad_sleep_regwen_5_we = addr_hit[233] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015707 assign mio_pad_sleep_regwen_5_wd = reg_wdata[0];
15708
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015709 assign mio_pad_sleep_regwen_6_we = addr_hit[234] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015710 assign mio_pad_sleep_regwen_6_wd = reg_wdata[0];
15711
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015712 assign mio_pad_sleep_regwen_7_we = addr_hit[235] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015713 assign mio_pad_sleep_regwen_7_wd = reg_wdata[0];
15714
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015715 assign mio_pad_sleep_regwen_8_we = addr_hit[236] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015716 assign mio_pad_sleep_regwen_8_wd = reg_wdata[0];
15717
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015718 assign mio_pad_sleep_regwen_9_we = addr_hit[237] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015719 assign mio_pad_sleep_regwen_9_wd = reg_wdata[0];
15720
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015721 assign mio_pad_sleep_regwen_10_we = addr_hit[238] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015722 assign mio_pad_sleep_regwen_10_wd = reg_wdata[0];
15723
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015724 assign mio_pad_sleep_regwen_11_we = addr_hit[239] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015725 assign mio_pad_sleep_regwen_11_wd = reg_wdata[0];
15726
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015727 assign mio_pad_sleep_regwen_12_we = addr_hit[240] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015728 assign mio_pad_sleep_regwen_12_wd = reg_wdata[0];
15729
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015730 assign mio_pad_sleep_regwen_13_we = addr_hit[241] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015731 assign mio_pad_sleep_regwen_13_wd = reg_wdata[0];
15732
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015733 assign mio_pad_sleep_regwen_14_we = addr_hit[242] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015734 assign mio_pad_sleep_regwen_14_wd = reg_wdata[0];
15735
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015736 assign mio_pad_sleep_regwen_15_we = addr_hit[243] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015737 assign mio_pad_sleep_regwen_15_wd = reg_wdata[0];
15738
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015739 assign mio_pad_sleep_regwen_16_we = addr_hit[244] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015740 assign mio_pad_sleep_regwen_16_wd = reg_wdata[0];
15741
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015742 assign mio_pad_sleep_regwen_17_we = addr_hit[245] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015743 assign mio_pad_sleep_regwen_17_wd = reg_wdata[0];
15744
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015745 assign mio_pad_sleep_regwen_18_we = addr_hit[246] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015746 assign mio_pad_sleep_regwen_18_wd = reg_wdata[0];
15747
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015748 assign mio_pad_sleep_regwen_19_we = addr_hit[247] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015749 assign mio_pad_sleep_regwen_19_wd = reg_wdata[0];
15750
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015751 assign mio_pad_sleep_regwen_20_we = addr_hit[248] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015752 assign mio_pad_sleep_regwen_20_wd = reg_wdata[0];
15753
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015754 assign mio_pad_sleep_regwen_21_we = addr_hit[249] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015755 assign mio_pad_sleep_regwen_21_wd = reg_wdata[0];
15756
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015757 assign mio_pad_sleep_regwen_22_we = addr_hit[250] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015758 assign mio_pad_sleep_regwen_22_wd = reg_wdata[0];
15759
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015760 assign mio_pad_sleep_regwen_23_we = addr_hit[251] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015761 assign mio_pad_sleep_regwen_23_wd = reg_wdata[0];
15762
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015763 assign mio_pad_sleep_regwen_24_we = addr_hit[252] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015764 assign mio_pad_sleep_regwen_24_wd = reg_wdata[0];
15765
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015766 assign mio_pad_sleep_regwen_25_we = addr_hit[253] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015767 assign mio_pad_sleep_regwen_25_wd = reg_wdata[0];
15768
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015769 assign mio_pad_sleep_regwen_26_we = addr_hit[254] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015770 assign mio_pad_sleep_regwen_26_wd = reg_wdata[0];
15771
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015772 assign mio_pad_sleep_regwen_27_we = addr_hit[255] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015773 assign mio_pad_sleep_regwen_27_wd = reg_wdata[0];
15774
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015775 assign mio_pad_sleep_regwen_28_we = addr_hit[256] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015776 assign mio_pad_sleep_regwen_28_wd = reg_wdata[0];
15777
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015778 assign mio_pad_sleep_regwen_29_we = addr_hit[257] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015779 assign mio_pad_sleep_regwen_29_wd = reg_wdata[0];
15780
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015781 assign mio_pad_sleep_regwen_30_we = addr_hit[258] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015782 assign mio_pad_sleep_regwen_30_wd = reg_wdata[0];
15783
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015784 assign mio_pad_sleep_regwen_31_we = addr_hit[259] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015785 assign mio_pad_sleep_regwen_31_wd = reg_wdata[0];
15786
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015787 assign mio_pad_sleep_en_0_we = addr_hit[260] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015788 assign mio_pad_sleep_en_0_wd = reg_wdata[0];
15789
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015790 assign mio_pad_sleep_en_1_we = addr_hit[261] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015791 assign mio_pad_sleep_en_1_wd = reg_wdata[0];
15792
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015793 assign mio_pad_sleep_en_2_we = addr_hit[262] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015794 assign mio_pad_sleep_en_2_wd = reg_wdata[0];
15795
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015796 assign mio_pad_sleep_en_3_we = addr_hit[263] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015797 assign mio_pad_sleep_en_3_wd = reg_wdata[0];
15798
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015799 assign mio_pad_sleep_en_4_we = addr_hit[264] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015800 assign mio_pad_sleep_en_4_wd = reg_wdata[0];
15801
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015802 assign mio_pad_sleep_en_5_we = addr_hit[265] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015803 assign mio_pad_sleep_en_5_wd = reg_wdata[0];
15804
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015805 assign mio_pad_sleep_en_6_we = addr_hit[266] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015806 assign mio_pad_sleep_en_6_wd = reg_wdata[0];
15807
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015808 assign mio_pad_sleep_en_7_we = addr_hit[267] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015809 assign mio_pad_sleep_en_7_wd = reg_wdata[0];
15810
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015811 assign mio_pad_sleep_en_8_we = addr_hit[268] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015812 assign mio_pad_sleep_en_8_wd = reg_wdata[0];
15813
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015814 assign mio_pad_sleep_en_9_we = addr_hit[269] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015815 assign mio_pad_sleep_en_9_wd = reg_wdata[0];
15816
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015817 assign mio_pad_sleep_en_10_we = addr_hit[270] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015818 assign mio_pad_sleep_en_10_wd = reg_wdata[0];
15819
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015820 assign mio_pad_sleep_en_11_we = addr_hit[271] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015821 assign mio_pad_sleep_en_11_wd = reg_wdata[0];
15822
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015823 assign mio_pad_sleep_en_12_we = addr_hit[272] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015824 assign mio_pad_sleep_en_12_wd = reg_wdata[0];
15825
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015826 assign mio_pad_sleep_en_13_we = addr_hit[273] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015827 assign mio_pad_sleep_en_13_wd = reg_wdata[0];
15828
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015829 assign mio_pad_sleep_en_14_we = addr_hit[274] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015830 assign mio_pad_sleep_en_14_wd = reg_wdata[0];
15831
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015832 assign mio_pad_sleep_en_15_we = addr_hit[275] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015833 assign mio_pad_sleep_en_15_wd = reg_wdata[0];
15834
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015835 assign mio_pad_sleep_en_16_we = addr_hit[276] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015836 assign mio_pad_sleep_en_16_wd = reg_wdata[0];
15837
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015838 assign mio_pad_sleep_en_17_we = addr_hit[277] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015839 assign mio_pad_sleep_en_17_wd = reg_wdata[0];
15840
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015841 assign mio_pad_sleep_en_18_we = addr_hit[278] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015842 assign mio_pad_sleep_en_18_wd = reg_wdata[0];
15843
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015844 assign mio_pad_sleep_en_19_we = addr_hit[279] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015845 assign mio_pad_sleep_en_19_wd = reg_wdata[0];
15846
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015847 assign mio_pad_sleep_en_20_we = addr_hit[280] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015848 assign mio_pad_sleep_en_20_wd = reg_wdata[0];
15849
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015850 assign mio_pad_sleep_en_21_we = addr_hit[281] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015851 assign mio_pad_sleep_en_21_wd = reg_wdata[0];
15852
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015853 assign mio_pad_sleep_en_22_we = addr_hit[282] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015854 assign mio_pad_sleep_en_22_wd = reg_wdata[0];
15855
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015856 assign mio_pad_sleep_en_23_we = addr_hit[283] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015857 assign mio_pad_sleep_en_23_wd = reg_wdata[0];
15858
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015859 assign mio_pad_sleep_en_24_we = addr_hit[284] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015860 assign mio_pad_sleep_en_24_wd = reg_wdata[0];
15861
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015862 assign mio_pad_sleep_en_25_we = addr_hit[285] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015863 assign mio_pad_sleep_en_25_wd = reg_wdata[0];
15864
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015865 assign mio_pad_sleep_en_26_we = addr_hit[286] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015866 assign mio_pad_sleep_en_26_wd = reg_wdata[0];
15867
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015868 assign mio_pad_sleep_en_27_we = addr_hit[287] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015869 assign mio_pad_sleep_en_27_wd = reg_wdata[0];
15870
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015871 assign mio_pad_sleep_en_28_we = addr_hit[288] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015872 assign mio_pad_sleep_en_28_wd = reg_wdata[0];
15873
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015874 assign mio_pad_sleep_en_29_we = addr_hit[289] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015875 assign mio_pad_sleep_en_29_wd = reg_wdata[0];
15876
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015877 assign mio_pad_sleep_en_30_we = addr_hit[290] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015878 assign mio_pad_sleep_en_30_wd = reg_wdata[0];
15879
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015880 assign mio_pad_sleep_en_31_we = addr_hit[291] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015881 assign mio_pad_sleep_en_31_wd = reg_wdata[0];
15882
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015883 assign mio_pad_sleep_mode_0_we = addr_hit[292] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015884 assign mio_pad_sleep_mode_0_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015885
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015886 assign mio_pad_sleep_mode_1_we = addr_hit[293] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015887 assign mio_pad_sleep_mode_1_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015888
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015889 assign mio_pad_sleep_mode_2_we = addr_hit[294] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015890 assign mio_pad_sleep_mode_2_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015891
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015892 assign mio_pad_sleep_mode_3_we = addr_hit[295] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015893 assign mio_pad_sleep_mode_3_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015894
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015895 assign mio_pad_sleep_mode_4_we = addr_hit[296] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015896 assign mio_pad_sleep_mode_4_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015897
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015898 assign mio_pad_sleep_mode_5_we = addr_hit[297] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015899 assign mio_pad_sleep_mode_5_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015900
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015901 assign mio_pad_sleep_mode_6_we = addr_hit[298] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015902 assign mio_pad_sleep_mode_6_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015903
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015904 assign mio_pad_sleep_mode_7_we = addr_hit[299] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015905 assign mio_pad_sleep_mode_7_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015906
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015907 assign mio_pad_sleep_mode_8_we = addr_hit[300] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015908 assign mio_pad_sleep_mode_8_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015909
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015910 assign mio_pad_sleep_mode_9_we = addr_hit[301] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015911 assign mio_pad_sleep_mode_9_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015912
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015913 assign mio_pad_sleep_mode_10_we = addr_hit[302] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015914 assign mio_pad_sleep_mode_10_wd = reg_wdata[1:0];
Michael Schaffner51c61442019-10-01 15:49:10 -070015915
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015916 assign mio_pad_sleep_mode_11_we = addr_hit[303] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015917 assign mio_pad_sleep_mode_11_wd = reg_wdata[1:0];
Michael Schaffner51c61442019-10-01 15:49:10 -070015918
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015919 assign mio_pad_sleep_mode_12_we = addr_hit[304] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015920 assign mio_pad_sleep_mode_12_wd = reg_wdata[1:0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070015921
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015922 assign mio_pad_sleep_mode_13_we = addr_hit[305] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015923 assign mio_pad_sleep_mode_13_wd = reg_wdata[1:0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070015924
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015925 assign mio_pad_sleep_mode_14_we = addr_hit[306] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015926 assign mio_pad_sleep_mode_14_wd = reg_wdata[1:0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070015927
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015928 assign mio_pad_sleep_mode_15_we = addr_hit[307] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015929 assign mio_pad_sleep_mode_15_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015930
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015931 assign mio_pad_sleep_mode_16_we = addr_hit[308] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015932 assign mio_pad_sleep_mode_16_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015933
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015934 assign mio_pad_sleep_mode_17_we = addr_hit[309] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015935 assign mio_pad_sleep_mode_17_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015936
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015937 assign mio_pad_sleep_mode_18_we = addr_hit[310] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015938 assign mio_pad_sleep_mode_18_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015939
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015940 assign mio_pad_sleep_mode_19_we = addr_hit[311] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015941 assign mio_pad_sleep_mode_19_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015942
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015943 assign mio_pad_sleep_mode_20_we = addr_hit[312] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015944 assign mio_pad_sleep_mode_20_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015945
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015946 assign mio_pad_sleep_mode_21_we = addr_hit[313] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015947 assign mio_pad_sleep_mode_21_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015948
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015949 assign mio_pad_sleep_mode_22_we = addr_hit[314] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015950 assign mio_pad_sleep_mode_22_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015951
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015952 assign mio_pad_sleep_mode_23_we = addr_hit[315] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015953 assign mio_pad_sleep_mode_23_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015954
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015955 assign mio_pad_sleep_mode_24_we = addr_hit[316] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015956 assign mio_pad_sleep_mode_24_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015957
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015958 assign mio_pad_sleep_mode_25_we = addr_hit[317] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015959 assign mio_pad_sleep_mode_25_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015960
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015961 assign mio_pad_sleep_mode_26_we = addr_hit[318] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015962 assign mio_pad_sleep_mode_26_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015963
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015964 assign mio_pad_sleep_mode_27_we = addr_hit[319] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015965 assign mio_pad_sleep_mode_27_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015966
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015967 assign mio_pad_sleep_mode_28_we = addr_hit[320] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015968 assign mio_pad_sleep_mode_28_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015969
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015970 assign mio_pad_sleep_mode_29_we = addr_hit[321] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015971 assign mio_pad_sleep_mode_29_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015972
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015973 assign mio_pad_sleep_mode_30_we = addr_hit[322] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015974 assign mio_pad_sleep_mode_30_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015975
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015976 assign mio_pad_sleep_mode_31_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015977 assign mio_pad_sleep_mode_31_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015978
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015979 assign dio_pad_sleep_status_en_0_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015980 assign dio_pad_sleep_status_en_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015981
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015982 assign dio_pad_sleep_status_en_1_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015983 assign dio_pad_sleep_status_en_1_wd = reg_wdata[1];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015984
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015985 assign dio_pad_sleep_status_en_2_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015986 assign dio_pad_sleep_status_en_2_wd = reg_wdata[2];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015987
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015988 assign dio_pad_sleep_status_en_3_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015989 assign dio_pad_sleep_status_en_3_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015990
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015991 assign dio_pad_sleep_status_en_4_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015992 assign dio_pad_sleep_status_en_4_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015993
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015994 assign dio_pad_sleep_status_en_5_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015995 assign dio_pad_sleep_status_en_5_wd = reg_wdata[5];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015996
Michael Schaffneraf488fb2021-06-07 17:31:14 -070015997 assign dio_pad_sleep_status_en_6_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015998 assign dio_pad_sleep_status_en_6_wd = reg_wdata[6];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015999
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016000 assign dio_pad_sleep_status_en_7_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016001 assign dio_pad_sleep_status_en_7_wd = reg_wdata[7];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016002
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016003 assign dio_pad_sleep_status_en_8_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016004 assign dio_pad_sleep_status_en_8_wd = reg_wdata[8];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016005
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016006 assign dio_pad_sleep_status_en_9_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016007 assign dio_pad_sleep_status_en_9_wd = reg_wdata[9];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016008
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016009 assign dio_pad_sleep_status_en_10_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016010 assign dio_pad_sleep_status_en_10_wd = reg_wdata[10];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016011
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016012 assign dio_pad_sleep_status_en_11_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016013 assign dio_pad_sleep_status_en_11_wd = reg_wdata[11];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016014
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016015 assign dio_pad_sleep_status_en_12_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016016 assign dio_pad_sleep_status_en_12_wd = reg_wdata[12];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016017
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016018 assign dio_pad_sleep_status_en_13_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016019 assign dio_pad_sleep_status_en_13_wd = reg_wdata[13];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016020
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016021 assign dio_pad_sleep_status_en_14_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016022 assign dio_pad_sleep_status_en_14_wd = reg_wdata[14];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016023
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016024 assign dio_pad_sleep_status_en_15_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016025 assign dio_pad_sleep_status_en_15_wd = reg_wdata[15];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016026
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016027 assign dio_pad_sleep_regwen_0_we = addr_hit[325] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016028 assign dio_pad_sleep_regwen_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016029
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016030 assign dio_pad_sleep_regwen_1_we = addr_hit[326] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016031 assign dio_pad_sleep_regwen_1_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016032
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016033 assign dio_pad_sleep_regwen_2_we = addr_hit[327] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016034 assign dio_pad_sleep_regwen_2_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016035
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016036 assign dio_pad_sleep_regwen_3_we = addr_hit[328] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016037 assign dio_pad_sleep_regwen_3_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016038
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016039 assign dio_pad_sleep_regwen_4_we = addr_hit[329] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016040 assign dio_pad_sleep_regwen_4_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016041
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016042 assign dio_pad_sleep_regwen_5_we = addr_hit[330] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016043 assign dio_pad_sleep_regwen_5_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016044
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016045 assign dio_pad_sleep_regwen_6_we = addr_hit[331] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016046 assign dio_pad_sleep_regwen_6_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016047
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016048 assign dio_pad_sleep_regwen_7_we = addr_hit[332] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016049 assign dio_pad_sleep_regwen_7_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016050
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016051 assign dio_pad_sleep_regwen_8_we = addr_hit[333] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016052 assign dio_pad_sleep_regwen_8_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016053
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016054 assign dio_pad_sleep_regwen_9_we = addr_hit[334] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016055 assign dio_pad_sleep_regwen_9_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016056
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016057 assign dio_pad_sleep_regwen_10_we = addr_hit[335] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016058 assign dio_pad_sleep_regwen_10_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016059
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016060 assign dio_pad_sleep_regwen_11_we = addr_hit[336] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016061 assign dio_pad_sleep_regwen_11_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016062
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016063 assign dio_pad_sleep_regwen_12_we = addr_hit[337] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016064 assign dio_pad_sleep_regwen_12_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016065
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016066 assign dio_pad_sleep_regwen_13_we = addr_hit[338] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016067 assign dio_pad_sleep_regwen_13_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016068
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016069 assign dio_pad_sleep_regwen_14_we = addr_hit[339] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016070 assign dio_pad_sleep_regwen_14_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016071
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016072 assign dio_pad_sleep_regwen_15_we = addr_hit[340] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016073 assign dio_pad_sleep_regwen_15_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016074
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016075 assign dio_pad_sleep_en_0_we = addr_hit[341] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016076 assign dio_pad_sleep_en_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016077
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016078 assign dio_pad_sleep_en_1_we = addr_hit[342] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016079 assign dio_pad_sleep_en_1_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016080
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016081 assign dio_pad_sleep_en_2_we = addr_hit[343] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016082 assign dio_pad_sleep_en_2_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016083
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016084 assign dio_pad_sleep_en_3_we = addr_hit[344] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016085 assign dio_pad_sleep_en_3_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016086
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016087 assign dio_pad_sleep_en_4_we = addr_hit[345] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016088 assign dio_pad_sleep_en_4_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016089
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016090 assign dio_pad_sleep_en_5_we = addr_hit[346] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016091 assign dio_pad_sleep_en_5_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016092
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016093 assign dio_pad_sleep_en_6_we = addr_hit[347] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016094 assign dio_pad_sleep_en_6_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016095
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016096 assign dio_pad_sleep_en_7_we = addr_hit[348] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016097 assign dio_pad_sleep_en_7_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016098
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016099 assign dio_pad_sleep_en_8_we = addr_hit[349] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016100 assign dio_pad_sleep_en_8_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016101
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016102 assign dio_pad_sleep_en_9_we = addr_hit[350] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016103 assign dio_pad_sleep_en_9_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016104
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016105 assign dio_pad_sleep_en_10_we = addr_hit[351] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016106 assign dio_pad_sleep_en_10_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016107
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016108 assign dio_pad_sleep_en_11_we = addr_hit[352] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016109 assign dio_pad_sleep_en_11_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016110
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016111 assign dio_pad_sleep_en_12_we = addr_hit[353] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016112 assign dio_pad_sleep_en_12_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016113
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016114 assign dio_pad_sleep_en_13_we = addr_hit[354] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016115 assign dio_pad_sleep_en_13_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016116
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016117 assign dio_pad_sleep_en_14_we = addr_hit[355] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016118 assign dio_pad_sleep_en_14_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016119
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016120 assign dio_pad_sleep_en_15_we = addr_hit[356] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016121 assign dio_pad_sleep_en_15_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016122
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016123 assign dio_pad_sleep_mode_0_we = addr_hit[357] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016124 assign dio_pad_sleep_mode_0_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016125
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016126 assign dio_pad_sleep_mode_1_we = addr_hit[358] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016127 assign dio_pad_sleep_mode_1_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016128
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016129 assign dio_pad_sleep_mode_2_we = addr_hit[359] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016130 assign dio_pad_sleep_mode_2_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016131
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016132 assign dio_pad_sleep_mode_3_we = addr_hit[360] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016133 assign dio_pad_sleep_mode_3_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016134
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016135 assign dio_pad_sleep_mode_4_we = addr_hit[361] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016136 assign dio_pad_sleep_mode_4_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016137
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016138 assign dio_pad_sleep_mode_5_we = addr_hit[362] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016139 assign dio_pad_sleep_mode_5_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016140
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016141 assign dio_pad_sleep_mode_6_we = addr_hit[363] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016142 assign dio_pad_sleep_mode_6_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016143
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016144 assign dio_pad_sleep_mode_7_we = addr_hit[364] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016145 assign dio_pad_sleep_mode_7_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016146
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016147 assign dio_pad_sleep_mode_8_we = addr_hit[365] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016148 assign dio_pad_sleep_mode_8_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016149
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016150 assign dio_pad_sleep_mode_9_we = addr_hit[366] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016151 assign dio_pad_sleep_mode_9_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016152
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016153 assign dio_pad_sleep_mode_10_we = addr_hit[367] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016154 assign dio_pad_sleep_mode_10_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016155
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016156 assign dio_pad_sleep_mode_11_we = addr_hit[368] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016157 assign dio_pad_sleep_mode_11_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016158
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016159 assign dio_pad_sleep_mode_12_we = addr_hit[369] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016160 assign dio_pad_sleep_mode_12_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016161
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016162 assign dio_pad_sleep_mode_13_we = addr_hit[370] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016163 assign dio_pad_sleep_mode_13_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016164
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016165 assign dio_pad_sleep_mode_14_we = addr_hit[371] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016166 assign dio_pad_sleep_mode_14_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016167
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016168 assign dio_pad_sleep_mode_15_we = addr_hit[372] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016169 assign dio_pad_sleep_mode_15_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016170
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016171 assign wkup_detector_regwen_0_we = addr_hit[373] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016172 assign wkup_detector_regwen_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016173
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016174 assign wkup_detector_regwen_1_we = addr_hit[374] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016175 assign wkup_detector_regwen_1_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016176
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016177 assign wkup_detector_regwen_2_we = addr_hit[375] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016178 assign wkup_detector_regwen_2_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016179
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016180 assign wkup_detector_regwen_3_we = addr_hit[376] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016181 assign wkup_detector_regwen_3_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016182
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016183 assign wkup_detector_regwen_4_we = addr_hit[377] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016184 assign wkup_detector_regwen_4_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016185
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016186 assign wkup_detector_regwen_5_we = addr_hit[378] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016187 assign wkup_detector_regwen_5_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016188
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016189 assign wkup_detector_regwen_6_we = addr_hit[379] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016190 assign wkup_detector_regwen_6_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016191
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016192 assign wkup_detector_regwen_7_we = addr_hit[380] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016193 assign wkup_detector_regwen_7_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016194
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016195 assign wkup_detector_en_0_we = addr_hit[381] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016196 assign wkup_detector_en_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016197
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016198 assign wkup_detector_en_1_we = addr_hit[382] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016199 assign wkup_detector_en_1_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016200
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016201 assign wkup_detector_en_2_we = addr_hit[383] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016202 assign wkup_detector_en_2_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016203
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016204 assign wkup_detector_en_3_we = addr_hit[384] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016205 assign wkup_detector_en_3_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016206
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016207 assign wkup_detector_en_4_we = addr_hit[385] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016208 assign wkup_detector_en_4_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016209
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016210 assign wkup_detector_en_5_we = addr_hit[386] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016211 assign wkup_detector_en_5_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016212
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016213 assign wkup_detector_en_6_we = addr_hit[387] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016214 assign wkup_detector_en_6_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016215
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016216 assign wkup_detector_en_7_we = addr_hit[388] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016217 assign wkup_detector_en_7_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016218
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016219 assign wkup_detector_0_mode_0_we = addr_hit[389] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016220 assign wkup_detector_0_mode_0_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016221
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016222 assign wkup_detector_0_filter_0_we = addr_hit[389] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016223 assign wkup_detector_0_filter_0_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016224
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016225 assign wkup_detector_0_miodio_0_we = addr_hit[389] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016226 assign wkup_detector_0_miodio_0_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016227
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016228 assign wkup_detector_1_mode_1_we = addr_hit[390] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016229 assign wkup_detector_1_mode_1_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016230
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016231 assign wkup_detector_1_filter_1_we = addr_hit[390] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016232 assign wkup_detector_1_filter_1_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016233
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016234 assign wkup_detector_1_miodio_1_we = addr_hit[390] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016235 assign wkup_detector_1_miodio_1_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016236
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016237 assign wkup_detector_2_mode_2_we = addr_hit[391] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016238 assign wkup_detector_2_mode_2_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016239
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016240 assign wkup_detector_2_filter_2_we = addr_hit[391] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016241 assign wkup_detector_2_filter_2_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016242
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016243 assign wkup_detector_2_miodio_2_we = addr_hit[391] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016244 assign wkup_detector_2_miodio_2_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016245
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016246 assign wkup_detector_3_mode_3_we = addr_hit[392] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016247 assign wkup_detector_3_mode_3_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016248
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016249 assign wkup_detector_3_filter_3_we = addr_hit[392] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016250 assign wkup_detector_3_filter_3_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016251
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016252 assign wkup_detector_3_miodio_3_we = addr_hit[392] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016253 assign wkup_detector_3_miodio_3_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016254
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016255 assign wkup_detector_4_mode_4_we = addr_hit[393] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016256 assign wkup_detector_4_mode_4_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016257
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016258 assign wkup_detector_4_filter_4_we = addr_hit[393] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016259 assign wkup_detector_4_filter_4_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016260
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016261 assign wkup_detector_4_miodio_4_we = addr_hit[393] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016262 assign wkup_detector_4_miodio_4_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016263
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016264 assign wkup_detector_5_mode_5_we = addr_hit[394] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016265 assign wkup_detector_5_mode_5_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016266
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016267 assign wkup_detector_5_filter_5_we = addr_hit[394] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016268 assign wkup_detector_5_filter_5_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016269
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016270 assign wkup_detector_5_miodio_5_we = addr_hit[394] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016271 assign wkup_detector_5_miodio_5_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016272
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016273 assign wkup_detector_6_mode_6_we = addr_hit[395] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016274 assign wkup_detector_6_mode_6_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016275
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016276 assign wkup_detector_6_filter_6_we = addr_hit[395] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016277 assign wkup_detector_6_filter_6_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016278
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016279 assign wkup_detector_6_miodio_6_we = addr_hit[395] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016280 assign wkup_detector_6_miodio_6_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016281
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016282 assign wkup_detector_7_mode_7_we = addr_hit[396] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016283 assign wkup_detector_7_mode_7_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016284
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016285 assign wkup_detector_7_filter_7_we = addr_hit[396] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016286 assign wkup_detector_7_filter_7_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016287
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016288 assign wkup_detector_7_miodio_7_we = addr_hit[396] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016289 assign wkup_detector_7_miodio_7_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016290
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016291 assign wkup_detector_cnt_th_0_we = addr_hit[397] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016292 assign wkup_detector_cnt_th_0_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016293
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016294 assign wkup_detector_cnt_th_1_we = addr_hit[398] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016295 assign wkup_detector_cnt_th_1_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016296
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016297 assign wkup_detector_cnt_th_2_we = addr_hit[399] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016298 assign wkup_detector_cnt_th_2_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016299
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016300 assign wkup_detector_cnt_th_3_we = addr_hit[400] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016301 assign wkup_detector_cnt_th_3_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016302
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016303 assign wkup_detector_cnt_th_4_we = addr_hit[401] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016304 assign wkup_detector_cnt_th_4_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016305
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016306 assign wkup_detector_cnt_th_5_we = addr_hit[402] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016307 assign wkup_detector_cnt_th_5_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016308
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016309 assign wkup_detector_cnt_th_6_we = addr_hit[403] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016310 assign wkup_detector_cnt_th_6_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016311
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016312 assign wkup_detector_cnt_th_7_we = addr_hit[404] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016313 assign wkup_detector_cnt_th_7_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016314
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016315 assign wkup_detector_padsel_0_we = addr_hit[405] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016316 assign wkup_detector_padsel_0_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016317
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016318 assign wkup_detector_padsel_1_we = addr_hit[406] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016319 assign wkup_detector_padsel_1_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016320
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016321 assign wkup_detector_padsel_2_we = addr_hit[407] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016322 assign wkup_detector_padsel_2_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016323
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016324 assign wkup_detector_padsel_3_we = addr_hit[408] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016325 assign wkup_detector_padsel_3_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016326
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016327 assign wkup_detector_padsel_4_we = addr_hit[409] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016328 assign wkup_detector_padsel_4_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016329
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016330 assign wkup_detector_padsel_5_we = addr_hit[410] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016331 assign wkup_detector_padsel_5_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016332
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016333 assign wkup_detector_padsel_6_we = addr_hit[411] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016334 assign wkup_detector_padsel_6_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016335
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016336 assign wkup_detector_padsel_7_we = addr_hit[412] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016337 assign wkup_detector_padsel_7_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016338
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016339 assign wkup_cause_cause_0_we = addr_hit[413] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016340 assign wkup_cause_cause_0_wd = reg_wdata[0];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016341 assign wkup_cause_cause_0_re = addr_hit[413] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016342
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016343 assign wkup_cause_cause_1_we = addr_hit[413] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016344 assign wkup_cause_cause_1_wd = reg_wdata[1];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016345 assign wkup_cause_cause_1_re = addr_hit[413] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016346
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016347 assign wkup_cause_cause_2_we = addr_hit[413] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016348 assign wkup_cause_cause_2_wd = reg_wdata[2];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016349 assign wkup_cause_cause_2_re = addr_hit[413] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016350
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016351 assign wkup_cause_cause_3_we = addr_hit[413] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016352 assign wkup_cause_cause_3_wd = reg_wdata[3];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016353 assign wkup_cause_cause_3_re = addr_hit[413] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016354
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016355 assign wkup_cause_cause_4_we = addr_hit[413] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016356 assign wkup_cause_cause_4_wd = reg_wdata[4];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016357 assign wkup_cause_cause_4_re = addr_hit[413] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016358
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016359 assign wkup_cause_cause_5_we = addr_hit[413] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016360 assign wkup_cause_cause_5_wd = reg_wdata[5];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016361 assign wkup_cause_cause_5_re = addr_hit[413] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016362
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016363 assign wkup_cause_cause_6_we = addr_hit[413] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016364 assign wkup_cause_cause_6_wd = reg_wdata[6];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016365 assign wkup_cause_cause_6_re = addr_hit[413] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016366
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016367 assign wkup_cause_cause_7_we = addr_hit[413] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016368 assign wkup_cause_cause_7_wd = reg_wdata[7];
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016369 assign wkup_cause_cause_7_re = addr_hit[413] & reg_re & !reg_error;
Michael Schaffnerfb801932019-10-02 10:49:15 -070016370
Michael Schaffner51c61442019-10-01 15:49:10 -070016371 // Read data return
16372 always_comb begin
16373 reg_rdata_next = '0;
16374 unique case (1'b1)
16375 addr_hit[0]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016376 reg_rdata_next[0] = '0;
Michael Schaffner51c61442019-10-01 15:49:10 -070016377 end
16378
16379 addr_hit[1]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016380 reg_rdata_next[0] = mio_periph_insel_regwen_0_qs;
Michael Schaffner51c61442019-10-01 15:49:10 -070016381 end
16382
16383 addr_hit[2]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016384 reg_rdata_next[0] = mio_periph_insel_regwen_1_qs;
Michael Schaffnerfb801932019-10-02 10:49:15 -070016385 end
16386
16387 addr_hit[3]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016388 reg_rdata_next[0] = mio_periph_insel_regwen_2_qs;
Michael Schaffner7fac2d32019-10-04 10:14:50 -070016389 end
16390
16391 addr_hit[4]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016392 reg_rdata_next[0] = mio_periph_insel_regwen_3_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016393 end
16394
16395 addr_hit[5]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016396 reg_rdata_next[0] = mio_periph_insel_regwen_4_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016397 end
16398
16399 addr_hit[6]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016400 reg_rdata_next[0] = mio_periph_insel_regwen_5_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016401 end
16402
16403 addr_hit[7]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016404 reg_rdata_next[0] = mio_periph_insel_regwen_6_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016405 end
16406
16407 addr_hit[8]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016408 reg_rdata_next[0] = mio_periph_insel_regwen_7_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016409 end
16410
16411 addr_hit[9]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016412 reg_rdata_next[0] = mio_periph_insel_regwen_8_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016413 end
16414
16415 addr_hit[10]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016416 reg_rdata_next[0] = mio_periph_insel_regwen_9_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016417 end
16418
16419 addr_hit[11]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016420 reg_rdata_next[0] = mio_periph_insel_regwen_10_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016421 end
16422
16423 addr_hit[12]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016424 reg_rdata_next[0] = mio_periph_insel_regwen_11_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016425 end
16426
16427 addr_hit[13]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016428 reg_rdata_next[0] = mio_periph_insel_regwen_12_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016429 end
16430
16431 addr_hit[14]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016432 reg_rdata_next[0] = mio_periph_insel_regwen_13_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016433 end
16434
16435 addr_hit[15]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016436 reg_rdata_next[0] = mio_periph_insel_regwen_14_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016437 end
16438
16439 addr_hit[16]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016440 reg_rdata_next[0] = mio_periph_insel_regwen_15_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016441 end
16442
16443 addr_hit[17]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016444 reg_rdata_next[0] = mio_periph_insel_regwen_16_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016445 end
16446
16447 addr_hit[18]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016448 reg_rdata_next[0] = mio_periph_insel_regwen_17_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016449 end
16450
16451 addr_hit[19]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016452 reg_rdata_next[0] = mio_periph_insel_regwen_18_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016453 end
16454
16455 addr_hit[20]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016456 reg_rdata_next[0] = mio_periph_insel_regwen_19_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016457 end
16458
16459 addr_hit[21]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016460 reg_rdata_next[0] = mio_periph_insel_regwen_20_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016461 end
16462
16463 addr_hit[22]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016464 reg_rdata_next[0] = mio_periph_insel_regwen_21_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016465 end
16466
16467 addr_hit[23]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016468 reg_rdata_next[0] = mio_periph_insel_regwen_22_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016469 end
16470
16471 addr_hit[24]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016472 reg_rdata_next[0] = mio_periph_insel_regwen_23_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016473 end
16474
16475 addr_hit[25]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016476 reg_rdata_next[0] = mio_periph_insel_regwen_24_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016477 end
16478
16479 addr_hit[26]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016480 reg_rdata_next[0] = mio_periph_insel_regwen_25_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016481 end
16482
16483 addr_hit[27]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016484 reg_rdata_next[0] = mio_periph_insel_regwen_26_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016485 end
16486
16487 addr_hit[28]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016488 reg_rdata_next[0] = mio_periph_insel_regwen_27_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016489 end
16490
16491 addr_hit[29]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016492 reg_rdata_next[0] = mio_periph_insel_regwen_28_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016493 end
16494
16495 addr_hit[30]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016496 reg_rdata_next[0] = mio_periph_insel_regwen_29_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016497 end
16498
16499 addr_hit[31]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016500 reg_rdata_next[0] = mio_periph_insel_regwen_30_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016501 end
16502
16503 addr_hit[32]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016504 reg_rdata_next[0] = mio_periph_insel_regwen_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016505 end
16506
16507 addr_hit[33]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016508 reg_rdata_next[0] = mio_periph_insel_regwen_32_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016509 end
16510
16511 addr_hit[34]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016512 reg_rdata_next[5:0] = mio_periph_insel_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016513 end
16514
16515 addr_hit[35]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016516 reg_rdata_next[5:0] = mio_periph_insel_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016517 end
16518
16519 addr_hit[36]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016520 reg_rdata_next[5:0] = mio_periph_insel_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016521 end
16522
16523 addr_hit[37]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016524 reg_rdata_next[5:0] = mio_periph_insel_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016525 end
16526
16527 addr_hit[38]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016528 reg_rdata_next[5:0] = mio_periph_insel_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016529 end
16530
16531 addr_hit[39]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016532 reg_rdata_next[5:0] = mio_periph_insel_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016533 end
16534
16535 addr_hit[40]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016536 reg_rdata_next[5:0] = mio_periph_insel_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016537 end
16538
16539 addr_hit[41]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016540 reg_rdata_next[5:0] = mio_periph_insel_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016541 end
16542
16543 addr_hit[42]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016544 reg_rdata_next[5:0] = mio_periph_insel_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016545 end
16546
16547 addr_hit[43]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016548 reg_rdata_next[5:0] = mio_periph_insel_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016549 end
16550
16551 addr_hit[44]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016552 reg_rdata_next[5:0] = mio_periph_insel_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016553 end
16554
16555 addr_hit[45]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016556 reg_rdata_next[5:0] = mio_periph_insel_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016557 end
16558
16559 addr_hit[46]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016560 reg_rdata_next[5:0] = mio_periph_insel_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016561 end
16562
16563 addr_hit[47]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016564 reg_rdata_next[5:0] = mio_periph_insel_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016565 end
16566
16567 addr_hit[48]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016568 reg_rdata_next[5:0] = mio_periph_insel_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016569 end
16570
16571 addr_hit[49]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016572 reg_rdata_next[5:0] = mio_periph_insel_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016573 end
16574
16575 addr_hit[50]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016576 reg_rdata_next[5:0] = mio_periph_insel_16_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016577 end
16578
16579 addr_hit[51]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016580 reg_rdata_next[5:0] = mio_periph_insel_17_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016581 end
16582
16583 addr_hit[52]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016584 reg_rdata_next[5:0] = mio_periph_insel_18_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016585 end
16586
16587 addr_hit[53]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016588 reg_rdata_next[5:0] = mio_periph_insel_19_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016589 end
16590
16591 addr_hit[54]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016592 reg_rdata_next[5:0] = mio_periph_insel_20_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016593 end
16594
16595 addr_hit[55]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016596 reg_rdata_next[5:0] = mio_periph_insel_21_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016597 end
16598
16599 addr_hit[56]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016600 reg_rdata_next[5:0] = mio_periph_insel_22_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016601 end
16602
16603 addr_hit[57]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016604 reg_rdata_next[5:0] = mio_periph_insel_23_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016605 end
16606
16607 addr_hit[58]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016608 reg_rdata_next[5:0] = mio_periph_insel_24_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016609 end
16610
16611 addr_hit[59]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016612 reg_rdata_next[5:0] = mio_periph_insel_25_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016613 end
16614
16615 addr_hit[60]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016616 reg_rdata_next[5:0] = mio_periph_insel_26_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016617 end
16618
16619 addr_hit[61]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016620 reg_rdata_next[5:0] = mio_periph_insel_27_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016621 end
16622
16623 addr_hit[62]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016624 reg_rdata_next[5:0] = mio_periph_insel_28_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016625 end
16626
16627 addr_hit[63]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016628 reg_rdata_next[5:0] = mio_periph_insel_29_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016629 end
16630
16631 addr_hit[64]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016632 reg_rdata_next[5:0] = mio_periph_insel_30_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016633 end
16634
16635 addr_hit[65]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016636 reg_rdata_next[5:0] = mio_periph_insel_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016637 end
16638
16639 addr_hit[66]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016640 reg_rdata_next[5:0] = mio_periph_insel_32_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016641 end
16642
16643 addr_hit[67]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016644 reg_rdata_next[0] = mio_outsel_regwen_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016645 end
16646
16647 addr_hit[68]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016648 reg_rdata_next[0] = mio_outsel_regwen_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016649 end
16650
16651 addr_hit[69]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016652 reg_rdata_next[0] = mio_outsel_regwen_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016653 end
16654
16655 addr_hit[70]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016656 reg_rdata_next[0] = mio_outsel_regwen_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016657 end
16658
16659 addr_hit[71]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016660 reg_rdata_next[0] = mio_outsel_regwen_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016661 end
16662
16663 addr_hit[72]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016664 reg_rdata_next[0] = mio_outsel_regwen_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016665 end
16666
16667 addr_hit[73]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016668 reg_rdata_next[0] = mio_outsel_regwen_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016669 end
16670
16671 addr_hit[74]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016672 reg_rdata_next[0] = mio_outsel_regwen_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016673 end
16674
16675 addr_hit[75]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016676 reg_rdata_next[0] = mio_outsel_regwen_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016677 end
16678
16679 addr_hit[76]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016680 reg_rdata_next[0] = mio_outsel_regwen_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016681 end
16682
16683 addr_hit[77]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016684 reg_rdata_next[0] = mio_outsel_regwen_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016685 end
16686
16687 addr_hit[78]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016688 reg_rdata_next[0] = mio_outsel_regwen_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016689 end
16690
16691 addr_hit[79]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016692 reg_rdata_next[0] = mio_outsel_regwen_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016693 end
16694
16695 addr_hit[80]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016696 reg_rdata_next[0] = mio_outsel_regwen_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016697 end
16698
16699 addr_hit[81]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016700 reg_rdata_next[0] = mio_outsel_regwen_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016701 end
16702
16703 addr_hit[82]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016704 reg_rdata_next[0] = mio_outsel_regwen_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016705 end
16706
16707 addr_hit[83]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016708 reg_rdata_next[0] = mio_outsel_regwen_16_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016709 end
16710
16711 addr_hit[84]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016712 reg_rdata_next[0] = mio_outsel_regwen_17_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016713 end
16714
16715 addr_hit[85]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016716 reg_rdata_next[0] = mio_outsel_regwen_18_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016717 end
16718
16719 addr_hit[86]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016720 reg_rdata_next[0] = mio_outsel_regwen_19_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016721 end
16722
16723 addr_hit[87]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016724 reg_rdata_next[0] = mio_outsel_regwen_20_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016725 end
16726
16727 addr_hit[88]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016728 reg_rdata_next[0] = mio_outsel_regwen_21_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016729 end
16730
16731 addr_hit[89]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016732 reg_rdata_next[0] = mio_outsel_regwen_22_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016733 end
16734
16735 addr_hit[90]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016736 reg_rdata_next[0] = mio_outsel_regwen_23_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016737 end
16738
16739 addr_hit[91]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016740 reg_rdata_next[0] = mio_outsel_regwen_24_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016741 end
16742
16743 addr_hit[92]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016744 reg_rdata_next[0] = mio_outsel_regwen_25_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016745 end
16746
16747 addr_hit[93]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016748 reg_rdata_next[0] = mio_outsel_regwen_26_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016749 end
16750
16751 addr_hit[94]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016752 reg_rdata_next[0] = mio_outsel_regwen_27_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016753 end
16754
16755 addr_hit[95]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016756 reg_rdata_next[0] = mio_outsel_regwen_28_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016757 end
16758
16759 addr_hit[96]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016760 reg_rdata_next[0] = mio_outsel_regwen_29_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016761 end
16762
16763 addr_hit[97]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016764 reg_rdata_next[0] = mio_outsel_regwen_30_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016765 end
16766
16767 addr_hit[98]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016768 reg_rdata_next[0] = mio_outsel_regwen_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016769 end
16770
16771 addr_hit[99]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016772 reg_rdata_next[5:0] = mio_outsel_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016773 end
16774
16775 addr_hit[100]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016776 reg_rdata_next[5:0] = mio_outsel_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016777 end
16778
16779 addr_hit[101]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016780 reg_rdata_next[5:0] = mio_outsel_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016781 end
16782
16783 addr_hit[102]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016784 reg_rdata_next[5:0] = mio_outsel_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016785 end
16786
16787 addr_hit[103]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016788 reg_rdata_next[5:0] = mio_outsel_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016789 end
16790
16791 addr_hit[104]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016792 reg_rdata_next[5:0] = mio_outsel_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016793 end
16794
16795 addr_hit[105]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016796 reg_rdata_next[5:0] = mio_outsel_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016797 end
16798
16799 addr_hit[106]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016800 reg_rdata_next[5:0] = mio_outsel_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016801 end
16802
16803 addr_hit[107]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016804 reg_rdata_next[5:0] = mio_outsel_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016805 end
16806
16807 addr_hit[108]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016808 reg_rdata_next[5:0] = mio_outsel_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016809 end
16810
16811 addr_hit[109]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016812 reg_rdata_next[5:0] = mio_outsel_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016813 end
16814
16815 addr_hit[110]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016816 reg_rdata_next[5:0] = mio_outsel_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016817 end
16818
16819 addr_hit[111]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016820 reg_rdata_next[5:0] = mio_outsel_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016821 end
16822
16823 addr_hit[112]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016824 reg_rdata_next[5:0] = mio_outsel_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016825 end
16826
16827 addr_hit[113]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016828 reg_rdata_next[5:0] = mio_outsel_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016829 end
16830
16831 addr_hit[114]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016832 reg_rdata_next[5:0] = mio_outsel_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016833 end
16834
16835 addr_hit[115]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016836 reg_rdata_next[5:0] = mio_outsel_16_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016837 end
16838
16839 addr_hit[116]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016840 reg_rdata_next[5:0] = mio_outsel_17_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016841 end
16842
16843 addr_hit[117]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016844 reg_rdata_next[5:0] = mio_outsel_18_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016845 end
16846
16847 addr_hit[118]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016848 reg_rdata_next[5:0] = mio_outsel_19_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016849 end
16850
16851 addr_hit[119]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016852 reg_rdata_next[5:0] = mio_outsel_20_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016853 end
16854
16855 addr_hit[120]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016856 reg_rdata_next[5:0] = mio_outsel_21_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016857 end
16858
16859 addr_hit[121]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016860 reg_rdata_next[5:0] = mio_outsel_22_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016861 end
16862
16863 addr_hit[122]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016864 reg_rdata_next[5:0] = mio_outsel_23_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016865 end
16866
16867 addr_hit[123]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016868 reg_rdata_next[5:0] = mio_outsel_24_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016869 end
16870
16871 addr_hit[124]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016872 reg_rdata_next[5:0] = mio_outsel_25_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016873 end
16874
16875 addr_hit[125]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016876 reg_rdata_next[5:0] = mio_outsel_26_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016877 end
16878
16879 addr_hit[126]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016880 reg_rdata_next[5:0] = mio_outsel_27_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016881 end
16882
16883 addr_hit[127]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016884 reg_rdata_next[5:0] = mio_outsel_28_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016885 end
16886
16887 addr_hit[128]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016888 reg_rdata_next[5:0] = mio_outsel_29_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016889 end
16890
16891 addr_hit[129]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016892 reg_rdata_next[5:0] = mio_outsel_30_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016893 end
16894
16895 addr_hit[130]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016896 reg_rdata_next[5:0] = mio_outsel_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016897 end
16898
16899 addr_hit[131]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016900 reg_rdata_next[0] = mio_pad_attr_regwen_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016901 end
16902
16903 addr_hit[132]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016904 reg_rdata_next[0] = mio_pad_attr_regwen_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016905 end
16906
16907 addr_hit[133]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016908 reg_rdata_next[0] = mio_pad_attr_regwen_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016909 end
16910
16911 addr_hit[134]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016912 reg_rdata_next[0] = mio_pad_attr_regwen_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016913 end
16914
16915 addr_hit[135]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016916 reg_rdata_next[0] = mio_pad_attr_regwen_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016917 end
16918
16919 addr_hit[136]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016920 reg_rdata_next[0] = mio_pad_attr_regwen_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016921 end
16922
16923 addr_hit[137]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016924 reg_rdata_next[0] = mio_pad_attr_regwen_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016925 end
16926
16927 addr_hit[138]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016928 reg_rdata_next[0] = mio_pad_attr_regwen_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016929 end
16930
16931 addr_hit[139]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016932 reg_rdata_next[0] = mio_pad_attr_regwen_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016933 end
16934
16935 addr_hit[140]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016936 reg_rdata_next[0] = mio_pad_attr_regwen_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016937 end
16938
16939 addr_hit[141]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016940 reg_rdata_next[0] = mio_pad_attr_regwen_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016941 end
16942
16943 addr_hit[142]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016944 reg_rdata_next[0] = mio_pad_attr_regwen_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016945 end
16946
16947 addr_hit[143]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016948 reg_rdata_next[0] = mio_pad_attr_regwen_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016949 end
16950
16951 addr_hit[144]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016952 reg_rdata_next[0] = mio_pad_attr_regwen_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016953 end
16954
16955 addr_hit[145]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016956 reg_rdata_next[0] = mio_pad_attr_regwen_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016957 end
16958
16959 addr_hit[146]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016960 reg_rdata_next[0] = mio_pad_attr_regwen_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016961 end
16962
16963 addr_hit[147]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016964 reg_rdata_next[0] = mio_pad_attr_regwen_16_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016965 end
16966
16967 addr_hit[148]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016968 reg_rdata_next[0] = mio_pad_attr_regwen_17_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016969 end
16970
16971 addr_hit[149]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016972 reg_rdata_next[0] = mio_pad_attr_regwen_18_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016973 end
16974
16975 addr_hit[150]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016976 reg_rdata_next[0] = mio_pad_attr_regwen_19_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016977 end
16978
16979 addr_hit[151]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016980 reg_rdata_next[0] = mio_pad_attr_regwen_20_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016981 end
16982
16983 addr_hit[152]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016984 reg_rdata_next[0] = mio_pad_attr_regwen_21_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016985 end
16986
16987 addr_hit[153]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016988 reg_rdata_next[0] = mio_pad_attr_regwen_22_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016989 end
16990
16991 addr_hit[154]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016992 reg_rdata_next[0] = mio_pad_attr_regwen_23_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016993 end
16994
16995 addr_hit[155]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070016996 reg_rdata_next[0] = mio_pad_attr_regwen_24_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016997 end
16998
16999 addr_hit[156]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017000 reg_rdata_next[0] = mio_pad_attr_regwen_25_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017001 end
17002
17003 addr_hit[157]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017004 reg_rdata_next[0] = mio_pad_attr_regwen_26_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017005 end
17006
17007 addr_hit[158]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017008 reg_rdata_next[0] = mio_pad_attr_regwen_27_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017009 end
17010
17011 addr_hit[159]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017012 reg_rdata_next[0] = mio_pad_attr_regwen_28_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017013 end
17014
17015 addr_hit[160]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017016 reg_rdata_next[0] = mio_pad_attr_regwen_29_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017017 end
17018
17019 addr_hit[161]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017020 reg_rdata_next[0] = mio_pad_attr_regwen_30_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017021 end
17022
17023 addr_hit[162]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017024 reg_rdata_next[0] = mio_pad_attr_regwen_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017025 end
17026
17027 addr_hit[163]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017028 reg_rdata_next[12:0] = mio_pad_attr_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017029 end
17030
17031 addr_hit[164]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017032 reg_rdata_next[12:0] = mio_pad_attr_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017033 end
17034
17035 addr_hit[165]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017036 reg_rdata_next[12:0] = mio_pad_attr_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017037 end
17038
17039 addr_hit[166]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017040 reg_rdata_next[12:0] = mio_pad_attr_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017041 end
17042
17043 addr_hit[167]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017044 reg_rdata_next[12:0] = mio_pad_attr_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017045 end
17046
17047 addr_hit[168]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017048 reg_rdata_next[12:0] = mio_pad_attr_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017049 end
17050
17051 addr_hit[169]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017052 reg_rdata_next[12:0] = mio_pad_attr_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017053 end
17054
17055 addr_hit[170]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017056 reg_rdata_next[12:0] = mio_pad_attr_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017057 end
17058
17059 addr_hit[171]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017060 reg_rdata_next[12:0] = mio_pad_attr_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017061 end
17062
17063 addr_hit[172]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017064 reg_rdata_next[12:0] = mio_pad_attr_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017065 end
17066
17067 addr_hit[173]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017068 reg_rdata_next[12:0] = mio_pad_attr_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017069 end
17070
17071 addr_hit[174]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017072 reg_rdata_next[12:0] = mio_pad_attr_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017073 end
17074
17075 addr_hit[175]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017076 reg_rdata_next[12:0] = mio_pad_attr_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017077 end
17078
17079 addr_hit[176]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017080 reg_rdata_next[12:0] = mio_pad_attr_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017081 end
17082
17083 addr_hit[177]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017084 reg_rdata_next[12:0] = mio_pad_attr_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017085 end
17086
17087 addr_hit[178]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017088 reg_rdata_next[12:0] = mio_pad_attr_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017089 end
17090
17091 addr_hit[179]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017092 reg_rdata_next[12:0] = mio_pad_attr_16_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017093 end
17094
17095 addr_hit[180]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017096 reg_rdata_next[12:0] = mio_pad_attr_17_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017097 end
17098
17099 addr_hit[181]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017100 reg_rdata_next[12:0] = mio_pad_attr_18_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017101 end
17102
17103 addr_hit[182]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017104 reg_rdata_next[12:0] = mio_pad_attr_19_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017105 end
17106
17107 addr_hit[183]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017108 reg_rdata_next[12:0] = mio_pad_attr_20_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017109 end
17110
17111 addr_hit[184]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017112 reg_rdata_next[12:0] = mio_pad_attr_21_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017113 end
17114
17115 addr_hit[185]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017116 reg_rdata_next[12:0] = mio_pad_attr_22_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017117 end
17118
17119 addr_hit[186]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017120 reg_rdata_next[12:0] = mio_pad_attr_23_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017121 end
17122
17123 addr_hit[187]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017124 reg_rdata_next[12:0] = mio_pad_attr_24_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017125 end
17126
17127 addr_hit[188]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017128 reg_rdata_next[12:0] = mio_pad_attr_25_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017129 end
17130
17131 addr_hit[189]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017132 reg_rdata_next[12:0] = mio_pad_attr_26_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017133 end
17134
17135 addr_hit[190]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017136 reg_rdata_next[12:0] = mio_pad_attr_27_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017137 end
17138
17139 addr_hit[191]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017140 reg_rdata_next[12:0] = mio_pad_attr_28_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017141 end
17142
17143 addr_hit[192]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017144 reg_rdata_next[12:0] = mio_pad_attr_29_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017145 end
17146
17147 addr_hit[193]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017148 reg_rdata_next[12:0] = mio_pad_attr_30_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017149 end
17150
17151 addr_hit[194]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017152 reg_rdata_next[12:0] = mio_pad_attr_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017153 end
17154
17155 addr_hit[195]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017156 reg_rdata_next[0] = dio_pad_attr_regwen_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017157 end
17158
17159 addr_hit[196]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017160 reg_rdata_next[0] = dio_pad_attr_regwen_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017161 end
17162
17163 addr_hit[197]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017164 reg_rdata_next[0] = dio_pad_attr_regwen_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017165 end
17166
17167 addr_hit[198]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017168 reg_rdata_next[0] = dio_pad_attr_regwen_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017169 end
17170
17171 addr_hit[199]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017172 reg_rdata_next[0] = dio_pad_attr_regwen_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017173 end
17174
17175 addr_hit[200]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017176 reg_rdata_next[0] = dio_pad_attr_regwen_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017177 end
17178
17179 addr_hit[201]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017180 reg_rdata_next[0] = dio_pad_attr_regwen_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017181 end
17182
17183 addr_hit[202]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017184 reg_rdata_next[0] = dio_pad_attr_regwen_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017185 end
17186
17187 addr_hit[203]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017188 reg_rdata_next[0] = dio_pad_attr_regwen_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017189 end
17190
17191 addr_hit[204]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017192 reg_rdata_next[0] = dio_pad_attr_regwen_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017193 end
17194
17195 addr_hit[205]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017196 reg_rdata_next[0] = dio_pad_attr_regwen_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017197 end
17198
17199 addr_hit[206]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017200 reg_rdata_next[0] = dio_pad_attr_regwen_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017201 end
17202
17203 addr_hit[207]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017204 reg_rdata_next[0] = dio_pad_attr_regwen_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017205 end
17206
17207 addr_hit[208]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017208 reg_rdata_next[0] = dio_pad_attr_regwen_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017209 end
17210
17211 addr_hit[209]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017212 reg_rdata_next[0] = dio_pad_attr_regwen_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017213 end
17214
17215 addr_hit[210]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017216 reg_rdata_next[0] = dio_pad_attr_regwen_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017217 end
17218
17219 addr_hit[211]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017220 reg_rdata_next[12:0] = dio_pad_attr_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017221 end
17222
17223 addr_hit[212]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017224 reg_rdata_next[12:0] = dio_pad_attr_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017225 end
17226
17227 addr_hit[213]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017228 reg_rdata_next[12:0] = dio_pad_attr_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017229 end
17230
17231 addr_hit[214]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017232 reg_rdata_next[12:0] = dio_pad_attr_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017233 end
17234
17235 addr_hit[215]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017236 reg_rdata_next[12:0] = dio_pad_attr_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017237 end
17238
17239 addr_hit[216]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017240 reg_rdata_next[12:0] = dio_pad_attr_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017241 end
17242
17243 addr_hit[217]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017244 reg_rdata_next[12:0] = dio_pad_attr_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017245 end
17246
17247 addr_hit[218]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017248 reg_rdata_next[12:0] = dio_pad_attr_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017249 end
17250
17251 addr_hit[219]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017252 reg_rdata_next[12:0] = dio_pad_attr_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017253 end
17254
17255 addr_hit[220]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017256 reg_rdata_next[12:0] = dio_pad_attr_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017257 end
17258
17259 addr_hit[221]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017260 reg_rdata_next[12:0] = dio_pad_attr_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017261 end
17262
17263 addr_hit[222]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017264 reg_rdata_next[12:0] = dio_pad_attr_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017265 end
17266
17267 addr_hit[223]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017268 reg_rdata_next[12:0] = dio_pad_attr_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017269 end
17270
17271 addr_hit[224]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017272 reg_rdata_next[12:0] = dio_pad_attr_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017273 end
17274
17275 addr_hit[225]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017276 reg_rdata_next[12:0] = dio_pad_attr_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017277 end
17278
17279 addr_hit[226]: begin
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017280 reg_rdata_next[12:0] = dio_pad_attr_15_qs;
17281 end
17282
17283 addr_hit[227]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017284 reg_rdata_next[0] = mio_pad_sleep_status_en_0_qs;
17285 reg_rdata_next[1] = mio_pad_sleep_status_en_1_qs;
17286 reg_rdata_next[2] = mio_pad_sleep_status_en_2_qs;
17287 reg_rdata_next[3] = mio_pad_sleep_status_en_3_qs;
17288 reg_rdata_next[4] = mio_pad_sleep_status_en_4_qs;
17289 reg_rdata_next[5] = mio_pad_sleep_status_en_5_qs;
17290 reg_rdata_next[6] = mio_pad_sleep_status_en_6_qs;
17291 reg_rdata_next[7] = mio_pad_sleep_status_en_7_qs;
17292 reg_rdata_next[8] = mio_pad_sleep_status_en_8_qs;
17293 reg_rdata_next[9] = mio_pad_sleep_status_en_9_qs;
17294 reg_rdata_next[10] = mio_pad_sleep_status_en_10_qs;
17295 reg_rdata_next[11] = mio_pad_sleep_status_en_11_qs;
17296 reg_rdata_next[12] = mio_pad_sleep_status_en_12_qs;
17297 reg_rdata_next[13] = mio_pad_sleep_status_en_13_qs;
17298 reg_rdata_next[14] = mio_pad_sleep_status_en_14_qs;
17299 reg_rdata_next[15] = mio_pad_sleep_status_en_15_qs;
17300 reg_rdata_next[16] = mio_pad_sleep_status_en_16_qs;
17301 reg_rdata_next[17] = mio_pad_sleep_status_en_17_qs;
17302 reg_rdata_next[18] = mio_pad_sleep_status_en_18_qs;
17303 reg_rdata_next[19] = mio_pad_sleep_status_en_19_qs;
17304 reg_rdata_next[20] = mio_pad_sleep_status_en_20_qs;
17305 reg_rdata_next[21] = mio_pad_sleep_status_en_21_qs;
17306 reg_rdata_next[22] = mio_pad_sleep_status_en_22_qs;
17307 reg_rdata_next[23] = mio_pad_sleep_status_en_23_qs;
17308 reg_rdata_next[24] = mio_pad_sleep_status_en_24_qs;
17309 reg_rdata_next[25] = mio_pad_sleep_status_en_25_qs;
17310 reg_rdata_next[26] = mio_pad_sleep_status_en_26_qs;
17311 reg_rdata_next[27] = mio_pad_sleep_status_en_27_qs;
17312 reg_rdata_next[28] = mio_pad_sleep_status_en_28_qs;
17313 reg_rdata_next[29] = mio_pad_sleep_status_en_29_qs;
17314 reg_rdata_next[30] = mio_pad_sleep_status_en_30_qs;
17315 reg_rdata_next[31] = mio_pad_sleep_status_en_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017316 end
17317
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017318 addr_hit[228]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017319 reg_rdata_next[0] = mio_pad_sleep_regwen_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017320 end
17321
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017322 addr_hit[229]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017323 reg_rdata_next[0] = mio_pad_sleep_regwen_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017324 end
17325
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017326 addr_hit[230]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017327 reg_rdata_next[0] = mio_pad_sleep_regwen_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017328 end
17329
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017330 addr_hit[231]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017331 reg_rdata_next[0] = mio_pad_sleep_regwen_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017332 end
17333
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017334 addr_hit[232]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017335 reg_rdata_next[0] = mio_pad_sleep_regwen_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017336 end
17337
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017338 addr_hit[233]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017339 reg_rdata_next[0] = mio_pad_sleep_regwen_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017340 end
17341
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017342 addr_hit[234]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017343 reg_rdata_next[0] = mio_pad_sleep_regwen_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017344 end
17345
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017346 addr_hit[235]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017347 reg_rdata_next[0] = mio_pad_sleep_regwen_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017348 end
17349
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017350 addr_hit[236]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017351 reg_rdata_next[0] = mio_pad_sleep_regwen_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017352 end
17353
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017354 addr_hit[237]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017355 reg_rdata_next[0] = mio_pad_sleep_regwen_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017356 end
17357
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017358 addr_hit[238]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017359 reg_rdata_next[0] = mio_pad_sleep_regwen_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017360 end
17361
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017362 addr_hit[239]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017363 reg_rdata_next[0] = mio_pad_sleep_regwen_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017364 end
17365
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017366 addr_hit[240]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017367 reg_rdata_next[0] = mio_pad_sleep_regwen_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017368 end
17369
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017370 addr_hit[241]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017371 reg_rdata_next[0] = mio_pad_sleep_regwen_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017372 end
17373
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017374 addr_hit[242]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017375 reg_rdata_next[0] = mio_pad_sleep_regwen_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017376 end
17377
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017378 addr_hit[243]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017379 reg_rdata_next[0] = mio_pad_sleep_regwen_15_qs;
17380 end
17381
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017382 addr_hit[244]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017383 reg_rdata_next[0] = mio_pad_sleep_regwen_16_qs;
17384 end
17385
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017386 addr_hit[245]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017387 reg_rdata_next[0] = mio_pad_sleep_regwen_17_qs;
17388 end
17389
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017390 addr_hit[246]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017391 reg_rdata_next[0] = mio_pad_sleep_regwen_18_qs;
17392 end
17393
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017394 addr_hit[247]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017395 reg_rdata_next[0] = mio_pad_sleep_regwen_19_qs;
17396 end
17397
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017398 addr_hit[248]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017399 reg_rdata_next[0] = mio_pad_sleep_regwen_20_qs;
17400 end
17401
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017402 addr_hit[249]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017403 reg_rdata_next[0] = mio_pad_sleep_regwen_21_qs;
17404 end
17405
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017406 addr_hit[250]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017407 reg_rdata_next[0] = mio_pad_sleep_regwen_22_qs;
17408 end
17409
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017410 addr_hit[251]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017411 reg_rdata_next[0] = mio_pad_sleep_regwen_23_qs;
17412 end
17413
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017414 addr_hit[252]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017415 reg_rdata_next[0] = mio_pad_sleep_regwen_24_qs;
17416 end
17417
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017418 addr_hit[253]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017419 reg_rdata_next[0] = mio_pad_sleep_regwen_25_qs;
17420 end
17421
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017422 addr_hit[254]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017423 reg_rdata_next[0] = mio_pad_sleep_regwen_26_qs;
17424 end
17425
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017426 addr_hit[255]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017427 reg_rdata_next[0] = mio_pad_sleep_regwen_27_qs;
17428 end
17429
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017430 addr_hit[256]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017431 reg_rdata_next[0] = mio_pad_sleep_regwen_28_qs;
17432 end
17433
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017434 addr_hit[257]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017435 reg_rdata_next[0] = mio_pad_sleep_regwen_29_qs;
17436 end
17437
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017438 addr_hit[258]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017439 reg_rdata_next[0] = mio_pad_sleep_regwen_30_qs;
17440 end
17441
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017442 addr_hit[259]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017443 reg_rdata_next[0] = mio_pad_sleep_regwen_31_qs;
17444 end
17445
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017446 addr_hit[260]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017447 reg_rdata_next[0] = mio_pad_sleep_en_0_qs;
17448 end
17449
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017450 addr_hit[261]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017451 reg_rdata_next[0] = mio_pad_sleep_en_1_qs;
17452 end
17453
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017454 addr_hit[262]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017455 reg_rdata_next[0] = mio_pad_sleep_en_2_qs;
17456 end
17457
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017458 addr_hit[263]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017459 reg_rdata_next[0] = mio_pad_sleep_en_3_qs;
17460 end
17461
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017462 addr_hit[264]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017463 reg_rdata_next[0] = mio_pad_sleep_en_4_qs;
17464 end
17465
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017466 addr_hit[265]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017467 reg_rdata_next[0] = mio_pad_sleep_en_5_qs;
17468 end
17469
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017470 addr_hit[266]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017471 reg_rdata_next[0] = mio_pad_sleep_en_6_qs;
17472 end
17473
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017474 addr_hit[267]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017475 reg_rdata_next[0] = mio_pad_sleep_en_7_qs;
17476 end
17477
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017478 addr_hit[268]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017479 reg_rdata_next[0] = mio_pad_sleep_en_8_qs;
17480 end
17481
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017482 addr_hit[269]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017483 reg_rdata_next[0] = mio_pad_sleep_en_9_qs;
17484 end
17485
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017486 addr_hit[270]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017487 reg_rdata_next[0] = mio_pad_sleep_en_10_qs;
17488 end
17489
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017490 addr_hit[271]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017491 reg_rdata_next[0] = mio_pad_sleep_en_11_qs;
17492 end
17493
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017494 addr_hit[272]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017495 reg_rdata_next[0] = mio_pad_sleep_en_12_qs;
17496 end
17497
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017498 addr_hit[273]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017499 reg_rdata_next[0] = mio_pad_sleep_en_13_qs;
17500 end
17501
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017502 addr_hit[274]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017503 reg_rdata_next[0] = mio_pad_sleep_en_14_qs;
17504 end
17505
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017506 addr_hit[275]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017507 reg_rdata_next[0] = mio_pad_sleep_en_15_qs;
17508 end
17509
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017510 addr_hit[276]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017511 reg_rdata_next[0] = mio_pad_sleep_en_16_qs;
17512 end
17513
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017514 addr_hit[277]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017515 reg_rdata_next[0] = mio_pad_sleep_en_17_qs;
17516 end
17517
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017518 addr_hit[278]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017519 reg_rdata_next[0] = mio_pad_sleep_en_18_qs;
17520 end
17521
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017522 addr_hit[279]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017523 reg_rdata_next[0] = mio_pad_sleep_en_19_qs;
17524 end
17525
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017526 addr_hit[280]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017527 reg_rdata_next[0] = mio_pad_sleep_en_20_qs;
17528 end
17529
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017530 addr_hit[281]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017531 reg_rdata_next[0] = mio_pad_sleep_en_21_qs;
17532 end
17533
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017534 addr_hit[282]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017535 reg_rdata_next[0] = mio_pad_sleep_en_22_qs;
17536 end
17537
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017538 addr_hit[283]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017539 reg_rdata_next[0] = mio_pad_sleep_en_23_qs;
17540 end
17541
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017542 addr_hit[284]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017543 reg_rdata_next[0] = mio_pad_sleep_en_24_qs;
17544 end
17545
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017546 addr_hit[285]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017547 reg_rdata_next[0] = mio_pad_sleep_en_25_qs;
17548 end
17549
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017550 addr_hit[286]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017551 reg_rdata_next[0] = mio_pad_sleep_en_26_qs;
17552 end
17553
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017554 addr_hit[287]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017555 reg_rdata_next[0] = mio_pad_sleep_en_27_qs;
17556 end
17557
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017558 addr_hit[288]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017559 reg_rdata_next[0] = mio_pad_sleep_en_28_qs;
17560 end
17561
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017562 addr_hit[289]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017563 reg_rdata_next[0] = mio_pad_sleep_en_29_qs;
17564 end
17565
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017566 addr_hit[290]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017567 reg_rdata_next[0] = mio_pad_sleep_en_30_qs;
17568 end
17569
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017570 addr_hit[291]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017571 reg_rdata_next[0] = mio_pad_sleep_en_31_qs;
17572 end
17573
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017574 addr_hit[292]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017575 reg_rdata_next[1:0] = mio_pad_sleep_mode_0_qs;
17576 end
17577
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017578 addr_hit[293]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017579 reg_rdata_next[1:0] = mio_pad_sleep_mode_1_qs;
17580 end
17581
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017582 addr_hit[294]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017583 reg_rdata_next[1:0] = mio_pad_sleep_mode_2_qs;
17584 end
17585
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017586 addr_hit[295]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017587 reg_rdata_next[1:0] = mio_pad_sleep_mode_3_qs;
17588 end
17589
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017590 addr_hit[296]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017591 reg_rdata_next[1:0] = mio_pad_sleep_mode_4_qs;
17592 end
17593
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017594 addr_hit[297]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017595 reg_rdata_next[1:0] = mio_pad_sleep_mode_5_qs;
17596 end
17597
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017598 addr_hit[298]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017599 reg_rdata_next[1:0] = mio_pad_sleep_mode_6_qs;
17600 end
17601
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017602 addr_hit[299]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017603 reg_rdata_next[1:0] = mio_pad_sleep_mode_7_qs;
17604 end
17605
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017606 addr_hit[300]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017607 reg_rdata_next[1:0] = mio_pad_sleep_mode_8_qs;
17608 end
17609
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017610 addr_hit[301]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017611 reg_rdata_next[1:0] = mio_pad_sleep_mode_9_qs;
17612 end
17613
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017614 addr_hit[302]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017615 reg_rdata_next[1:0] = mio_pad_sleep_mode_10_qs;
17616 end
17617
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017618 addr_hit[303]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017619 reg_rdata_next[1:0] = mio_pad_sleep_mode_11_qs;
17620 end
17621
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017622 addr_hit[304]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017623 reg_rdata_next[1:0] = mio_pad_sleep_mode_12_qs;
17624 end
17625
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017626 addr_hit[305]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017627 reg_rdata_next[1:0] = mio_pad_sleep_mode_13_qs;
17628 end
17629
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017630 addr_hit[306]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017631 reg_rdata_next[1:0] = mio_pad_sleep_mode_14_qs;
17632 end
17633
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017634 addr_hit[307]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017635 reg_rdata_next[1:0] = mio_pad_sleep_mode_15_qs;
17636 end
17637
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017638 addr_hit[308]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017639 reg_rdata_next[1:0] = mio_pad_sleep_mode_16_qs;
17640 end
17641
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017642 addr_hit[309]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017643 reg_rdata_next[1:0] = mio_pad_sleep_mode_17_qs;
17644 end
17645
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017646 addr_hit[310]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017647 reg_rdata_next[1:0] = mio_pad_sleep_mode_18_qs;
17648 end
17649
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017650 addr_hit[311]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017651 reg_rdata_next[1:0] = mio_pad_sleep_mode_19_qs;
17652 end
17653
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017654 addr_hit[312]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017655 reg_rdata_next[1:0] = mio_pad_sleep_mode_20_qs;
17656 end
17657
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017658 addr_hit[313]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017659 reg_rdata_next[1:0] = mio_pad_sleep_mode_21_qs;
17660 end
17661
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017662 addr_hit[314]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017663 reg_rdata_next[1:0] = mio_pad_sleep_mode_22_qs;
17664 end
17665
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017666 addr_hit[315]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017667 reg_rdata_next[1:0] = mio_pad_sleep_mode_23_qs;
17668 end
17669
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017670 addr_hit[316]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017671 reg_rdata_next[1:0] = mio_pad_sleep_mode_24_qs;
17672 end
17673
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017674 addr_hit[317]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017675 reg_rdata_next[1:0] = mio_pad_sleep_mode_25_qs;
17676 end
17677
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017678 addr_hit[318]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017679 reg_rdata_next[1:0] = mio_pad_sleep_mode_26_qs;
17680 end
17681
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017682 addr_hit[319]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017683 reg_rdata_next[1:0] = mio_pad_sleep_mode_27_qs;
17684 end
17685
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017686 addr_hit[320]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017687 reg_rdata_next[1:0] = mio_pad_sleep_mode_28_qs;
17688 end
17689
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017690 addr_hit[321]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017691 reg_rdata_next[1:0] = mio_pad_sleep_mode_29_qs;
17692 end
17693
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017694 addr_hit[322]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017695 reg_rdata_next[1:0] = mio_pad_sleep_mode_30_qs;
17696 end
17697
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017698 addr_hit[323]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017699 reg_rdata_next[1:0] = mio_pad_sleep_mode_31_qs;
17700 end
17701
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017702 addr_hit[324]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017703 reg_rdata_next[0] = dio_pad_sleep_status_en_0_qs;
17704 reg_rdata_next[1] = dio_pad_sleep_status_en_1_qs;
17705 reg_rdata_next[2] = dio_pad_sleep_status_en_2_qs;
17706 reg_rdata_next[3] = dio_pad_sleep_status_en_3_qs;
17707 reg_rdata_next[4] = dio_pad_sleep_status_en_4_qs;
17708 reg_rdata_next[5] = dio_pad_sleep_status_en_5_qs;
17709 reg_rdata_next[6] = dio_pad_sleep_status_en_6_qs;
17710 reg_rdata_next[7] = dio_pad_sleep_status_en_7_qs;
17711 reg_rdata_next[8] = dio_pad_sleep_status_en_8_qs;
17712 reg_rdata_next[9] = dio_pad_sleep_status_en_9_qs;
17713 reg_rdata_next[10] = dio_pad_sleep_status_en_10_qs;
17714 reg_rdata_next[11] = dio_pad_sleep_status_en_11_qs;
17715 reg_rdata_next[12] = dio_pad_sleep_status_en_12_qs;
17716 reg_rdata_next[13] = dio_pad_sleep_status_en_13_qs;
17717 reg_rdata_next[14] = dio_pad_sleep_status_en_14_qs;
17718 reg_rdata_next[15] = dio_pad_sleep_status_en_15_qs;
17719 end
17720
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017721 addr_hit[325]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017722 reg_rdata_next[0] = dio_pad_sleep_regwen_0_qs;
17723 end
17724
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017725 addr_hit[326]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017726 reg_rdata_next[0] = dio_pad_sleep_regwen_1_qs;
17727 end
17728
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017729 addr_hit[327]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017730 reg_rdata_next[0] = dio_pad_sleep_regwen_2_qs;
17731 end
17732
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017733 addr_hit[328]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017734 reg_rdata_next[0] = dio_pad_sleep_regwen_3_qs;
17735 end
17736
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017737 addr_hit[329]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017738 reg_rdata_next[0] = dio_pad_sleep_regwen_4_qs;
17739 end
17740
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017741 addr_hit[330]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017742 reg_rdata_next[0] = dio_pad_sleep_regwen_5_qs;
17743 end
17744
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017745 addr_hit[331]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017746 reg_rdata_next[0] = dio_pad_sleep_regwen_6_qs;
17747 end
17748
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017749 addr_hit[332]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017750 reg_rdata_next[0] = dio_pad_sleep_regwen_7_qs;
17751 end
17752
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017753 addr_hit[333]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017754 reg_rdata_next[0] = dio_pad_sleep_regwen_8_qs;
17755 end
17756
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017757 addr_hit[334]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017758 reg_rdata_next[0] = dio_pad_sleep_regwen_9_qs;
17759 end
17760
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017761 addr_hit[335]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017762 reg_rdata_next[0] = dio_pad_sleep_regwen_10_qs;
17763 end
17764
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017765 addr_hit[336]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017766 reg_rdata_next[0] = dio_pad_sleep_regwen_11_qs;
17767 end
17768
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017769 addr_hit[337]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017770 reg_rdata_next[0] = dio_pad_sleep_regwen_12_qs;
17771 end
17772
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017773 addr_hit[338]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017774 reg_rdata_next[0] = dio_pad_sleep_regwen_13_qs;
17775 end
17776
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017777 addr_hit[339]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017778 reg_rdata_next[0] = dio_pad_sleep_regwen_14_qs;
17779 end
17780
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017781 addr_hit[340]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017782 reg_rdata_next[0] = dio_pad_sleep_regwen_15_qs;
17783 end
17784
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017785 addr_hit[341]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017786 reg_rdata_next[0] = dio_pad_sleep_en_0_qs;
17787 end
17788
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017789 addr_hit[342]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017790 reg_rdata_next[0] = dio_pad_sleep_en_1_qs;
17791 end
17792
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017793 addr_hit[343]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017794 reg_rdata_next[0] = dio_pad_sleep_en_2_qs;
17795 end
17796
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017797 addr_hit[344]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017798 reg_rdata_next[0] = dio_pad_sleep_en_3_qs;
17799 end
17800
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017801 addr_hit[345]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017802 reg_rdata_next[0] = dio_pad_sleep_en_4_qs;
17803 end
17804
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017805 addr_hit[346]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017806 reg_rdata_next[0] = dio_pad_sleep_en_5_qs;
17807 end
17808
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017809 addr_hit[347]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017810 reg_rdata_next[0] = dio_pad_sleep_en_6_qs;
17811 end
17812
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017813 addr_hit[348]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017814 reg_rdata_next[0] = dio_pad_sleep_en_7_qs;
17815 end
17816
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017817 addr_hit[349]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017818 reg_rdata_next[0] = dio_pad_sleep_en_8_qs;
17819 end
17820
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017821 addr_hit[350]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017822 reg_rdata_next[0] = dio_pad_sleep_en_9_qs;
17823 end
17824
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017825 addr_hit[351]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017826 reg_rdata_next[0] = dio_pad_sleep_en_10_qs;
17827 end
17828
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017829 addr_hit[352]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017830 reg_rdata_next[0] = dio_pad_sleep_en_11_qs;
17831 end
17832
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017833 addr_hit[353]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017834 reg_rdata_next[0] = dio_pad_sleep_en_12_qs;
17835 end
17836
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017837 addr_hit[354]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017838 reg_rdata_next[0] = dio_pad_sleep_en_13_qs;
17839 end
17840
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017841 addr_hit[355]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017842 reg_rdata_next[0] = dio_pad_sleep_en_14_qs;
17843 end
17844
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017845 addr_hit[356]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017846 reg_rdata_next[0] = dio_pad_sleep_en_15_qs;
17847 end
17848
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017849 addr_hit[357]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017850 reg_rdata_next[1:0] = dio_pad_sleep_mode_0_qs;
17851 end
17852
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017853 addr_hit[358]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017854 reg_rdata_next[1:0] = dio_pad_sleep_mode_1_qs;
17855 end
17856
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017857 addr_hit[359]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017858 reg_rdata_next[1:0] = dio_pad_sleep_mode_2_qs;
17859 end
17860
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017861 addr_hit[360]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017862 reg_rdata_next[1:0] = dio_pad_sleep_mode_3_qs;
17863 end
17864
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017865 addr_hit[361]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017866 reg_rdata_next[1:0] = dio_pad_sleep_mode_4_qs;
17867 end
17868
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017869 addr_hit[362]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017870 reg_rdata_next[1:0] = dio_pad_sleep_mode_5_qs;
17871 end
17872
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017873 addr_hit[363]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017874 reg_rdata_next[1:0] = dio_pad_sleep_mode_6_qs;
17875 end
17876
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017877 addr_hit[364]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017878 reg_rdata_next[1:0] = dio_pad_sleep_mode_7_qs;
17879 end
17880
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017881 addr_hit[365]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017882 reg_rdata_next[1:0] = dio_pad_sleep_mode_8_qs;
17883 end
17884
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017885 addr_hit[366]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017886 reg_rdata_next[1:0] = dio_pad_sleep_mode_9_qs;
17887 end
17888
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017889 addr_hit[367]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017890 reg_rdata_next[1:0] = dio_pad_sleep_mode_10_qs;
17891 end
17892
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017893 addr_hit[368]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017894 reg_rdata_next[1:0] = dio_pad_sleep_mode_11_qs;
17895 end
17896
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017897 addr_hit[369]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017898 reg_rdata_next[1:0] = dio_pad_sleep_mode_12_qs;
17899 end
17900
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017901 addr_hit[370]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017902 reg_rdata_next[1:0] = dio_pad_sleep_mode_13_qs;
17903 end
17904
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017905 addr_hit[371]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017906 reg_rdata_next[1:0] = dio_pad_sleep_mode_14_qs;
17907 end
17908
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017909 addr_hit[372]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017910 reg_rdata_next[1:0] = dio_pad_sleep_mode_15_qs;
17911 end
17912
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017913 addr_hit[373]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017914 reg_rdata_next[0] = wkup_detector_regwen_0_qs;
17915 end
17916
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017917 addr_hit[374]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017918 reg_rdata_next[0] = wkup_detector_regwen_1_qs;
17919 end
17920
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017921 addr_hit[375]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017922 reg_rdata_next[0] = wkup_detector_regwen_2_qs;
17923 end
17924
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017925 addr_hit[376]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017926 reg_rdata_next[0] = wkup_detector_regwen_3_qs;
17927 end
17928
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017929 addr_hit[377]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017930 reg_rdata_next[0] = wkup_detector_regwen_4_qs;
17931 end
17932
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017933 addr_hit[378]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017934 reg_rdata_next[0] = wkup_detector_regwen_5_qs;
17935 end
17936
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017937 addr_hit[379]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017938 reg_rdata_next[0] = wkup_detector_regwen_6_qs;
17939 end
17940
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017941 addr_hit[380]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017942 reg_rdata_next[0] = wkup_detector_regwen_7_qs;
17943 end
17944
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017945 addr_hit[381]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017946 reg_rdata_next[0] = wkup_detector_en_0_qs;
17947 end
17948
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017949 addr_hit[382]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017950 reg_rdata_next[0] = wkup_detector_en_1_qs;
17951 end
17952
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017953 addr_hit[383]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017954 reg_rdata_next[0] = wkup_detector_en_2_qs;
17955 end
17956
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017957 addr_hit[384]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017958 reg_rdata_next[0] = wkup_detector_en_3_qs;
17959 end
17960
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017961 addr_hit[385]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017962 reg_rdata_next[0] = wkup_detector_en_4_qs;
17963 end
17964
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017965 addr_hit[386]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017966 reg_rdata_next[0] = wkup_detector_en_5_qs;
17967 end
17968
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017969 addr_hit[387]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017970 reg_rdata_next[0] = wkup_detector_en_6_qs;
17971 end
17972
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017973 addr_hit[388]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017974 reg_rdata_next[0] = wkup_detector_en_7_qs;
17975 end
17976
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017977 addr_hit[389]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017978 reg_rdata_next[2:0] = wkup_detector_0_mode_0_qs;
17979 reg_rdata_next[3] = wkup_detector_0_filter_0_qs;
17980 reg_rdata_next[4] = wkup_detector_0_miodio_0_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017981 end
17982
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017983 addr_hit[390]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017984 reg_rdata_next[2:0] = wkup_detector_1_mode_1_qs;
17985 reg_rdata_next[3] = wkup_detector_1_filter_1_qs;
17986 reg_rdata_next[4] = wkup_detector_1_miodio_1_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017987 end
17988
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017989 addr_hit[391]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017990 reg_rdata_next[2:0] = wkup_detector_2_mode_2_qs;
17991 reg_rdata_next[3] = wkup_detector_2_filter_2_qs;
17992 reg_rdata_next[4] = wkup_detector_2_miodio_2_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017993 end
17994
Michael Schaffneraf488fb2021-06-07 17:31:14 -070017995 addr_hit[392]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017996 reg_rdata_next[2:0] = wkup_detector_3_mode_3_qs;
17997 reg_rdata_next[3] = wkup_detector_3_filter_3_qs;
17998 reg_rdata_next[4] = wkup_detector_3_miodio_3_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017999 end
18000
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018001 addr_hit[393]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020018002 reg_rdata_next[2:0] = wkup_detector_4_mode_4_qs;
18003 reg_rdata_next[3] = wkup_detector_4_filter_4_qs;
18004 reg_rdata_next[4] = wkup_detector_4_miodio_4_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018005 end
18006
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018007 addr_hit[394]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020018008 reg_rdata_next[2:0] = wkup_detector_5_mode_5_qs;
18009 reg_rdata_next[3] = wkup_detector_5_filter_5_qs;
18010 reg_rdata_next[4] = wkup_detector_5_miodio_5_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018011 end
18012
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018013 addr_hit[395]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020018014 reg_rdata_next[2:0] = wkup_detector_6_mode_6_qs;
18015 reg_rdata_next[3] = wkup_detector_6_filter_6_qs;
18016 reg_rdata_next[4] = wkup_detector_6_miodio_6_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018017 end
18018
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018019 addr_hit[396]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020018020 reg_rdata_next[2:0] = wkup_detector_7_mode_7_qs;
18021 reg_rdata_next[3] = wkup_detector_7_filter_7_qs;
18022 reg_rdata_next[4] = wkup_detector_7_miodio_7_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018023 end
18024
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018025 addr_hit[397]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018026 reg_rdata_next[7:0] = wkup_detector_cnt_th_0_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018027 end
18028
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018029 addr_hit[398]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018030 reg_rdata_next[7:0] = wkup_detector_cnt_th_1_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018031 end
18032
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018033 addr_hit[399]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018034 reg_rdata_next[7:0] = wkup_detector_cnt_th_2_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018035 end
18036
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018037 addr_hit[400]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018038 reg_rdata_next[7:0] = wkup_detector_cnt_th_3_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018039 end
18040
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018041 addr_hit[401]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018042 reg_rdata_next[7:0] = wkup_detector_cnt_th_4_qs;
18043 end
18044
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018045 addr_hit[402]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018046 reg_rdata_next[7:0] = wkup_detector_cnt_th_5_qs;
18047 end
18048
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018049 addr_hit[403]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018050 reg_rdata_next[7:0] = wkup_detector_cnt_th_6_qs;
18051 end
18052
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018053 addr_hit[404]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018054 reg_rdata_next[7:0] = wkup_detector_cnt_th_7_qs;
18055 end
18056
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018057 addr_hit[405]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018058 reg_rdata_next[5:0] = wkup_detector_padsel_0_qs;
18059 end
18060
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018061 addr_hit[406]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018062 reg_rdata_next[5:0] = wkup_detector_padsel_1_qs;
18063 end
18064
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018065 addr_hit[407]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018066 reg_rdata_next[5:0] = wkup_detector_padsel_2_qs;
18067 end
18068
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018069 addr_hit[408]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018070 reg_rdata_next[5:0] = wkup_detector_padsel_3_qs;
18071 end
18072
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018073 addr_hit[409]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018074 reg_rdata_next[5:0] = wkup_detector_padsel_4_qs;
18075 end
18076
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018077 addr_hit[410]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018078 reg_rdata_next[5:0] = wkup_detector_padsel_5_qs;
18079 end
18080
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018081 addr_hit[411]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018082 reg_rdata_next[5:0] = wkup_detector_padsel_6_qs;
18083 end
18084
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018085 addr_hit[412]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018086 reg_rdata_next[5:0] = wkup_detector_padsel_7_qs;
18087 end
18088
Michael Schaffneraf488fb2021-06-07 17:31:14 -070018089 addr_hit[413]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020018090 reg_rdata_next[0] = wkup_cause_cause_0_qs;
18091 reg_rdata_next[1] = wkup_cause_cause_1_qs;
18092 reg_rdata_next[2] = wkup_cause_cause_2_qs;
18093 reg_rdata_next[3] = wkup_cause_cause_3_qs;
18094 reg_rdata_next[4] = wkup_cause_cause_4_qs;
18095 reg_rdata_next[5] = wkup_cause_cause_5_qs;
18096 reg_rdata_next[6] = wkup_cause_cause_6_qs;
18097 reg_rdata_next[7] = wkup_cause_cause_7_qs;
Michael Schaffner51c61442019-10-01 15:49:10 -070018098 end
18099
18100 default: begin
18101 reg_rdata_next = '1;
18102 end
18103 endcase
18104 end
18105
Timothy Chenf5567622021-02-23 10:14:59 -080018106 // Unused signal tieoff
18107
18108 // wdata / byte enable are not always fully used
18109 // add a blanket unused statement to handle lint waivers
18110 logic unused_wdata;
18111 logic unused_be;
18112 assign unused_wdata = ^reg_wdata;
18113 assign unused_be = ^reg_be;
18114
Michael Schaffner51c61442019-10-01 15:49:10 -070018115 // Assertions for Register Interface
Greg Chadwick46ede4b2020-01-14 12:46:39 +000018116 `ASSERT_PULSE(wePulse, reg_we)
18117 `ASSERT_PULSE(rePulse, reg_re)
Michael Schaffner51c61442019-10-01 15:49:10 -070018118
Greg Chadwick46ede4b2020-01-14 12:46:39 +000018119 `ASSERT(reAfterRv, $rose(reg_re || reg_we) |=> tl_o.d_valid)
Michael Schaffner51c61442019-10-01 15:49:10 -070018120
Greg Chadwick46ede4b2020-01-14 12:46:39 +000018121 `ASSERT(en2addrHit, (reg_we || reg_re) |-> $onehot0(addr_hit))
Michael Schaffner51c61442019-10-01 15:49:10 -070018122
Michael Schaffneree9e8db2019-10-22 17:49:51 -070018123 // this is formulated as an assumption such that the FPV testbenches do disprove this
18124 // property by mistake
Timothy Chend2c08a52021-02-12 15:39:24 -080018125 //`ASSUME(reqParity, tl_reg_h2d.a_valid |-> tl_reg_h2d.a_user.chk_en == tlul_pkg::CheckDis)
Michael Schaffner51c61442019-10-01 15:49:10 -070018126
18127endmodule