blob: 4fb1823fb08d8bfc38fb70a45433bd16682ffa0b [file] [log] [blame]
Michael Schaffner51c61442019-10-01 15:49:10 -07001// Copyright lowRISC contributors.
2// Licensed under the Apache License, Version 2.0, see LICENSE for details.
3// SPDX-License-Identifier: Apache-2.0
4//
5// Register Top module auto-generated by `reggen`
6
Greg Chadwickcf423082020-02-05 16:52:23 +00007`include "prim_assert.sv"
8
Michael Schaffner51c61442019-10-01 15:49:10 -07009module pinmux_reg_top (
10 input clk_i,
11 input rst_ni,
12
Michael Schaffner51c61442019-10-01 15:49:10 -070013 input tlul_pkg::tl_h2d_t tl_i,
14 output tlul_pkg::tl_d2h_t tl_o,
15 // To HW
16 output pinmux_reg_pkg::pinmux_reg2hw_t reg2hw, // Write
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017 input pinmux_reg_pkg::pinmux_hw2reg_t hw2reg, // Read
Michael Schaffner51c61442019-10-01 15:49:10 -070018
Timothy Chen6e6b7ba2021-03-02 19:12:12 -080019 // Integrity check errors
20 output logic intg_err_o,
21
Michael Schaffner51c61442019-10-01 15:49:10 -070022 // Config
23 input devmode_i // If 1, explicit error return for unmapped register access
24);
25
26 import pinmux_reg_pkg::* ;
27
Michael Schaffner06fdb112021-02-10 16:52:56 -080028 localparam int AW = 11;
Michael Schaffner1b5fa9f2020-01-17 17:43:42 -080029 localparam int DW = 32;
30 localparam int DBW = DW/8; // Byte Width
Michael Schaffner51c61442019-10-01 15:49:10 -070031
32 // register signals
33 logic reg_we;
34 logic reg_re;
35 logic [AW-1:0] reg_addr;
36 logic [DW-1:0] reg_wdata;
37 logic [DBW-1:0] reg_be;
38 logic [DW-1:0] reg_rdata;
39 logic reg_error;
40
41 logic addrmiss, wr_err;
42
43 logic [DW-1:0] reg_rdata_next;
44
45 tlul_pkg::tl_h2d_t tl_reg_h2d;
46 tlul_pkg::tl_d2h_t tl_reg_d2h;
47
Timothy Chend2c08a52021-02-12 15:39:24 -080048 // incoming payload check
Timothy Chen6e6b7ba2021-03-02 19:12:12 -080049 logic intg_err;
50 tlul_cmd_intg_chk u_chk (
Timothy Chend2c08a52021-02-12 15:39:24 -080051 .tl_i,
Timothy Chen915df692021-03-05 13:16:36 -080052 .err_o(intg_err)
Timothy Chend2c08a52021-02-12 15:39:24 -080053 );
54
Timothy Chen915df692021-03-05 13:16:36 -080055 logic intg_err_q;
Timothy Chen6e6b7ba2021-03-02 19:12:12 -080056 always_ff @(posedge clk_i or negedge rst_ni) begin
57 if (!rst_ni) begin
Timothy Chen915df692021-03-05 13:16:36 -080058 intg_err_q <= '0;
Timothy Chen6e6b7ba2021-03-02 19:12:12 -080059 end else if (intg_err) begin
Timothy Chen915df692021-03-05 13:16:36 -080060 intg_err_q <= 1'b1;
Timothy Chen6e6b7ba2021-03-02 19:12:12 -080061 end
62 end
63
Timothy Chen915df692021-03-05 13:16:36 -080064 // integrity error output is permanent and should be used for alert generation
65 // register errors are transactional
66 assign intg_err_o = intg_err_q | intg_err;
67
Timothy Chen6e6b7ba2021-03-02 19:12:12 -080068 // outgoing integrity generation
Timothy Chend2c08a52021-02-12 15:39:24 -080069 tlul_pkg::tl_d2h_t tl_o_pre;
Timothy Chen62dabf72021-03-24 12:09:27 -070070 tlul_rsp_intg_gen #(
71 .EnableRspIntgGen(1),
72 .EnableDataIntgGen(1)
73 ) u_rsp_intg_gen (
Timothy Chend2c08a52021-02-12 15:39:24 -080074 .tl_i(tl_o_pre),
75 .tl_o
76 );
77
Michael Schaffner51c61442019-10-01 15:49:10 -070078 assign tl_reg_h2d = tl_i;
Timothy Chend2c08a52021-02-12 15:39:24 -080079 assign tl_o_pre = tl_reg_d2h;
Michael Schaffner51c61442019-10-01 15:49:10 -070080
81 tlul_adapter_reg #(
82 .RegAw(AW),
Timothy Chen62dabf72021-03-24 12:09:27 -070083 .RegDw(DW),
84 .EnableDataIntgGen(0)
Michael Schaffner51c61442019-10-01 15:49:10 -070085 ) u_reg_if (
86 .clk_i,
87 .rst_ni,
88
89 .tl_i (tl_reg_h2d),
90 .tl_o (tl_reg_d2h),
91
92 .we_o (reg_we),
93 .re_o (reg_re),
94 .addr_o (reg_addr),
95 .wdata_o (reg_wdata),
96 .be_o (reg_be),
97 .rdata_i (reg_rdata),
98 .error_i (reg_error)
99 );
100
101 assign reg_rdata = reg_rdata_next ;
Timothy Chen6e6b7ba2021-03-02 19:12:12 -0800102 assign reg_error = (devmode_i & addrmiss) | wr_err | intg_err;
Michael Schaffner51c61442019-10-01 15:49:10 -0700103
104 // Define SW related signals
105 // Format: <reg>_<field>_{wd|we|qs}
106 // or <reg>_{wd|we|qs} if field == 1 or 0
Michael Schaffner06fdb112021-02-10 16:52:56 -0800107 logic mio_periph_insel_regwen_0_qs;
108 logic mio_periph_insel_regwen_0_wd;
109 logic mio_periph_insel_regwen_0_we;
110 logic mio_periph_insel_regwen_1_qs;
111 logic mio_periph_insel_regwen_1_wd;
112 logic mio_periph_insel_regwen_1_we;
113 logic mio_periph_insel_regwen_2_qs;
114 logic mio_periph_insel_regwen_2_wd;
115 logic mio_periph_insel_regwen_2_we;
116 logic mio_periph_insel_regwen_3_qs;
117 logic mio_periph_insel_regwen_3_wd;
118 logic mio_periph_insel_regwen_3_we;
119 logic mio_periph_insel_regwen_4_qs;
120 logic mio_periph_insel_regwen_4_wd;
121 logic mio_periph_insel_regwen_4_we;
122 logic mio_periph_insel_regwen_5_qs;
123 logic mio_periph_insel_regwen_5_wd;
124 logic mio_periph_insel_regwen_5_we;
125 logic mio_periph_insel_regwen_6_qs;
126 logic mio_periph_insel_regwen_6_wd;
127 logic mio_periph_insel_regwen_6_we;
128 logic mio_periph_insel_regwen_7_qs;
129 logic mio_periph_insel_regwen_7_wd;
130 logic mio_periph_insel_regwen_7_we;
131 logic mio_periph_insel_regwen_8_qs;
132 logic mio_periph_insel_regwen_8_wd;
133 logic mio_periph_insel_regwen_8_we;
134 logic mio_periph_insel_regwen_9_qs;
135 logic mio_periph_insel_regwen_9_wd;
136 logic mio_periph_insel_regwen_9_we;
137 logic mio_periph_insel_regwen_10_qs;
138 logic mio_periph_insel_regwen_10_wd;
139 logic mio_periph_insel_regwen_10_we;
140 logic mio_periph_insel_regwen_11_qs;
141 logic mio_periph_insel_regwen_11_wd;
142 logic mio_periph_insel_regwen_11_we;
143 logic mio_periph_insel_regwen_12_qs;
144 logic mio_periph_insel_regwen_12_wd;
145 logic mio_periph_insel_regwen_12_we;
146 logic mio_periph_insel_regwen_13_qs;
147 logic mio_periph_insel_regwen_13_wd;
148 logic mio_periph_insel_regwen_13_we;
149 logic mio_periph_insel_regwen_14_qs;
150 logic mio_periph_insel_regwen_14_wd;
151 logic mio_periph_insel_regwen_14_we;
152 logic mio_periph_insel_regwen_15_qs;
153 logic mio_periph_insel_regwen_15_wd;
154 logic mio_periph_insel_regwen_15_we;
155 logic mio_periph_insel_regwen_16_qs;
156 logic mio_periph_insel_regwen_16_wd;
157 logic mio_periph_insel_regwen_16_we;
158 logic mio_periph_insel_regwen_17_qs;
159 logic mio_periph_insel_regwen_17_wd;
160 logic mio_periph_insel_regwen_17_we;
161 logic mio_periph_insel_regwen_18_qs;
162 logic mio_periph_insel_regwen_18_wd;
163 logic mio_periph_insel_regwen_18_we;
164 logic mio_periph_insel_regwen_19_qs;
165 logic mio_periph_insel_regwen_19_wd;
166 logic mio_periph_insel_regwen_19_we;
167 logic mio_periph_insel_regwen_20_qs;
168 logic mio_periph_insel_regwen_20_wd;
169 logic mio_periph_insel_regwen_20_we;
170 logic mio_periph_insel_regwen_21_qs;
171 logic mio_periph_insel_regwen_21_wd;
172 logic mio_periph_insel_regwen_21_we;
173 logic mio_periph_insel_regwen_22_qs;
174 logic mio_periph_insel_regwen_22_wd;
175 logic mio_periph_insel_regwen_22_we;
176 logic mio_periph_insel_regwen_23_qs;
177 logic mio_periph_insel_regwen_23_wd;
178 logic mio_periph_insel_regwen_23_we;
179 logic mio_periph_insel_regwen_24_qs;
180 logic mio_periph_insel_regwen_24_wd;
181 logic mio_periph_insel_regwen_24_we;
182 logic mio_periph_insel_regwen_25_qs;
183 logic mio_periph_insel_regwen_25_wd;
184 logic mio_periph_insel_regwen_25_we;
185 logic mio_periph_insel_regwen_26_qs;
186 logic mio_periph_insel_regwen_26_wd;
187 logic mio_periph_insel_regwen_26_we;
188 logic mio_periph_insel_regwen_27_qs;
189 logic mio_periph_insel_regwen_27_wd;
190 logic mio_periph_insel_regwen_27_we;
191 logic mio_periph_insel_regwen_28_qs;
192 logic mio_periph_insel_regwen_28_wd;
193 logic mio_periph_insel_regwen_28_we;
194 logic mio_periph_insel_regwen_29_qs;
195 logic mio_periph_insel_regwen_29_wd;
196 logic mio_periph_insel_regwen_29_we;
197 logic mio_periph_insel_regwen_30_qs;
198 logic mio_periph_insel_regwen_30_wd;
199 logic mio_periph_insel_regwen_30_we;
200 logic mio_periph_insel_regwen_31_qs;
201 logic mio_periph_insel_regwen_31_wd;
202 logic mio_periph_insel_regwen_31_we;
203 logic mio_periph_insel_regwen_32_qs;
204 logic mio_periph_insel_regwen_32_wd;
205 logic mio_periph_insel_regwen_32_we;
206 logic [5:0] mio_periph_insel_0_qs;
207 logic [5:0] mio_periph_insel_0_wd;
208 logic mio_periph_insel_0_we;
209 logic [5:0] mio_periph_insel_1_qs;
210 logic [5:0] mio_periph_insel_1_wd;
211 logic mio_periph_insel_1_we;
212 logic [5:0] mio_periph_insel_2_qs;
213 logic [5:0] mio_periph_insel_2_wd;
214 logic mio_periph_insel_2_we;
215 logic [5:0] mio_periph_insel_3_qs;
216 logic [5:0] mio_periph_insel_3_wd;
217 logic mio_periph_insel_3_we;
218 logic [5:0] mio_periph_insel_4_qs;
219 logic [5:0] mio_periph_insel_4_wd;
220 logic mio_periph_insel_4_we;
221 logic [5:0] mio_periph_insel_5_qs;
222 logic [5:0] mio_periph_insel_5_wd;
223 logic mio_periph_insel_5_we;
224 logic [5:0] mio_periph_insel_6_qs;
225 logic [5:0] mio_periph_insel_6_wd;
226 logic mio_periph_insel_6_we;
227 logic [5:0] mio_periph_insel_7_qs;
228 logic [5:0] mio_periph_insel_7_wd;
229 logic mio_periph_insel_7_we;
230 logic [5:0] mio_periph_insel_8_qs;
231 logic [5:0] mio_periph_insel_8_wd;
232 logic mio_periph_insel_8_we;
233 logic [5:0] mio_periph_insel_9_qs;
234 logic [5:0] mio_periph_insel_9_wd;
235 logic mio_periph_insel_9_we;
236 logic [5:0] mio_periph_insel_10_qs;
237 logic [5:0] mio_periph_insel_10_wd;
238 logic mio_periph_insel_10_we;
239 logic [5:0] mio_periph_insel_11_qs;
240 logic [5:0] mio_periph_insel_11_wd;
241 logic mio_periph_insel_11_we;
242 logic [5:0] mio_periph_insel_12_qs;
243 logic [5:0] mio_periph_insel_12_wd;
244 logic mio_periph_insel_12_we;
245 logic [5:0] mio_periph_insel_13_qs;
246 logic [5:0] mio_periph_insel_13_wd;
247 logic mio_periph_insel_13_we;
248 logic [5:0] mio_periph_insel_14_qs;
249 logic [5:0] mio_periph_insel_14_wd;
250 logic mio_periph_insel_14_we;
251 logic [5:0] mio_periph_insel_15_qs;
252 logic [5:0] mio_periph_insel_15_wd;
253 logic mio_periph_insel_15_we;
254 logic [5:0] mio_periph_insel_16_qs;
255 logic [5:0] mio_periph_insel_16_wd;
256 logic mio_periph_insel_16_we;
257 logic [5:0] mio_periph_insel_17_qs;
258 logic [5:0] mio_periph_insel_17_wd;
259 logic mio_periph_insel_17_we;
260 logic [5:0] mio_periph_insel_18_qs;
261 logic [5:0] mio_periph_insel_18_wd;
262 logic mio_periph_insel_18_we;
263 logic [5:0] mio_periph_insel_19_qs;
264 logic [5:0] mio_periph_insel_19_wd;
265 logic mio_periph_insel_19_we;
266 logic [5:0] mio_periph_insel_20_qs;
267 logic [5:0] mio_periph_insel_20_wd;
268 logic mio_periph_insel_20_we;
269 logic [5:0] mio_periph_insel_21_qs;
270 logic [5:0] mio_periph_insel_21_wd;
271 logic mio_periph_insel_21_we;
272 logic [5:0] mio_periph_insel_22_qs;
273 logic [5:0] mio_periph_insel_22_wd;
274 logic mio_periph_insel_22_we;
275 logic [5:0] mio_periph_insel_23_qs;
276 logic [5:0] mio_periph_insel_23_wd;
277 logic mio_periph_insel_23_we;
278 logic [5:0] mio_periph_insel_24_qs;
279 logic [5:0] mio_periph_insel_24_wd;
280 logic mio_periph_insel_24_we;
281 logic [5:0] mio_periph_insel_25_qs;
282 logic [5:0] mio_periph_insel_25_wd;
283 logic mio_periph_insel_25_we;
284 logic [5:0] mio_periph_insel_26_qs;
285 logic [5:0] mio_periph_insel_26_wd;
286 logic mio_periph_insel_26_we;
287 logic [5:0] mio_periph_insel_27_qs;
288 logic [5:0] mio_periph_insel_27_wd;
289 logic mio_periph_insel_27_we;
290 logic [5:0] mio_periph_insel_28_qs;
291 logic [5:0] mio_periph_insel_28_wd;
292 logic mio_periph_insel_28_we;
293 logic [5:0] mio_periph_insel_29_qs;
294 logic [5:0] mio_periph_insel_29_wd;
295 logic mio_periph_insel_29_we;
296 logic [5:0] mio_periph_insel_30_qs;
297 logic [5:0] mio_periph_insel_30_wd;
298 logic mio_periph_insel_30_we;
299 logic [5:0] mio_periph_insel_31_qs;
300 logic [5:0] mio_periph_insel_31_wd;
301 logic mio_periph_insel_31_we;
302 logic [5:0] mio_periph_insel_32_qs;
303 logic [5:0] mio_periph_insel_32_wd;
304 logic mio_periph_insel_32_we;
305 logic mio_outsel_regwen_0_qs;
306 logic mio_outsel_regwen_0_wd;
307 logic mio_outsel_regwen_0_we;
308 logic mio_outsel_regwen_1_qs;
309 logic mio_outsel_regwen_1_wd;
310 logic mio_outsel_regwen_1_we;
311 logic mio_outsel_regwen_2_qs;
312 logic mio_outsel_regwen_2_wd;
313 logic mio_outsel_regwen_2_we;
314 logic mio_outsel_regwen_3_qs;
315 logic mio_outsel_regwen_3_wd;
316 logic mio_outsel_regwen_3_we;
317 logic mio_outsel_regwen_4_qs;
318 logic mio_outsel_regwen_4_wd;
319 logic mio_outsel_regwen_4_we;
320 logic mio_outsel_regwen_5_qs;
321 logic mio_outsel_regwen_5_wd;
322 logic mio_outsel_regwen_5_we;
323 logic mio_outsel_regwen_6_qs;
324 logic mio_outsel_regwen_6_wd;
325 logic mio_outsel_regwen_6_we;
326 logic mio_outsel_regwen_7_qs;
327 logic mio_outsel_regwen_7_wd;
328 logic mio_outsel_regwen_7_we;
329 logic mio_outsel_regwen_8_qs;
330 logic mio_outsel_regwen_8_wd;
331 logic mio_outsel_regwen_8_we;
332 logic mio_outsel_regwen_9_qs;
333 logic mio_outsel_regwen_9_wd;
334 logic mio_outsel_regwen_9_we;
335 logic mio_outsel_regwen_10_qs;
336 logic mio_outsel_regwen_10_wd;
337 logic mio_outsel_regwen_10_we;
338 logic mio_outsel_regwen_11_qs;
339 logic mio_outsel_regwen_11_wd;
340 logic mio_outsel_regwen_11_we;
341 logic mio_outsel_regwen_12_qs;
342 logic mio_outsel_regwen_12_wd;
343 logic mio_outsel_regwen_12_we;
344 logic mio_outsel_regwen_13_qs;
345 logic mio_outsel_regwen_13_wd;
346 logic mio_outsel_regwen_13_we;
347 logic mio_outsel_regwen_14_qs;
348 logic mio_outsel_regwen_14_wd;
349 logic mio_outsel_regwen_14_we;
350 logic mio_outsel_regwen_15_qs;
351 logic mio_outsel_regwen_15_wd;
352 logic mio_outsel_regwen_15_we;
353 logic mio_outsel_regwen_16_qs;
354 logic mio_outsel_regwen_16_wd;
355 logic mio_outsel_regwen_16_we;
356 logic mio_outsel_regwen_17_qs;
357 logic mio_outsel_regwen_17_wd;
358 logic mio_outsel_regwen_17_we;
359 logic mio_outsel_regwen_18_qs;
360 logic mio_outsel_regwen_18_wd;
361 logic mio_outsel_regwen_18_we;
362 logic mio_outsel_regwen_19_qs;
363 logic mio_outsel_regwen_19_wd;
364 logic mio_outsel_regwen_19_we;
365 logic mio_outsel_regwen_20_qs;
366 logic mio_outsel_regwen_20_wd;
367 logic mio_outsel_regwen_20_we;
368 logic mio_outsel_regwen_21_qs;
369 logic mio_outsel_regwen_21_wd;
370 logic mio_outsel_regwen_21_we;
371 logic mio_outsel_regwen_22_qs;
372 logic mio_outsel_regwen_22_wd;
373 logic mio_outsel_regwen_22_we;
374 logic mio_outsel_regwen_23_qs;
375 logic mio_outsel_regwen_23_wd;
376 logic mio_outsel_regwen_23_we;
377 logic mio_outsel_regwen_24_qs;
378 logic mio_outsel_regwen_24_wd;
379 logic mio_outsel_regwen_24_we;
380 logic mio_outsel_regwen_25_qs;
381 logic mio_outsel_regwen_25_wd;
382 logic mio_outsel_regwen_25_we;
383 logic mio_outsel_regwen_26_qs;
384 logic mio_outsel_regwen_26_wd;
385 logic mio_outsel_regwen_26_we;
386 logic mio_outsel_regwen_27_qs;
387 logic mio_outsel_regwen_27_wd;
388 logic mio_outsel_regwen_27_we;
389 logic mio_outsel_regwen_28_qs;
390 logic mio_outsel_regwen_28_wd;
391 logic mio_outsel_regwen_28_we;
392 logic mio_outsel_regwen_29_qs;
393 logic mio_outsel_regwen_29_wd;
394 logic mio_outsel_regwen_29_we;
395 logic mio_outsel_regwen_30_qs;
396 logic mio_outsel_regwen_30_wd;
397 logic mio_outsel_regwen_30_we;
398 logic mio_outsel_regwen_31_qs;
399 logic mio_outsel_regwen_31_wd;
400 logic mio_outsel_regwen_31_we;
401 logic [5:0] mio_outsel_0_qs;
402 logic [5:0] mio_outsel_0_wd;
403 logic mio_outsel_0_we;
404 logic [5:0] mio_outsel_1_qs;
405 logic [5:0] mio_outsel_1_wd;
406 logic mio_outsel_1_we;
407 logic [5:0] mio_outsel_2_qs;
408 logic [5:0] mio_outsel_2_wd;
409 logic mio_outsel_2_we;
410 logic [5:0] mio_outsel_3_qs;
411 logic [5:0] mio_outsel_3_wd;
412 logic mio_outsel_3_we;
413 logic [5:0] mio_outsel_4_qs;
414 logic [5:0] mio_outsel_4_wd;
415 logic mio_outsel_4_we;
416 logic [5:0] mio_outsel_5_qs;
417 logic [5:0] mio_outsel_5_wd;
418 logic mio_outsel_5_we;
419 logic [5:0] mio_outsel_6_qs;
420 logic [5:0] mio_outsel_6_wd;
421 logic mio_outsel_6_we;
422 logic [5:0] mio_outsel_7_qs;
423 logic [5:0] mio_outsel_7_wd;
424 logic mio_outsel_7_we;
425 logic [5:0] mio_outsel_8_qs;
426 logic [5:0] mio_outsel_8_wd;
427 logic mio_outsel_8_we;
428 logic [5:0] mio_outsel_9_qs;
429 logic [5:0] mio_outsel_9_wd;
430 logic mio_outsel_9_we;
431 logic [5:0] mio_outsel_10_qs;
432 logic [5:0] mio_outsel_10_wd;
433 logic mio_outsel_10_we;
434 logic [5:0] mio_outsel_11_qs;
435 logic [5:0] mio_outsel_11_wd;
436 logic mio_outsel_11_we;
437 logic [5:0] mio_outsel_12_qs;
438 logic [5:0] mio_outsel_12_wd;
439 logic mio_outsel_12_we;
440 logic [5:0] mio_outsel_13_qs;
441 logic [5:0] mio_outsel_13_wd;
442 logic mio_outsel_13_we;
443 logic [5:0] mio_outsel_14_qs;
444 logic [5:0] mio_outsel_14_wd;
445 logic mio_outsel_14_we;
446 logic [5:0] mio_outsel_15_qs;
447 logic [5:0] mio_outsel_15_wd;
448 logic mio_outsel_15_we;
449 logic [5:0] mio_outsel_16_qs;
450 logic [5:0] mio_outsel_16_wd;
451 logic mio_outsel_16_we;
452 logic [5:0] mio_outsel_17_qs;
453 logic [5:0] mio_outsel_17_wd;
454 logic mio_outsel_17_we;
455 logic [5:0] mio_outsel_18_qs;
456 logic [5:0] mio_outsel_18_wd;
457 logic mio_outsel_18_we;
458 logic [5:0] mio_outsel_19_qs;
459 logic [5:0] mio_outsel_19_wd;
460 logic mio_outsel_19_we;
461 logic [5:0] mio_outsel_20_qs;
462 logic [5:0] mio_outsel_20_wd;
463 logic mio_outsel_20_we;
464 logic [5:0] mio_outsel_21_qs;
465 logic [5:0] mio_outsel_21_wd;
466 logic mio_outsel_21_we;
467 logic [5:0] mio_outsel_22_qs;
468 logic [5:0] mio_outsel_22_wd;
469 logic mio_outsel_22_we;
470 logic [5:0] mio_outsel_23_qs;
471 logic [5:0] mio_outsel_23_wd;
472 logic mio_outsel_23_we;
473 logic [5:0] mio_outsel_24_qs;
474 logic [5:0] mio_outsel_24_wd;
475 logic mio_outsel_24_we;
476 logic [5:0] mio_outsel_25_qs;
477 logic [5:0] mio_outsel_25_wd;
478 logic mio_outsel_25_we;
479 logic [5:0] mio_outsel_26_qs;
480 logic [5:0] mio_outsel_26_wd;
481 logic mio_outsel_26_we;
482 logic [5:0] mio_outsel_27_qs;
483 logic [5:0] mio_outsel_27_wd;
484 logic mio_outsel_27_we;
485 logic [5:0] mio_outsel_28_qs;
486 logic [5:0] mio_outsel_28_wd;
487 logic mio_outsel_28_we;
488 logic [5:0] mio_outsel_29_qs;
489 logic [5:0] mio_outsel_29_wd;
490 logic mio_outsel_29_we;
491 logic [5:0] mio_outsel_30_qs;
492 logic [5:0] mio_outsel_30_wd;
493 logic mio_outsel_30_we;
494 logic [5:0] mio_outsel_31_qs;
495 logic [5:0] mio_outsel_31_wd;
496 logic mio_outsel_31_we;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800497 logic mio_pad_attr_regwen_0_qs;
498 logic mio_pad_attr_regwen_0_wd;
499 logic mio_pad_attr_regwen_0_we;
500 logic mio_pad_attr_regwen_1_qs;
501 logic mio_pad_attr_regwen_1_wd;
502 logic mio_pad_attr_regwen_1_we;
503 logic mio_pad_attr_regwen_2_qs;
504 logic mio_pad_attr_regwen_2_wd;
505 logic mio_pad_attr_regwen_2_we;
506 logic mio_pad_attr_regwen_3_qs;
507 logic mio_pad_attr_regwen_3_wd;
508 logic mio_pad_attr_regwen_3_we;
509 logic mio_pad_attr_regwen_4_qs;
510 logic mio_pad_attr_regwen_4_wd;
511 logic mio_pad_attr_regwen_4_we;
512 logic mio_pad_attr_regwen_5_qs;
513 logic mio_pad_attr_regwen_5_wd;
514 logic mio_pad_attr_regwen_5_we;
515 logic mio_pad_attr_regwen_6_qs;
516 logic mio_pad_attr_regwen_6_wd;
517 logic mio_pad_attr_regwen_6_we;
518 logic mio_pad_attr_regwen_7_qs;
519 logic mio_pad_attr_regwen_7_wd;
520 logic mio_pad_attr_regwen_7_we;
521 logic mio_pad_attr_regwen_8_qs;
522 logic mio_pad_attr_regwen_8_wd;
523 logic mio_pad_attr_regwen_8_we;
524 logic mio_pad_attr_regwen_9_qs;
525 logic mio_pad_attr_regwen_9_wd;
526 logic mio_pad_attr_regwen_9_we;
527 logic mio_pad_attr_regwen_10_qs;
528 logic mio_pad_attr_regwen_10_wd;
529 logic mio_pad_attr_regwen_10_we;
530 logic mio_pad_attr_regwen_11_qs;
531 logic mio_pad_attr_regwen_11_wd;
532 logic mio_pad_attr_regwen_11_we;
533 logic mio_pad_attr_regwen_12_qs;
534 logic mio_pad_attr_regwen_12_wd;
535 logic mio_pad_attr_regwen_12_we;
536 logic mio_pad_attr_regwen_13_qs;
537 logic mio_pad_attr_regwen_13_wd;
538 logic mio_pad_attr_regwen_13_we;
539 logic mio_pad_attr_regwen_14_qs;
540 logic mio_pad_attr_regwen_14_wd;
541 logic mio_pad_attr_regwen_14_we;
542 logic mio_pad_attr_regwen_15_qs;
543 logic mio_pad_attr_regwen_15_wd;
544 logic mio_pad_attr_regwen_15_we;
545 logic mio_pad_attr_regwen_16_qs;
546 logic mio_pad_attr_regwen_16_wd;
547 logic mio_pad_attr_regwen_16_we;
548 logic mio_pad_attr_regwen_17_qs;
549 logic mio_pad_attr_regwen_17_wd;
550 logic mio_pad_attr_regwen_17_we;
551 logic mio_pad_attr_regwen_18_qs;
552 logic mio_pad_attr_regwen_18_wd;
553 logic mio_pad_attr_regwen_18_we;
554 logic mio_pad_attr_regwen_19_qs;
555 logic mio_pad_attr_regwen_19_wd;
556 logic mio_pad_attr_regwen_19_we;
557 logic mio_pad_attr_regwen_20_qs;
558 logic mio_pad_attr_regwen_20_wd;
559 logic mio_pad_attr_regwen_20_we;
560 logic mio_pad_attr_regwen_21_qs;
561 logic mio_pad_attr_regwen_21_wd;
562 logic mio_pad_attr_regwen_21_we;
563 logic mio_pad_attr_regwen_22_qs;
564 logic mio_pad_attr_regwen_22_wd;
565 logic mio_pad_attr_regwen_22_we;
566 logic mio_pad_attr_regwen_23_qs;
567 logic mio_pad_attr_regwen_23_wd;
568 logic mio_pad_attr_regwen_23_we;
569 logic mio_pad_attr_regwen_24_qs;
570 logic mio_pad_attr_regwen_24_wd;
571 logic mio_pad_attr_regwen_24_we;
572 logic mio_pad_attr_regwen_25_qs;
573 logic mio_pad_attr_regwen_25_wd;
574 logic mio_pad_attr_regwen_25_we;
575 logic mio_pad_attr_regwen_26_qs;
576 logic mio_pad_attr_regwen_26_wd;
577 logic mio_pad_attr_regwen_26_we;
578 logic mio_pad_attr_regwen_27_qs;
579 logic mio_pad_attr_regwen_27_wd;
580 logic mio_pad_attr_regwen_27_we;
581 logic mio_pad_attr_regwen_28_qs;
582 logic mio_pad_attr_regwen_28_wd;
583 logic mio_pad_attr_regwen_28_we;
584 logic mio_pad_attr_regwen_29_qs;
585 logic mio_pad_attr_regwen_29_wd;
586 logic mio_pad_attr_regwen_29_we;
587 logic mio_pad_attr_regwen_30_qs;
588 logic mio_pad_attr_regwen_30_wd;
589 logic mio_pad_attr_regwen_30_we;
590 logic mio_pad_attr_regwen_31_qs;
591 logic mio_pad_attr_regwen_31_wd;
592 logic mio_pad_attr_regwen_31_we;
Michael Schaffner6766d262021-04-08 18:23:58 -0700593 logic [12:0] mio_pad_attr_0_qs;
594 logic [12:0] mio_pad_attr_0_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800595 logic mio_pad_attr_0_we;
596 logic mio_pad_attr_0_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700597 logic [12:0] mio_pad_attr_1_qs;
598 logic [12:0] mio_pad_attr_1_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800599 logic mio_pad_attr_1_we;
600 logic mio_pad_attr_1_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700601 logic [12:0] mio_pad_attr_2_qs;
602 logic [12:0] mio_pad_attr_2_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800603 logic mio_pad_attr_2_we;
604 logic mio_pad_attr_2_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700605 logic [12:0] mio_pad_attr_3_qs;
606 logic [12:0] mio_pad_attr_3_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800607 logic mio_pad_attr_3_we;
608 logic mio_pad_attr_3_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700609 logic [12:0] mio_pad_attr_4_qs;
610 logic [12:0] mio_pad_attr_4_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800611 logic mio_pad_attr_4_we;
612 logic mio_pad_attr_4_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700613 logic [12:0] mio_pad_attr_5_qs;
614 logic [12:0] mio_pad_attr_5_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800615 logic mio_pad_attr_5_we;
616 logic mio_pad_attr_5_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700617 logic [12:0] mio_pad_attr_6_qs;
618 logic [12:0] mio_pad_attr_6_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800619 logic mio_pad_attr_6_we;
620 logic mio_pad_attr_6_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700621 logic [12:0] mio_pad_attr_7_qs;
622 logic [12:0] mio_pad_attr_7_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800623 logic mio_pad_attr_7_we;
624 logic mio_pad_attr_7_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700625 logic [12:0] mio_pad_attr_8_qs;
626 logic [12:0] mio_pad_attr_8_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800627 logic mio_pad_attr_8_we;
628 logic mio_pad_attr_8_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700629 logic [12:0] mio_pad_attr_9_qs;
630 logic [12:0] mio_pad_attr_9_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800631 logic mio_pad_attr_9_we;
632 logic mio_pad_attr_9_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700633 logic [12:0] mio_pad_attr_10_qs;
634 logic [12:0] mio_pad_attr_10_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800635 logic mio_pad_attr_10_we;
636 logic mio_pad_attr_10_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700637 logic [12:0] mio_pad_attr_11_qs;
638 logic [12:0] mio_pad_attr_11_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800639 logic mio_pad_attr_11_we;
640 logic mio_pad_attr_11_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700641 logic [12:0] mio_pad_attr_12_qs;
642 logic [12:0] mio_pad_attr_12_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800643 logic mio_pad_attr_12_we;
644 logic mio_pad_attr_12_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700645 logic [12:0] mio_pad_attr_13_qs;
646 logic [12:0] mio_pad_attr_13_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800647 logic mio_pad_attr_13_we;
648 logic mio_pad_attr_13_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700649 logic [12:0] mio_pad_attr_14_qs;
650 logic [12:0] mio_pad_attr_14_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800651 logic mio_pad_attr_14_we;
652 logic mio_pad_attr_14_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700653 logic [12:0] mio_pad_attr_15_qs;
654 logic [12:0] mio_pad_attr_15_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800655 logic mio_pad_attr_15_we;
656 logic mio_pad_attr_15_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700657 logic [12:0] mio_pad_attr_16_qs;
658 logic [12:0] mio_pad_attr_16_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800659 logic mio_pad_attr_16_we;
660 logic mio_pad_attr_16_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700661 logic [12:0] mio_pad_attr_17_qs;
662 logic [12:0] mio_pad_attr_17_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800663 logic mio_pad_attr_17_we;
664 logic mio_pad_attr_17_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700665 logic [12:0] mio_pad_attr_18_qs;
666 logic [12:0] mio_pad_attr_18_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800667 logic mio_pad_attr_18_we;
668 logic mio_pad_attr_18_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700669 logic [12:0] mio_pad_attr_19_qs;
670 logic [12:0] mio_pad_attr_19_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800671 logic mio_pad_attr_19_we;
672 logic mio_pad_attr_19_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700673 logic [12:0] mio_pad_attr_20_qs;
674 logic [12:0] mio_pad_attr_20_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800675 logic mio_pad_attr_20_we;
676 logic mio_pad_attr_20_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700677 logic [12:0] mio_pad_attr_21_qs;
678 logic [12:0] mio_pad_attr_21_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800679 logic mio_pad_attr_21_we;
680 logic mio_pad_attr_21_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700681 logic [12:0] mio_pad_attr_22_qs;
682 logic [12:0] mio_pad_attr_22_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800683 logic mio_pad_attr_22_we;
684 logic mio_pad_attr_22_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700685 logic [12:0] mio_pad_attr_23_qs;
686 logic [12:0] mio_pad_attr_23_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800687 logic mio_pad_attr_23_we;
688 logic mio_pad_attr_23_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700689 logic [12:0] mio_pad_attr_24_qs;
690 logic [12:0] mio_pad_attr_24_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800691 logic mio_pad_attr_24_we;
692 logic mio_pad_attr_24_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700693 logic [12:0] mio_pad_attr_25_qs;
694 logic [12:0] mio_pad_attr_25_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800695 logic mio_pad_attr_25_we;
696 logic mio_pad_attr_25_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700697 logic [12:0] mio_pad_attr_26_qs;
698 logic [12:0] mio_pad_attr_26_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800699 logic mio_pad_attr_26_we;
700 logic mio_pad_attr_26_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700701 logic [12:0] mio_pad_attr_27_qs;
702 logic [12:0] mio_pad_attr_27_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800703 logic mio_pad_attr_27_we;
704 logic mio_pad_attr_27_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700705 logic [12:0] mio_pad_attr_28_qs;
706 logic [12:0] mio_pad_attr_28_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800707 logic mio_pad_attr_28_we;
708 logic mio_pad_attr_28_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700709 logic [12:0] mio_pad_attr_29_qs;
710 logic [12:0] mio_pad_attr_29_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800711 logic mio_pad_attr_29_we;
712 logic mio_pad_attr_29_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700713 logic [12:0] mio_pad_attr_30_qs;
714 logic [12:0] mio_pad_attr_30_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800715 logic mio_pad_attr_30_we;
716 logic mio_pad_attr_30_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700717 logic [12:0] mio_pad_attr_31_qs;
718 logic [12:0] mio_pad_attr_31_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800719 logic mio_pad_attr_31_we;
720 logic mio_pad_attr_31_re;
721 logic dio_pad_attr_regwen_0_qs;
722 logic dio_pad_attr_regwen_0_wd;
723 logic dio_pad_attr_regwen_0_we;
724 logic dio_pad_attr_regwen_1_qs;
725 logic dio_pad_attr_regwen_1_wd;
726 logic dio_pad_attr_regwen_1_we;
727 logic dio_pad_attr_regwen_2_qs;
728 logic dio_pad_attr_regwen_2_wd;
729 logic dio_pad_attr_regwen_2_we;
730 logic dio_pad_attr_regwen_3_qs;
731 logic dio_pad_attr_regwen_3_wd;
732 logic dio_pad_attr_regwen_3_we;
733 logic dio_pad_attr_regwen_4_qs;
734 logic dio_pad_attr_regwen_4_wd;
735 logic dio_pad_attr_regwen_4_we;
736 logic dio_pad_attr_regwen_5_qs;
737 logic dio_pad_attr_regwen_5_wd;
738 logic dio_pad_attr_regwen_5_we;
739 logic dio_pad_attr_regwen_6_qs;
740 logic dio_pad_attr_regwen_6_wd;
741 logic dio_pad_attr_regwen_6_we;
742 logic dio_pad_attr_regwen_7_qs;
743 logic dio_pad_attr_regwen_7_wd;
744 logic dio_pad_attr_regwen_7_we;
745 logic dio_pad_attr_regwen_8_qs;
746 logic dio_pad_attr_regwen_8_wd;
747 logic dio_pad_attr_regwen_8_we;
748 logic dio_pad_attr_regwen_9_qs;
749 logic dio_pad_attr_regwen_9_wd;
750 logic dio_pad_attr_regwen_9_we;
751 logic dio_pad_attr_regwen_10_qs;
752 logic dio_pad_attr_regwen_10_wd;
753 logic dio_pad_attr_regwen_10_we;
754 logic dio_pad_attr_regwen_11_qs;
755 logic dio_pad_attr_regwen_11_wd;
756 logic dio_pad_attr_regwen_11_we;
757 logic dio_pad_attr_regwen_12_qs;
758 logic dio_pad_attr_regwen_12_wd;
759 logic dio_pad_attr_regwen_12_we;
760 logic dio_pad_attr_regwen_13_qs;
761 logic dio_pad_attr_regwen_13_wd;
762 logic dio_pad_attr_regwen_13_we;
763 logic dio_pad_attr_regwen_14_qs;
764 logic dio_pad_attr_regwen_14_wd;
765 logic dio_pad_attr_regwen_14_we;
766 logic dio_pad_attr_regwen_15_qs;
767 logic dio_pad_attr_regwen_15_wd;
768 logic dio_pad_attr_regwen_15_we;
Michael Schaffner6766d262021-04-08 18:23:58 -0700769 logic [12:0] dio_pad_attr_0_qs;
770 logic [12:0] dio_pad_attr_0_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800771 logic dio_pad_attr_0_we;
772 logic dio_pad_attr_0_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700773 logic [12:0] dio_pad_attr_1_qs;
774 logic [12:0] dio_pad_attr_1_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800775 logic dio_pad_attr_1_we;
776 logic dio_pad_attr_1_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700777 logic [12:0] dio_pad_attr_2_qs;
778 logic [12:0] dio_pad_attr_2_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800779 logic dio_pad_attr_2_we;
780 logic dio_pad_attr_2_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700781 logic [12:0] dio_pad_attr_3_qs;
782 logic [12:0] dio_pad_attr_3_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800783 logic dio_pad_attr_3_we;
784 logic dio_pad_attr_3_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700785 logic [12:0] dio_pad_attr_4_qs;
786 logic [12:0] dio_pad_attr_4_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800787 logic dio_pad_attr_4_we;
788 logic dio_pad_attr_4_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700789 logic [12:0] dio_pad_attr_5_qs;
790 logic [12:0] dio_pad_attr_5_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800791 logic dio_pad_attr_5_we;
792 logic dio_pad_attr_5_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700793 logic [12:0] dio_pad_attr_6_qs;
794 logic [12:0] dio_pad_attr_6_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800795 logic dio_pad_attr_6_we;
796 logic dio_pad_attr_6_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700797 logic [12:0] dio_pad_attr_7_qs;
798 logic [12:0] dio_pad_attr_7_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800799 logic dio_pad_attr_7_we;
800 logic dio_pad_attr_7_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700801 logic [12:0] dio_pad_attr_8_qs;
802 logic [12:0] dio_pad_attr_8_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800803 logic dio_pad_attr_8_we;
804 logic dio_pad_attr_8_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700805 logic [12:0] dio_pad_attr_9_qs;
806 logic [12:0] dio_pad_attr_9_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800807 logic dio_pad_attr_9_we;
808 logic dio_pad_attr_9_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700809 logic [12:0] dio_pad_attr_10_qs;
810 logic [12:0] dio_pad_attr_10_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800811 logic dio_pad_attr_10_we;
812 logic dio_pad_attr_10_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700813 logic [12:0] dio_pad_attr_11_qs;
814 logic [12:0] dio_pad_attr_11_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800815 logic dio_pad_attr_11_we;
816 logic dio_pad_attr_11_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700817 logic [12:0] dio_pad_attr_12_qs;
818 logic [12:0] dio_pad_attr_12_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800819 logic dio_pad_attr_12_we;
820 logic dio_pad_attr_12_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700821 logic [12:0] dio_pad_attr_13_qs;
822 logic [12:0] dio_pad_attr_13_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800823 logic dio_pad_attr_13_we;
824 logic dio_pad_attr_13_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700825 logic [12:0] dio_pad_attr_14_qs;
826 logic [12:0] dio_pad_attr_14_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800827 logic dio_pad_attr_14_we;
828 logic dio_pad_attr_14_re;
Michael Schaffner6766d262021-04-08 18:23:58 -0700829 logic [12:0] dio_pad_attr_15_qs;
830 logic [12:0] dio_pad_attr_15_wd;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800831 logic dio_pad_attr_15_we;
832 logic dio_pad_attr_15_re;
833 logic mio_pad_sleep_status_en_0_qs;
834 logic mio_pad_sleep_status_en_0_wd;
835 logic mio_pad_sleep_status_en_0_we;
836 logic mio_pad_sleep_status_en_1_qs;
837 logic mio_pad_sleep_status_en_1_wd;
838 logic mio_pad_sleep_status_en_1_we;
839 logic mio_pad_sleep_status_en_2_qs;
840 logic mio_pad_sleep_status_en_2_wd;
841 logic mio_pad_sleep_status_en_2_we;
842 logic mio_pad_sleep_status_en_3_qs;
843 logic mio_pad_sleep_status_en_3_wd;
844 logic mio_pad_sleep_status_en_3_we;
845 logic mio_pad_sleep_status_en_4_qs;
846 logic mio_pad_sleep_status_en_4_wd;
847 logic mio_pad_sleep_status_en_4_we;
848 logic mio_pad_sleep_status_en_5_qs;
849 logic mio_pad_sleep_status_en_5_wd;
850 logic mio_pad_sleep_status_en_5_we;
851 logic mio_pad_sleep_status_en_6_qs;
852 logic mio_pad_sleep_status_en_6_wd;
853 logic mio_pad_sleep_status_en_6_we;
854 logic mio_pad_sleep_status_en_7_qs;
855 logic mio_pad_sleep_status_en_7_wd;
856 logic mio_pad_sleep_status_en_7_we;
857 logic mio_pad_sleep_status_en_8_qs;
858 logic mio_pad_sleep_status_en_8_wd;
859 logic mio_pad_sleep_status_en_8_we;
860 logic mio_pad_sleep_status_en_9_qs;
861 logic mio_pad_sleep_status_en_9_wd;
862 logic mio_pad_sleep_status_en_9_we;
863 logic mio_pad_sleep_status_en_10_qs;
864 logic mio_pad_sleep_status_en_10_wd;
865 logic mio_pad_sleep_status_en_10_we;
866 logic mio_pad_sleep_status_en_11_qs;
867 logic mio_pad_sleep_status_en_11_wd;
868 logic mio_pad_sleep_status_en_11_we;
869 logic mio_pad_sleep_status_en_12_qs;
870 logic mio_pad_sleep_status_en_12_wd;
871 logic mio_pad_sleep_status_en_12_we;
872 logic mio_pad_sleep_status_en_13_qs;
873 logic mio_pad_sleep_status_en_13_wd;
874 logic mio_pad_sleep_status_en_13_we;
875 logic mio_pad_sleep_status_en_14_qs;
876 logic mio_pad_sleep_status_en_14_wd;
877 logic mio_pad_sleep_status_en_14_we;
878 logic mio_pad_sleep_status_en_15_qs;
879 logic mio_pad_sleep_status_en_15_wd;
880 logic mio_pad_sleep_status_en_15_we;
881 logic mio_pad_sleep_status_en_16_qs;
882 logic mio_pad_sleep_status_en_16_wd;
883 logic mio_pad_sleep_status_en_16_we;
884 logic mio_pad_sleep_status_en_17_qs;
885 logic mio_pad_sleep_status_en_17_wd;
886 logic mio_pad_sleep_status_en_17_we;
887 logic mio_pad_sleep_status_en_18_qs;
888 logic mio_pad_sleep_status_en_18_wd;
889 logic mio_pad_sleep_status_en_18_we;
890 logic mio_pad_sleep_status_en_19_qs;
891 logic mio_pad_sleep_status_en_19_wd;
892 logic mio_pad_sleep_status_en_19_we;
893 logic mio_pad_sleep_status_en_20_qs;
894 logic mio_pad_sleep_status_en_20_wd;
895 logic mio_pad_sleep_status_en_20_we;
896 logic mio_pad_sleep_status_en_21_qs;
897 logic mio_pad_sleep_status_en_21_wd;
898 logic mio_pad_sleep_status_en_21_we;
899 logic mio_pad_sleep_status_en_22_qs;
900 logic mio_pad_sleep_status_en_22_wd;
901 logic mio_pad_sleep_status_en_22_we;
902 logic mio_pad_sleep_status_en_23_qs;
903 logic mio_pad_sleep_status_en_23_wd;
904 logic mio_pad_sleep_status_en_23_we;
905 logic mio_pad_sleep_status_en_24_qs;
906 logic mio_pad_sleep_status_en_24_wd;
907 logic mio_pad_sleep_status_en_24_we;
908 logic mio_pad_sleep_status_en_25_qs;
909 logic mio_pad_sleep_status_en_25_wd;
910 logic mio_pad_sleep_status_en_25_we;
911 logic mio_pad_sleep_status_en_26_qs;
912 logic mio_pad_sleep_status_en_26_wd;
913 logic mio_pad_sleep_status_en_26_we;
914 logic mio_pad_sleep_status_en_27_qs;
915 logic mio_pad_sleep_status_en_27_wd;
916 logic mio_pad_sleep_status_en_27_we;
917 logic mio_pad_sleep_status_en_28_qs;
918 logic mio_pad_sleep_status_en_28_wd;
919 logic mio_pad_sleep_status_en_28_we;
920 logic mio_pad_sleep_status_en_29_qs;
921 logic mio_pad_sleep_status_en_29_wd;
922 logic mio_pad_sleep_status_en_29_we;
923 logic mio_pad_sleep_status_en_30_qs;
924 logic mio_pad_sleep_status_en_30_wd;
925 logic mio_pad_sleep_status_en_30_we;
926 logic mio_pad_sleep_status_en_31_qs;
927 logic mio_pad_sleep_status_en_31_wd;
928 logic mio_pad_sleep_status_en_31_we;
929 logic mio_pad_sleep_regwen_0_qs;
930 logic mio_pad_sleep_regwen_0_wd;
931 logic mio_pad_sleep_regwen_0_we;
932 logic mio_pad_sleep_regwen_1_qs;
933 logic mio_pad_sleep_regwen_1_wd;
934 logic mio_pad_sleep_regwen_1_we;
935 logic mio_pad_sleep_regwen_2_qs;
936 logic mio_pad_sleep_regwen_2_wd;
937 logic mio_pad_sleep_regwen_2_we;
938 logic mio_pad_sleep_regwen_3_qs;
939 logic mio_pad_sleep_regwen_3_wd;
940 logic mio_pad_sleep_regwen_3_we;
941 logic mio_pad_sleep_regwen_4_qs;
942 logic mio_pad_sleep_regwen_4_wd;
943 logic mio_pad_sleep_regwen_4_we;
944 logic mio_pad_sleep_regwen_5_qs;
945 logic mio_pad_sleep_regwen_5_wd;
946 logic mio_pad_sleep_regwen_5_we;
947 logic mio_pad_sleep_regwen_6_qs;
948 logic mio_pad_sleep_regwen_6_wd;
949 logic mio_pad_sleep_regwen_6_we;
950 logic mio_pad_sleep_regwen_7_qs;
951 logic mio_pad_sleep_regwen_7_wd;
952 logic mio_pad_sleep_regwen_7_we;
953 logic mio_pad_sleep_regwen_8_qs;
954 logic mio_pad_sleep_regwen_8_wd;
955 logic mio_pad_sleep_regwen_8_we;
956 logic mio_pad_sleep_regwen_9_qs;
957 logic mio_pad_sleep_regwen_9_wd;
958 logic mio_pad_sleep_regwen_9_we;
959 logic mio_pad_sleep_regwen_10_qs;
960 logic mio_pad_sleep_regwen_10_wd;
961 logic mio_pad_sleep_regwen_10_we;
962 logic mio_pad_sleep_regwen_11_qs;
963 logic mio_pad_sleep_regwen_11_wd;
964 logic mio_pad_sleep_regwen_11_we;
965 logic mio_pad_sleep_regwen_12_qs;
966 logic mio_pad_sleep_regwen_12_wd;
967 logic mio_pad_sleep_regwen_12_we;
968 logic mio_pad_sleep_regwen_13_qs;
969 logic mio_pad_sleep_regwen_13_wd;
970 logic mio_pad_sleep_regwen_13_we;
971 logic mio_pad_sleep_regwen_14_qs;
972 logic mio_pad_sleep_regwen_14_wd;
973 logic mio_pad_sleep_regwen_14_we;
974 logic mio_pad_sleep_regwen_15_qs;
975 logic mio_pad_sleep_regwen_15_wd;
976 logic mio_pad_sleep_regwen_15_we;
977 logic mio_pad_sleep_regwen_16_qs;
978 logic mio_pad_sleep_regwen_16_wd;
979 logic mio_pad_sleep_regwen_16_we;
980 logic mio_pad_sleep_regwen_17_qs;
981 logic mio_pad_sleep_regwen_17_wd;
982 logic mio_pad_sleep_regwen_17_we;
983 logic mio_pad_sleep_regwen_18_qs;
984 logic mio_pad_sleep_regwen_18_wd;
985 logic mio_pad_sleep_regwen_18_we;
986 logic mio_pad_sleep_regwen_19_qs;
987 logic mio_pad_sleep_regwen_19_wd;
988 logic mio_pad_sleep_regwen_19_we;
989 logic mio_pad_sleep_regwen_20_qs;
990 logic mio_pad_sleep_regwen_20_wd;
991 logic mio_pad_sleep_regwen_20_we;
992 logic mio_pad_sleep_regwen_21_qs;
993 logic mio_pad_sleep_regwen_21_wd;
994 logic mio_pad_sleep_regwen_21_we;
995 logic mio_pad_sleep_regwen_22_qs;
996 logic mio_pad_sleep_regwen_22_wd;
997 logic mio_pad_sleep_regwen_22_we;
998 logic mio_pad_sleep_regwen_23_qs;
999 logic mio_pad_sleep_regwen_23_wd;
1000 logic mio_pad_sleep_regwen_23_we;
1001 logic mio_pad_sleep_regwen_24_qs;
1002 logic mio_pad_sleep_regwen_24_wd;
1003 logic mio_pad_sleep_regwen_24_we;
1004 logic mio_pad_sleep_regwen_25_qs;
1005 logic mio_pad_sleep_regwen_25_wd;
1006 logic mio_pad_sleep_regwen_25_we;
1007 logic mio_pad_sleep_regwen_26_qs;
1008 logic mio_pad_sleep_regwen_26_wd;
1009 logic mio_pad_sleep_regwen_26_we;
1010 logic mio_pad_sleep_regwen_27_qs;
1011 logic mio_pad_sleep_regwen_27_wd;
1012 logic mio_pad_sleep_regwen_27_we;
1013 logic mio_pad_sleep_regwen_28_qs;
1014 logic mio_pad_sleep_regwen_28_wd;
1015 logic mio_pad_sleep_regwen_28_we;
1016 logic mio_pad_sleep_regwen_29_qs;
1017 logic mio_pad_sleep_regwen_29_wd;
1018 logic mio_pad_sleep_regwen_29_we;
1019 logic mio_pad_sleep_regwen_30_qs;
1020 logic mio_pad_sleep_regwen_30_wd;
1021 logic mio_pad_sleep_regwen_30_we;
1022 logic mio_pad_sleep_regwen_31_qs;
1023 logic mio_pad_sleep_regwen_31_wd;
1024 logic mio_pad_sleep_regwen_31_we;
1025 logic mio_pad_sleep_en_0_qs;
1026 logic mio_pad_sleep_en_0_wd;
1027 logic mio_pad_sleep_en_0_we;
1028 logic mio_pad_sleep_en_1_qs;
1029 logic mio_pad_sleep_en_1_wd;
1030 logic mio_pad_sleep_en_1_we;
1031 logic mio_pad_sleep_en_2_qs;
1032 logic mio_pad_sleep_en_2_wd;
1033 logic mio_pad_sleep_en_2_we;
1034 logic mio_pad_sleep_en_3_qs;
1035 logic mio_pad_sleep_en_3_wd;
1036 logic mio_pad_sleep_en_3_we;
1037 logic mio_pad_sleep_en_4_qs;
1038 logic mio_pad_sleep_en_4_wd;
1039 logic mio_pad_sleep_en_4_we;
1040 logic mio_pad_sleep_en_5_qs;
1041 logic mio_pad_sleep_en_5_wd;
1042 logic mio_pad_sleep_en_5_we;
1043 logic mio_pad_sleep_en_6_qs;
1044 logic mio_pad_sleep_en_6_wd;
1045 logic mio_pad_sleep_en_6_we;
1046 logic mio_pad_sleep_en_7_qs;
1047 logic mio_pad_sleep_en_7_wd;
1048 logic mio_pad_sleep_en_7_we;
1049 logic mio_pad_sleep_en_8_qs;
1050 logic mio_pad_sleep_en_8_wd;
1051 logic mio_pad_sleep_en_8_we;
1052 logic mio_pad_sleep_en_9_qs;
1053 logic mio_pad_sleep_en_9_wd;
1054 logic mio_pad_sleep_en_9_we;
1055 logic mio_pad_sleep_en_10_qs;
1056 logic mio_pad_sleep_en_10_wd;
1057 logic mio_pad_sleep_en_10_we;
1058 logic mio_pad_sleep_en_11_qs;
1059 logic mio_pad_sleep_en_11_wd;
1060 logic mio_pad_sleep_en_11_we;
1061 logic mio_pad_sleep_en_12_qs;
1062 logic mio_pad_sleep_en_12_wd;
1063 logic mio_pad_sleep_en_12_we;
1064 logic mio_pad_sleep_en_13_qs;
1065 logic mio_pad_sleep_en_13_wd;
1066 logic mio_pad_sleep_en_13_we;
1067 logic mio_pad_sleep_en_14_qs;
1068 logic mio_pad_sleep_en_14_wd;
1069 logic mio_pad_sleep_en_14_we;
1070 logic mio_pad_sleep_en_15_qs;
1071 logic mio_pad_sleep_en_15_wd;
1072 logic mio_pad_sleep_en_15_we;
1073 logic mio_pad_sleep_en_16_qs;
1074 logic mio_pad_sleep_en_16_wd;
1075 logic mio_pad_sleep_en_16_we;
1076 logic mio_pad_sleep_en_17_qs;
1077 logic mio_pad_sleep_en_17_wd;
1078 logic mio_pad_sleep_en_17_we;
1079 logic mio_pad_sleep_en_18_qs;
1080 logic mio_pad_sleep_en_18_wd;
1081 logic mio_pad_sleep_en_18_we;
1082 logic mio_pad_sleep_en_19_qs;
1083 logic mio_pad_sleep_en_19_wd;
1084 logic mio_pad_sleep_en_19_we;
1085 logic mio_pad_sleep_en_20_qs;
1086 logic mio_pad_sleep_en_20_wd;
1087 logic mio_pad_sleep_en_20_we;
1088 logic mio_pad_sleep_en_21_qs;
1089 logic mio_pad_sleep_en_21_wd;
1090 logic mio_pad_sleep_en_21_we;
1091 logic mio_pad_sleep_en_22_qs;
1092 logic mio_pad_sleep_en_22_wd;
1093 logic mio_pad_sleep_en_22_we;
1094 logic mio_pad_sleep_en_23_qs;
1095 logic mio_pad_sleep_en_23_wd;
1096 logic mio_pad_sleep_en_23_we;
1097 logic mio_pad_sleep_en_24_qs;
1098 logic mio_pad_sleep_en_24_wd;
1099 logic mio_pad_sleep_en_24_we;
1100 logic mio_pad_sleep_en_25_qs;
1101 logic mio_pad_sleep_en_25_wd;
1102 logic mio_pad_sleep_en_25_we;
1103 logic mio_pad_sleep_en_26_qs;
1104 logic mio_pad_sleep_en_26_wd;
1105 logic mio_pad_sleep_en_26_we;
1106 logic mio_pad_sleep_en_27_qs;
1107 logic mio_pad_sleep_en_27_wd;
1108 logic mio_pad_sleep_en_27_we;
1109 logic mio_pad_sleep_en_28_qs;
1110 logic mio_pad_sleep_en_28_wd;
1111 logic mio_pad_sleep_en_28_we;
1112 logic mio_pad_sleep_en_29_qs;
1113 logic mio_pad_sleep_en_29_wd;
1114 logic mio_pad_sleep_en_29_we;
1115 logic mio_pad_sleep_en_30_qs;
1116 logic mio_pad_sleep_en_30_wd;
1117 logic mio_pad_sleep_en_30_we;
1118 logic mio_pad_sleep_en_31_qs;
1119 logic mio_pad_sleep_en_31_wd;
1120 logic mio_pad_sleep_en_31_we;
1121 logic [1:0] mio_pad_sleep_mode_0_qs;
1122 logic [1:0] mio_pad_sleep_mode_0_wd;
1123 logic mio_pad_sleep_mode_0_we;
1124 logic [1:0] mio_pad_sleep_mode_1_qs;
1125 logic [1:0] mio_pad_sleep_mode_1_wd;
1126 logic mio_pad_sleep_mode_1_we;
1127 logic [1:0] mio_pad_sleep_mode_2_qs;
1128 logic [1:0] mio_pad_sleep_mode_2_wd;
1129 logic mio_pad_sleep_mode_2_we;
1130 logic [1:0] mio_pad_sleep_mode_3_qs;
1131 logic [1:0] mio_pad_sleep_mode_3_wd;
1132 logic mio_pad_sleep_mode_3_we;
1133 logic [1:0] mio_pad_sleep_mode_4_qs;
1134 logic [1:0] mio_pad_sleep_mode_4_wd;
1135 logic mio_pad_sleep_mode_4_we;
1136 logic [1:0] mio_pad_sleep_mode_5_qs;
1137 logic [1:0] mio_pad_sleep_mode_5_wd;
1138 logic mio_pad_sleep_mode_5_we;
1139 logic [1:0] mio_pad_sleep_mode_6_qs;
1140 logic [1:0] mio_pad_sleep_mode_6_wd;
1141 logic mio_pad_sleep_mode_6_we;
1142 logic [1:0] mio_pad_sleep_mode_7_qs;
1143 logic [1:0] mio_pad_sleep_mode_7_wd;
1144 logic mio_pad_sleep_mode_7_we;
1145 logic [1:0] mio_pad_sleep_mode_8_qs;
1146 logic [1:0] mio_pad_sleep_mode_8_wd;
1147 logic mio_pad_sleep_mode_8_we;
1148 logic [1:0] mio_pad_sleep_mode_9_qs;
1149 logic [1:0] mio_pad_sleep_mode_9_wd;
1150 logic mio_pad_sleep_mode_9_we;
1151 logic [1:0] mio_pad_sleep_mode_10_qs;
1152 logic [1:0] mio_pad_sleep_mode_10_wd;
1153 logic mio_pad_sleep_mode_10_we;
1154 logic [1:0] mio_pad_sleep_mode_11_qs;
1155 logic [1:0] mio_pad_sleep_mode_11_wd;
1156 logic mio_pad_sleep_mode_11_we;
1157 logic [1:0] mio_pad_sleep_mode_12_qs;
1158 logic [1:0] mio_pad_sleep_mode_12_wd;
1159 logic mio_pad_sleep_mode_12_we;
1160 logic [1:0] mio_pad_sleep_mode_13_qs;
1161 logic [1:0] mio_pad_sleep_mode_13_wd;
1162 logic mio_pad_sleep_mode_13_we;
1163 logic [1:0] mio_pad_sleep_mode_14_qs;
1164 logic [1:0] mio_pad_sleep_mode_14_wd;
1165 logic mio_pad_sleep_mode_14_we;
1166 logic [1:0] mio_pad_sleep_mode_15_qs;
1167 logic [1:0] mio_pad_sleep_mode_15_wd;
1168 logic mio_pad_sleep_mode_15_we;
1169 logic [1:0] mio_pad_sleep_mode_16_qs;
1170 logic [1:0] mio_pad_sleep_mode_16_wd;
1171 logic mio_pad_sleep_mode_16_we;
1172 logic [1:0] mio_pad_sleep_mode_17_qs;
1173 logic [1:0] mio_pad_sleep_mode_17_wd;
1174 logic mio_pad_sleep_mode_17_we;
1175 logic [1:0] mio_pad_sleep_mode_18_qs;
1176 logic [1:0] mio_pad_sleep_mode_18_wd;
1177 logic mio_pad_sleep_mode_18_we;
1178 logic [1:0] mio_pad_sleep_mode_19_qs;
1179 logic [1:0] mio_pad_sleep_mode_19_wd;
1180 logic mio_pad_sleep_mode_19_we;
1181 logic [1:0] mio_pad_sleep_mode_20_qs;
1182 logic [1:0] mio_pad_sleep_mode_20_wd;
1183 logic mio_pad_sleep_mode_20_we;
1184 logic [1:0] mio_pad_sleep_mode_21_qs;
1185 logic [1:0] mio_pad_sleep_mode_21_wd;
1186 logic mio_pad_sleep_mode_21_we;
1187 logic [1:0] mio_pad_sleep_mode_22_qs;
1188 logic [1:0] mio_pad_sleep_mode_22_wd;
1189 logic mio_pad_sleep_mode_22_we;
1190 logic [1:0] mio_pad_sleep_mode_23_qs;
1191 logic [1:0] mio_pad_sleep_mode_23_wd;
1192 logic mio_pad_sleep_mode_23_we;
1193 logic [1:0] mio_pad_sleep_mode_24_qs;
1194 logic [1:0] mio_pad_sleep_mode_24_wd;
1195 logic mio_pad_sleep_mode_24_we;
1196 logic [1:0] mio_pad_sleep_mode_25_qs;
1197 logic [1:0] mio_pad_sleep_mode_25_wd;
1198 logic mio_pad_sleep_mode_25_we;
1199 logic [1:0] mio_pad_sleep_mode_26_qs;
1200 logic [1:0] mio_pad_sleep_mode_26_wd;
1201 logic mio_pad_sleep_mode_26_we;
1202 logic [1:0] mio_pad_sleep_mode_27_qs;
1203 logic [1:0] mio_pad_sleep_mode_27_wd;
1204 logic mio_pad_sleep_mode_27_we;
1205 logic [1:0] mio_pad_sleep_mode_28_qs;
1206 logic [1:0] mio_pad_sleep_mode_28_wd;
1207 logic mio_pad_sleep_mode_28_we;
1208 logic [1:0] mio_pad_sleep_mode_29_qs;
1209 logic [1:0] mio_pad_sleep_mode_29_wd;
1210 logic mio_pad_sleep_mode_29_we;
1211 logic [1:0] mio_pad_sleep_mode_30_qs;
1212 logic [1:0] mio_pad_sleep_mode_30_wd;
1213 logic mio_pad_sleep_mode_30_we;
1214 logic [1:0] mio_pad_sleep_mode_31_qs;
1215 logic [1:0] mio_pad_sleep_mode_31_wd;
1216 logic mio_pad_sleep_mode_31_we;
1217 logic dio_pad_sleep_status_en_0_qs;
1218 logic dio_pad_sleep_status_en_0_wd;
1219 logic dio_pad_sleep_status_en_0_we;
1220 logic dio_pad_sleep_status_en_1_qs;
1221 logic dio_pad_sleep_status_en_1_wd;
1222 logic dio_pad_sleep_status_en_1_we;
1223 logic dio_pad_sleep_status_en_2_qs;
1224 logic dio_pad_sleep_status_en_2_wd;
1225 logic dio_pad_sleep_status_en_2_we;
1226 logic dio_pad_sleep_status_en_3_qs;
1227 logic dio_pad_sleep_status_en_3_wd;
1228 logic dio_pad_sleep_status_en_3_we;
1229 logic dio_pad_sleep_status_en_4_qs;
1230 logic dio_pad_sleep_status_en_4_wd;
1231 logic dio_pad_sleep_status_en_4_we;
1232 logic dio_pad_sleep_status_en_5_qs;
1233 logic dio_pad_sleep_status_en_5_wd;
1234 logic dio_pad_sleep_status_en_5_we;
1235 logic dio_pad_sleep_status_en_6_qs;
1236 logic dio_pad_sleep_status_en_6_wd;
1237 logic dio_pad_sleep_status_en_6_we;
1238 logic dio_pad_sleep_status_en_7_qs;
1239 logic dio_pad_sleep_status_en_7_wd;
1240 logic dio_pad_sleep_status_en_7_we;
1241 logic dio_pad_sleep_status_en_8_qs;
1242 logic dio_pad_sleep_status_en_8_wd;
1243 logic dio_pad_sleep_status_en_8_we;
1244 logic dio_pad_sleep_status_en_9_qs;
1245 logic dio_pad_sleep_status_en_9_wd;
1246 logic dio_pad_sleep_status_en_9_we;
1247 logic dio_pad_sleep_status_en_10_qs;
1248 logic dio_pad_sleep_status_en_10_wd;
1249 logic dio_pad_sleep_status_en_10_we;
1250 logic dio_pad_sleep_status_en_11_qs;
1251 logic dio_pad_sleep_status_en_11_wd;
1252 logic dio_pad_sleep_status_en_11_we;
1253 logic dio_pad_sleep_status_en_12_qs;
1254 logic dio_pad_sleep_status_en_12_wd;
1255 logic dio_pad_sleep_status_en_12_we;
1256 logic dio_pad_sleep_status_en_13_qs;
1257 logic dio_pad_sleep_status_en_13_wd;
1258 logic dio_pad_sleep_status_en_13_we;
1259 logic dio_pad_sleep_status_en_14_qs;
1260 logic dio_pad_sleep_status_en_14_wd;
1261 logic dio_pad_sleep_status_en_14_we;
1262 logic dio_pad_sleep_status_en_15_qs;
1263 logic dio_pad_sleep_status_en_15_wd;
1264 logic dio_pad_sleep_status_en_15_we;
1265 logic dio_pad_sleep_regwen_0_qs;
1266 logic dio_pad_sleep_regwen_0_wd;
1267 logic dio_pad_sleep_regwen_0_we;
1268 logic dio_pad_sleep_regwen_1_qs;
1269 logic dio_pad_sleep_regwen_1_wd;
1270 logic dio_pad_sleep_regwen_1_we;
1271 logic dio_pad_sleep_regwen_2_qs;
1272 logic dio_pad_sleep_regwen_2_wd;
1273 logic dio_pad_sleep_regwen_2_we;
1274 logic dio_pad_sleep_regwen_3_qs;
1275 logic dio_pad_sleep_regwen_3_wd;
1276 logic dio_pad_sleep_regwen_3_we;
1277 logic dio_pad_sleep_regwen_4_qs;
1278 logic dio_pad_sleep_regwen_4_wd;
1279 logic dio_pad_sleep_regwen_4_we;
1280 logic dio_pad_sleep_regwen_5_qs;
1281 logic dio_pad_sleep_regwen_5_wd;
1282 logic dio_pad_sleep_regwen_5_we;
1283 logic dio_pad_sleep_regwen_6_qs;
1284 logic dio_pad_sleep_regwen_6_wd;
1285 logic dio_pad_sleep_regwen_6_we;
1286 logic dio_pad_sleep_regwen_7_qs;
1287 logic dio_pad_sleep_regwen_7_wd;
1288 logic dio_pad_sleep_regwen_7_we;
1289 logic dio_pad_sleep_regwen_8_qs;
1290 logic dio_pad_sleep_regwen_8_wd;
1291 logic dio_pad_sleep_regwen_8_we;
1292 logic dio_pad_sleep_regwen_9_qs;
1293 logic dio_pad_sleep_regwen_9_wd;
1294 logic dio_pad_sleep_regwen_9_we;
1295 logic dio_pad_sleep_regwen_10_qs;
1296 logic dio_pad_sleep_regwen_10_wd;
1297 logic dio_pad_sleep_regwen_10_we;
1298 logic dio_pad_sleep_regwen_11_qs;
1299 logic dio_pad_sleep_regwen_11_wd;
1300 logic dio_pad_sleep_regwen_11_we;
1301 logic dio_pad_sleep_regwen_12_qs;
1302 logic dio_pad_sleep_regwen_12_wd;
1303 logic dio_pad_sleep_regwen_12_we;
1304 logic dio_pad_sleep_regwen_13_qs;
1305 logic dio_pad_sleep_regwen_13_wd;
1306 logic dio_pad_sleep_regwen_13_we;
1307 logic dio_pad_sleep_regwen_14_qs;
1308 logic dio_pad_sleep_regwen_14_wd;
1309 logic dio_pad_sleep_regwen_14_we;
1310 logic dio_pad_sleep_regwen_15_qs;
1311 logic dio_pad_sleep_regwen_15_wd;
1312 logic dio_pad_sleep_regwen_15_we;
1313 logic dio_pad_sleep_en_0_qs;
1314 logic dio_pad_sleep_en_0_wd;
1315 logic dio_pad_sleep_en_0_we;
1316 logic dio_pad_sleep_en_1_qs;
1317 logic dio_pad_sleep_en_1_wd;
1318 logic dio_pad_sleep_en_1_we;
1319 logic dio_pad_sleep_en_2_qs;
1320 logic dio_pad_sleep_en_2_wd;
1321 logic dio_pad_sleep_en_2_we;
1322 logic dio_pad_sleep_en_3_qs;
1323 logic dio_pad_sleep_en_3_wd;
1324 logic dio_pad_sleep_en_3_we;
1325 logic dio_pad_sleep_en_4_qs;
1326 logic dio_pad_sleep_en_4_wd;
1327 logic dio_pad_sleep_en_4_we;
1328 logic dio_pad_sleep_en_5_qs;
1329 logic dio_pad_sleep_en_5_wd;
1330 logic dio_pad_sleep_en_5_we;
1331 logic dio_pad_sleep_en_6_qs;
1332 logic dio_pad_sleep_en_6_wd;
1333 logic dio_pad_sleep_en_6_we;
1334 logic dio_pad_sleep_en_7_qs;
1335 logic dio_pad_sleep_en_7_wd;
1336 logic dio_pad_sleep_en_7_we;
1337 logic dio_pad_sleep_en_8_qs;
1338 logic dio_pad_sleep_en_8_wd;
1339 logic dio_pad_sleep_en_8_we;
1340 logic dio_pad_sleep_en_9_qs;
1341 logic dio_pad_sleep_en_9_wd;
1342 logic dio_pad_sleep_en_9_we;
1343 logic dio_pad_sleep_en_10_qs;
1344 logic dio_pad_sleep_en_10_wd;
1345 logic dio_pad_sleep_en_10_we;
1346 logic dio_pad_sleep_en_11_qs;
1347 logic dio_pad_sleep_en_11_wd;
1348 logic dio_pad_sleep_en_11_we;
1349 logic dio_pad_sleep_en_12_qs;
1350 logic dio_pad_sleep_en_12_wd;
1351 logic dio_pad_sleep_en_12_we;
1352 logic dio_pad_sleep_en_13_qs;
1353 logic dio_pad_sleep_en_13_wd;
1354 logic dio_pad_sleep_en_13_we;
1355 logic dio_pad_sleep_en_14_qs;
1356 logic dio_pad_sleep_en_14_wd;
1357 logic dio_pad_sleep_en_14_we;
1358 logic dio_pad_sleep_en_15_qs;
1359 logic dio_pad_sleep_en_15_wd;
1360 logic dio_pad_sleep_en_15_we;
1361 logic [1:0] dio_pad_sleep_mode_0_qs;
1362 logic [1:0] dio_pad_sleep_mode_0_wd;
1363 logic dio_pad_sleep_mode_0_we;
1364 logic [1:0] dio_pad_sleep_mode_1_qs;
1365 logic [1:0] dio_pad_sleep_mode_1_wd;
1366 logic dio_pad_sleep_mode_1_we;
1367 logic [1:0] dio_pad_sleep_mode_2_qs;
1368 logic [1:0] dio_pad_sleep_mode_2_wd;
1369 logic dio_pad_sleep_mode_2_we;
1370 logic [1:0] dio_pad_sleep_mode_3_qs;
1371 logic [1:0] dio_pad_sleep_mode_3_wd;
1372 logic dio_pad_sleep_mode_3_we;
1373 logic [1:0] dio_pad_sleep_mode_4_qs;
1374 logic [1:0] dio_pad_sleep_mode_4_wd;
1375 logic dio_pad_sleep_mode_4_we;
1376 logic [1:0] dio_pad_sleep_mode_5_qs;
1377 logic [1:0] dio_pad_sleep_mode_5_wd;
1378 logic dio_pad_sleep_mode_5_we;
1379 logic [1:0] dio_pad_sleep_mode_6_qs;
1380 logic [1:0] dio_pad_sleep_mode_6_wd;
1381 logic dio_pad_sleep_mode_6_we;
1382 logic [1:0] dio_pad_sleep_mode_7_qs;
1383 logic [1:0] dio_pad_sleep_mode_7_wd;
1384 logic dio_pad_sleep_mode_7_we;
1385 logic [1:0] dio_pad_sleep_mode_8_qs;
1386 logic [1:0] dio_pad_sleep_mode_8_wd;
1387 logic dio_pad_sleep_mode_8_we;
1388 logic [1:0] dio_pad_sleep_mode_9_qs;
1389 logic [1:0] dio_pad_sleep_mode_9_wd;
1390 logic dio_pad_sleep_mode_9_we;
1391 logic [1:0] dio_pad_sleep_mode_10_qs;
1392 logic [1:0] dio_pad_sleep_mode_10_wd;
1393 logic dio_pad_sleep_mode_10_we;
1394 logic [1:0] dio_pad_sleep_mode_11_qs;
1395 logic [1:0] dio_pad_sleep_mode_11_wd;
1396 logic dio_pad_sleep_mode_11_we;
1397 logic [1:0] dio_pad_sleep_mode_12_qs;
1398 logic [1:0] dio_pad_sleep_mode_12_wd;
1399 logic dio_pad_sleep_mode_12_we;
1400 logic [1:0] dio_pad_sleep_mode_13_qs;
1401 logic [1:0] dio_pad_sleep_mode_13_wd;
1402 logic dio_pad_sleep_mode_13_we;
1403 logic [1:0] dio_pad_sleep_mode_14_qs;
1404 logic [1:0] dio_pad_sleep_mode_14_wd;
1405 logic dio_pad_sleep_mode_14_we;
1406 logic [1:0] dio_pad_sleep_mode_15_qs;
1407 logic [1:0] dio_pad_sleep_mode_15_wd;
1408 logic dio_pad_sleep_mode_15_we;
Michael Schaffner06fdb112021-02-10 16:52:56 -08001409 logic wkup_detector_regwen_0_qs;
1410 logic wkup_detector_regwen_0_wd;
1411 logic wkup_detector_regwen_0_we;
1412 logic wkup_detector_regwen_1_qs;
1413 logic wkup_detector_regwen_1_wd;
1414 logic wkup_detector_regwen_1_we;
1415 logic wkup_detector_regwen_2_qs;
1416 logic wkup_detector_regwen_2_wd;
1417 logic wkup_detector_regwen_2_we;
1418 logic wkup_detector_regwen_3_qs;
1419 logic wkup_detector_regwen_3_wd;
1420 logic wkup_detector_regwen_3_we;
1421 logic wkup_detector_regwen_4_qs;
1422 logic wkup_detector_regwen_4_wd;
1423 logic wkup_detector_regwen_4_we;
1424 logic wkup_detector_regwen_5_qs;
1425 logic wkup_detector_regwen_5_wd;
1426 logic wkup_detector_regwen_5_we;
1427 logic wkup_detector_regwen_6_qs;
1428 logic wkup_detector_regwen_6_wd;
1429 logic wkup_detector_regwen_6_we;
1430 logic wkup_detector_regwen_7_qs;
1431 logic wkup_detector_regwen_7_wd;
1432 logic wkup_detector_regwen_7_we;
1433 logic wkup_detector_en_0_qs;
1434 logic wkup_detector_en_0_wd;
1435 logic wkup_detector_en_0_we;
1436 logic wkup_detector_en_1_qs;
1437 logic wkup_detector_en_1_wd;
1438 logic wkup_detector_en_1_we;
1439 logic wkup_detector_en_2_qs;
1440 logic wkup_detector_en_2_wd;
1441 logic wkup_detector_en_2_we;
1442 logic wkup_detector_en_3_qs;
1443 logic wkup_detector_en_3_wd;
1444 logic wkup_detector_en_3_we;
1445 logic wkup_detector_en_4_qs;
1446 logic wkup_detector_en_4_wd;
1447 logic wkup_detector_en_4_we;
1448 logic wkup_detector_en_5_qs;
1449 logic wkup_detector_en_5_wd;
1450 logic wkup_detector_en_5_we;
1451 logic wkup_detector_en_6_qs;
1452 logic wkup_detector_en_6_wd;
1453 logic wkup_detector_en_6_we;
1454 logic wkup_detector_en_7_qs;
1455 logic wkup_detector_en_7_wd;
1456 logic wkup_detector_en_7_we;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +02001457 logic [2:0] wkup_detector_0_mode_0_qs;
1458 logic [2:0] wkup_detector_0_mode_0_wd;
1459 logic wkup_detector_0_mode_0_we;
1460 logic wkup_detector_0_filter_0_qs;
1461 logic wkup_detector_0_filter_0_wd;
1462 logic wkup_detector_0_filter_0_we;
1463 logic wkup_detector_0_miodio_0_qs;
1464 logic wkup_detector_0_miodio_0_wd;
1465 logic wkup_detector_0_miodio_0_we;
1466 logic [2:0] wkup_detector_1_mode_1_qs;
1467 logic [2:0] wkup_detector_1_mode_1_wd;
1468 logic wkup_detector_1_mode_1_we;
1469 logic wkup_detector_1_filter_1_qs;
1470 logic wkup_detector_1_filter_1_wd;
1471 logic wkup_detector_1_filter_1_we;
1472 logic wkup_detector_1_miodio_1_qs;
1473 logic wkup_detector_1_miodio_1_wd;
1474 logic wkup_detector_1_miodio_1_we;
1475 logic [2:0] wkup_detector_2_mode_2_qs;
1476 logic [2:0] wkup_detector_2_mode_2_wd;
1477 logic wkup_detector_2_mode_2_we;
1478 logic wkup_detector_2_filter_2_qs;
1479 logic wkup_detector_2_filter_2_wd;
1480 logic wkup_detector_2_filter_2_we;
1481 logic wkup_detector_2_miodio_2_qs;
1482 logic wkup_detector_2_miodio_2_wd;
1483 logic wkup_detector_2_miodio_2_we;
1484 logic [2:0] wkup_detector_3_mode_3_qs;
1485 logic [2:0] wkup_detector_3_mode_3_wd;
1486 logic wkup_detector_3_mode_3_we;
1487 logic wkup_detector_3_filter_3_qs;
1488 logic wkup_detector_3_filter_3_wd;
1489 logic wkup_detector_3_filter_3_we;
1490 logic wkup_detector_3_miodio_3_qs;
1491 logic wkup_detector_3_miodio_3_wd;
1492 logic wkup_detector_3_miodio_3_we;
1493 logic [2:0] wkup_detector_4_mode_4_qs;
1494 logic [2:0] wkup_detector_4_mode_4_wd;
1495 logic wkup_detector_4_mode_4_we;
1496 logic wkup_detector_4_filter_4_qs;
1497 logic wkup_detector_4_filter_4_wd;
1498 logic wkup_detector_4_filter_4_we;
1499 logic wkup_detector_4_miodio_4_qs;
1500 logic wkup_detector_4_miodio_4_wd;
1501 logic wkup_detector_4_miodio_4_we;
1502 logic [2:0] wkup_detector_5_mode_5_qs;
1503 logic [2:0] wkup_detector_5_mode_5_wd;
1504 logic wkup_detector_5_mode_5_we;
1505 logic wkup_detector_5_filter_5_qs;
1506 logic wkup_detector_5_filter_5_wd;
1507 logic wkup_detector_5_filter_5_we;
1508 logic wkup_detector_5_miodio_5_qs;
1509 logic wkup_detector_5_miodio_5_wd;
1510 logic wkup_detector_5_miodio_5_we;
1511 logic [2:0] wkup_detector_6_mode_6_qs;
1512 logic [2:0] wkup_detector_6_mode_6_wd;
1513 logic wkup_detector_6_mode_6_we;
1514 logic wkup_detector_6_filter_6_qs;
1515 logic wkup_detector_6_filter_6_wd;
1516 logic wkup_detector_6_filter_6_we;
1517 logic wkup_detector_6_miodio_6_qs;
1518 logic wkup_detector_6_miodio_6_wd;
1519 logic wkup_detector_6_miodio_6_we;
1520 logic [2:0] wkup_detector_7_mode_7_qs;
1521 logic [2:0] wkup_detector_7_mode_7_wd;
1522 logic wkup_detector_7_mode_7_we;
1523 logic wkup_detector_7_filter_7_qs;
1524 logic wkup_detector_7_filter_7_wd;
1525 logic wkup_detector_7_filter_7_we;
1526 logic wkup_detector_7_miodio_7_qs;
1527 logic wkup_detector_7_miodio_7_wd;
1528 logic wkup_detector_7_miodio_7_we;
Michael Schaffner06fdb112021-02-10 16:52:56 -08001529 logic [7:0] wkup_detector_cnt_th_0_qs;
1530 logic [7:0] wkup_detector_cnt_th_0_wd;
1531 logic wkup_detector_cnt_th_0_we;
1532 logic [7:0] wkup_detector_cnt_th_1_qs;
1533 logic [7:0] wkup_detector_cnt_th_1_wd;
1534 logic wkup_detector_cnt_th_1_we;
1535 logic [7:0] wkup_detector_cnt_th_2_qs;
1536 logic [7:0] wkup_detector_cnt_th_2_wd;
1537 logic wkup_detector_cnt_th_2_we;
1538 logic [7:0] wkup_detector_cnt_th_3_qs;
1539 logic [7:0] wkup_detector_cnt_th_3_wd;
1540 logic wkup_detector_cnt_th_3_we;
1541 logic [7:0] wkup_detector_cnt_th_4_qs;
1542 logic [7:0] wkup_detector_cnt_th_4_wd;
1543 logic wkup_detector_cnt_th_4_we;
1544 logic [7:0] wkup_detector_cnt_th_5_qs;
1545 logic [7:0] wkup_detector_cnt_th_5_wd;
1546 logic wkup_detector_cnt_th_5_we;
1547 logic [7:0] wkup_detector_cnt_th_6_qs;
1548 logic [7:0] wkup_detector_cnt_th_6_wd;
1549 logic wkup_detector_cnt_th_6_we;
1550 logic [7:0] wkup_detector_cnt_th_7_qs;
1551 logic [7:0] wkup_detector_cnt_th_7_wd;
1552 logic wkup_detector_cnt_th_7_we;
1553 logic [5:0] wkup_detector_padsel_0_qs;
1554 logic [5:0] wkup_detector_padsel_0_wd;
1555 logic wkup_detector_padsel_0_we;
1556 logic [5:0] wkup_detector_padsel_1_qs;
1557 logic [5:0] wkup_detector_padsel_1_wd;
1558 logic wkup_detector_padsel_1_we;
1559 logic [5:0] wkup_detector_padsel_2_qs;
1560 logic [5:0] wkup_detector_padsel_2_wd;
1561 logic wkup_detector_padsel_2_we;
1562 logic [5:0] wkup_detector_padsel_3_qs;
1563 logic [5:0] wkup_detector_padsel_3_wd;
1564 logic wkup_detector_padsel_3_we;
1565 logic [5:0] wkup_detector_padsel_4_qs;
1566 logic [5:0] wkup_detector_padsel_4_wd;
1567 logic wkup_detector_padsel_4_we;
1568 logic [5:0] wkup_detector_padsel_5_qs;
1569 logic [5:0] wkup_detector_padsel_5_wd;
1570 logic wkup_detector_padsel_5_we;
1571 logic [5:0] wkup_detector_padsel_6_qs;
1572 logic [5:0] wkup_detector_padsel_6_wd;
1573 logic wkup_detector_padsel_6_we;
1574 logic [5:0] wkup_detector_padsel_7_qs;
1575 logic [5:0] wkup_detector_padsel_7_wd;
1576 logic wkup_detector_padsel_7_we;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +02001577 logic wkup_cause_cause_0_qs;
1578 logic wkup_cause_cause_0_wd;
1579 logic wkup_cause_cause_0_we;
1580 logic wkup_cause_cause_0_re;
1581 logic wkup_cause_cause_1_qs;
1582 logic wkup_cause_cause_1_wd;
1583 logic wkup_cause_cause_1_we;
1584 logic wkup_cause_cause_1_re;
1585 logic wkup_cause_cause_2_qs;
1586 logic wkup_cause_cause_2_wd;
1587 logic wkup_cause_cause_2_we;
1588 logic wkup_cause_cause_2_re;
1589 logic wkup_cause_cause_3_qs;
1590 logic wkup_cause_cause_3_wd;
1591 logic wkup_cause_cause_3_we;
1592 logic wkup_cause_cause_3_re;
1593 logic wkup_cause_cause_4_qs;
1594 logic wkup_cause_cause_4_wd;
1595 logic wkup_cause_cause_4_we;
1596 logic wkup_cause_cause_4_re;
1597 logic wkup_cause_cause_5_qs;
1598 logic wkup_cause_cause_5_wd;
1599 logic wkup_cause_cause_5_we;
1600 logic wkup_cause_cause_5_re;
1601 logic wkup_cause_cause_6_qs;
1602 logic wkup_cause_cause_6_wd;
1603 logic wkup_cause_cause_6_we;
1604 logic wkup_cause_cause_6_re;
1605 logic wkup_cause_cause_7_qs;
1606 logic wkup_cause_cause_7_wd;
1607 logic wkup_cause_cause_7_we;
1608 logic wkup_cause_cause_7_re;
Michael Schaffner51c61442019-10-01 15:49:10 -07001609
1610 // Register instances
Michael Schaffner06fdb112021-02-10 16:52:56 -08001611
1612 // Subregister 0 of Multireg mio_periph_insel_regwen
1613 // R[mio_periph_insel_regwen_0]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001614
1615 prim_subreg #(
1616 .DW (1),
Michael Schaffnerd86ff082019-10-01 17:22:59 -07001617 .SWACCESS("W0C"),
Michael Schaffner51c61442019-10-01 15:49:10 -07001618 .RESVAL (1'h1)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001619 ) u_mio_periph_insel_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001620 .clk_i (clk_i),
1621 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001622
1623 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08001624 .we (mio_periph_insel_regwen_0_we),
1625 .wd (mio_periph_insel_regwen_0_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001626
1627 // from internal hardware
1628 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001629 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001630
1631 // to internal hardware
1632 .qe (),
1633 .q (),
1634
1635 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001636 .qs (mio_periph_insel_regwen_0_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001637 );
1638
Michael Schaffner06fdb112021-02-10 16:52:56 -08001639 // Subregister 1 of Multireg mio_periph_insel_regwen
1640 // R[mio_periph_insel_regwen_1]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001641
Michael Schaffner51c61442019-10-01 15:49:10 -07001642 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001643 .DW (1),
1644 .SWACCESS("W0C"),
1645 .RESVAL (1'h1)
1646 ) u_mio_periph_insel_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001647 .clk_i (clk_i),
1648 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001649
Michael Schaffner06fdb112021-02-10 16:52:56 -08001650 // from register interface
1651 .we (mio_periph_insel_regwen_1_we),
1652 .wd (mio_periph_insel_regwen_1_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001653
1654 // from internal hardware
1655 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001656 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001657
1658 // to internal hardware
1659 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001660 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001661
1662 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001663 .qs (mio_periph_insel_regwen_1_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001664 );
1665
Michael Schaffner06fdb112021-02-10 16:52:56 -08001666 // Subregister 2 of Multireg mio_periph_insel_regwen
1667 // R[mio_periph_insel_regwen_2]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001668
Michael Schaffner51c61442019-10-01 15:49:10 -07001669 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001670 .DW (1),
1671 .SWACCESS("W0C"),
1672 .RESVAL (1'h1)
1673 ) u_mio_periph_insel_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001674 .clk_i (clk_i),
1675 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001676
Michael Schaffner06fdb112021-02-10 16:52:56 -08001677 // from register interface
1678 .we (mio_periph_insel_regwen_2_we),
1679 .wd (mio_periph_insel_regwen_2_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001680
1681 // from internal hardware
1682 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001683 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001684
1685 // to internal hardware
1686 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001687 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001688
1689 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001690 .qs (mio_periph_insel_regwen_2_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001691 );
1692
Michael Schaffner06fdb112021-02-10 16:52:56 -08001693 // Subregister 3 of Multireg mio_periph_insel_regwen
1694 // R[mio_periph_insel_regwen_3]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001695
Michael Schaffner51c61442019-10-01 15:49:10 -07001696 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001697 .DW (1),
1698 .SWACCESS("W0C"),
1699 .RESVAL (1'h1)
1700 ) u_mio_periph_insel_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001701 .clk_i (clk_i),
1702 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001703
Michael Schaffner06fdb112021-02-10 16:52:56 -08001704 // from register interface
1705 .we (mio_periph_insel_regwen_3_we),
1706 .wd (mio_periph_insel_regwen_3_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001707
1708 // from internal hardware
1709 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001710 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001711
1712 // to internal hardware
1713 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001714 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001715
1716 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001717 .qs (mio_periph_insel_regwen_3_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001718 );
1719
Michael Schaffner06fdb112021-02-10 16:52:56 -08001720 // Subregister 4 of Multireg mio_periph_insel_regwen
1721 // R[mio_periph_insel_regwen_4]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001722
Michael Schaffner51c61442019-10-01 15:49:10 -07001723 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001724 .DW (1),
1725 .SWACCESS("W0C"),
1726 .RESVAL (1'h1)
1727 ) u_mio_periph_insel_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001728 .clk_i (clk_i),
1729 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001730
Michael Schaffner06fdb112021-02-10 16:52:56 -08001731 // from register interface
1732 .we (mio_periph_insel_regwen_4_we),
1733 .wd (mio_periph_insel_regwen_4_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001734
1735 // from internal hardware
1736 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001737 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001738
1739 // to internal hardware
1740 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001741 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001742
1743 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001744 .qs (mio_periph_insel_regwen_4_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001745 );
1746
Michael Schaffner06fdb112021-02-10 16:52:56 -08001747 // Subregister 5 of Multireg mio_periph_insel_regwen
1748 // R[mio_periph_insel_regwen_5]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001749
Michael Schaffner51c61442019-10-01 15:49:10 -07001750 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001751 .DW (1),
1752 .SWACCESS("W0C"),
1753 .RESVAL (1'h1)
1754 ) u_mio_periph_insel_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001755 .clk_i (clk_i),
1756 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001757
Michael Schaffner06fdb112021-02-10 16:52:56 -08001758 // from register interface
1759 .we (mio_periph_insel_regwen_5_we),
1760 .wd (mio_periph_insel_regwen_5_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001761
1762 // from internal hardware
1763 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001764 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001765
1766 // to internal hardware
1767 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001768 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001769
1770 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001771 .qs (mio_periph_insel_regwen_5_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001772 );
1773
Michael Schaffner06fdb112021-02-10 16:52:56 -08001774 // Subregister 6 of Multireg mio_periph_insel_regwen
1775 // R[mio_periph_insel_regwen_6]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001776
Michael Schaffner51c61442019-10-01 15:49:10 -07001777 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001778 .DW (1),
1779 .SWACCESS("W0C"),
1780 .RESVAL (1'h1)
1781 ) u_mio_periph_insel_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001782 .clk_i (clk_i),
1783 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001784
Michael Schaffner06fdb112021-02-10 16:52:56 -08001785 // from register interface
1786 .we (mio_periph_insel_regwen_6_we),
1787 .wd (mio_periph_insel_regwen_6_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001788
1789 // from internal hardware
1790 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001791 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001792
1793 // to internal hardware
1794 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001795 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001796
1797 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001798 .qs (mio_periph_insel_regwen_6_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001799 );
1800
Michael Schaffner06fdb112021-02-10 16:52:56 -08001801 // Subregister 7 of Multireg mio_periph_insel_regwen
1802 // R[mio_periph_insel_regwen_7]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001803
Michael Schaffner51c61442019-10-01 15:49:10 -07001804 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001805 .DW (1),
1806 .SWACCESS("W0C"),
1807 .RESVAL (1'h1)
1808 ) u_mio_periph_insel_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001809 .clk_i (clk_i),
1810 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001811
Michael Schaffner06fdb112021-02-10 16:52:56 -08001812 // from register interface
1813 .we (mio_periph_insel_regwen_7_we),
1814 .wd (mio_periph_insel_regwen_7_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001815
1816 // from internal hardware
1817 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001818 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001819
1820 // to internal hardware
1821 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001822 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001823
1824 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001825 .qs (mio_periph_insel_regwen_7_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001826 );
1827
Michael Schaffner06fdb112021-02-10 16:52:56 -08001828 // Subregister 8 of Multireg mio_periph_insel_regwen
1829 // R[mio_periph_insel_regwen_8]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001830
Michael Schaffner51c61442019-10-01 15:49:10 -07001831 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001832 .DW (1),
1833 .SWACCESS("W0C"),
1834 .RESVAL (1'h1)
1835 ) u_mio_periph_insel_regwen_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001836 .clk_i (clk_i),
1837 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07001838
Michael Schaffner06fdb112021-02-10 16:52:56 -08001839 // from register interface
1840 .we (mio_periph_insel_regwen_8_we),
1841 .wd (mio_periph_insel_regwen_8_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001842
1843 // from internal hardware
1844 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001845 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07001846
1847 // to internal hardware
1848 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001849 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001850
1851 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001852 .qs (mio_periph_insel_regwen_8_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001853 );
1854
Michael Schaffner06fdb112021-02-10 16:52:56 -08001855 // Subregister 9 of Multireg mio_periph_insel_regwen
1856 // R[mio_periph_insel_regwen_9]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001857
Michael Schaffnerfb801932019-10-02 10:49:15 -07001858 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001859 .DW (1),
1860 .SWACCESS("W0C"),
1861 .RESVAL (1'h1)
1862 ) u_mio_periph_insel_regwen_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001863 .clk_i (clk_i),
1864 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001865
Michael Schaffner06fdb112021-02-10 16:52:56 -08001866 // from register interface
1867 .we (mio_periph_insel_regwen_9_we),
1868 .wd (mio_periph_insel_regwen_9_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001869
1870 // from internal hardware
1871 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001872 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001873
1874 // to internal hardware
1875 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001876 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001877
1878 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001879 .qs (mio_periph_insel_regwen_9_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001880 );
1881
Michael Schaffner06fdb112021-02-10 16:52:56 -08001882 // Subregister 10 of Multireg mio_periph_insel_regwen
1883 // R[mio_periph_insel_regwen_10]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001884
Michael Schaffnerfb801932019-10-02 10:49:15 -07001885 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001886 .DW (1),
1887 .SWACCESS("W0C"),
1888 .RESVAL (1'h1)
1889 ) u_mio_periph_insel_regwen_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001890 .clk_i (clk_i),
1891 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001892
Michael Schaffner06fdb112021-02-10 16:52:56 -08001893 // from register interface
1894 .we (mio_periph_insel_regwen_10_we),
1895 .wd (mio_periph_insel_regwen_10_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001896
1897 // from internal hardware
1898 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001899 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001900
1901 // to internal hardware
1902 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001903 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001904
1905 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001906 .qs (mio_periph_insel_regwen_10_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001907 );
1908
Michael Schaffner06fdb112021-02-10 16:52:56 -08001909 // Subregister 11 of Multireg mio_periph_insel_regwen
1910 // R[mio_periph_insel_regwen_11]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001911
Michael Schaffnerfb801932019-10-02 10:49:15 -07001912 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001913 .DW (1),
1914 .SWACCESS("W0C"),
1915 .RESVAL (1'h1)
1916 ) u_mio_periph_insel_regwen_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001917 .clk_i (clk_i),
1918 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001919
Michael Schaffner06fdb112021-02-10 16:52:56 -08001920 // from register interface
1921 .we (mio_periph_insel_regwen_11_we),
1922 .wd (mio_periph_insel_regwen_11_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001923
1924 // from internal hardware
1925 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001926 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001927
1928 // to internal hardware
1929 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001930 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001931
1932 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001933 .qs (mio_periph_insel_regwen_11_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001934 );
1935
Michael Schaffner06fdb112021-02-10 16:52:56 -08001936 // Subregister 12 of Multireg mio_periph_insel_regwen
1937 // R[mio_periph_insel_regwen_12]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001938
Michael Schaffnerfb801932019-10-02 10:49:15 -07001939 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001940 .DW (1),
1941 .SWACCESS("W0C"),
1942 .RESVAL (1'h1)
1943 ) u_mio_periph_insel_regwen_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001944 .clk_i (clk_i),
1945 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001946
Michael Schaffner06fdb112021-02-10 16:52:56 -08001947 // from register interface
1948 .we (mio_periph_insel_regwen_12_we),
1949 .wd (mio_periph_insel_regwen_12_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001950
1951 // from internal hardware
1952 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001953 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001954
1955 // to internal hardware
1956 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001957 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001958
1959 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001960 .qs (mio_periph_insel_regwen_12_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001961 );
1962
Michael Schaffner06fdb112021-02-10 16:52:56 -08001963 // Subregister 13 of Multireg mio_periph_insel_regwen
1964 // R[mio_periph_insel_regwen_13]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001965
Michael Schaffnerfb801932019-10-02 10:49:15 -07001966 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001967 .DW (1),
1968 .SWACCESS("W0C"),
1969 .RESVAL (1'h1)
1970 ) u_mio_periph_insel_regwen_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001971 .clk_i (clk_i),
1972 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001973
Michael Schaffner06fdb112021-02-10 16:52:56 -08001974 // from register interface
1975 .we (mio_periph_insel_regwen_13_we),
1976 .wd (mio_periph_insel_regwen_13_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001977
1978 // from internal hardware
1979 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001980 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001981
1982 // to internal hardware
1983 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001984 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001985
1986 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001987 .qs (mio_periph_insel_regwen_13_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001988 );
1989
Michael Schaffner06fdb112021-02-10 16:52:56 -08001990 // Subregister 14 of Multireg mio_periph_insel_regwen
1991 // R[mio_periph_insel_regwen_14]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001992
Michael Schaffnerfb801932019-10-02 10:49:15 -07001993 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001994 .DW (1),
1995 .SWACCESS("W0C"),
1996 .RESVAL (1'h1)
1997 ) u_mio_periph_insel_regwen_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01001998 .clk_i (clk_i),
1999 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002000
Michael Schaffner06fdb112021-02-10 16:52:56 -08002001 // from register interface
2002 .we (mio_periph_insel_regwen_14_we),
2003 .wd (mio_periph_insel_regwen_14_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002004
2005 // from internal hardware
2006 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002007 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002008
2009 // to internal hardware
2010 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002011 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002012
2013 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002014 .qs (mio_periph_insel_regwen_14_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07002015 );
2016
Michael Schaffner06fdb112021-02-10 16:52:56 -08002017 // Subregister 15 of Multireg mio_periph_insel_regwen
2018 // R[mio_periph_insel_regwen_15]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07002019
Michael Schaffnerfb801932019-10-02 10:49:15 -07002020 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002021 .DW (1),
2022 .SWACCESS("W0C"),
2023 .RESVAL (1'h1)
2024 ) u_mio_periph_insel_regwen_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002025 .clk_i (clk_i),
2026 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002027
Michael Schaffner06fdb112021-02-10 16:52:56 -08002028 // from register interface
2029 .we (mio_periph_insel_regwen_15_we),
2030 .wd (mio_periph_insel_regwen_15_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002031
2032 // from internal hardware
2033 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002034 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002035
2036 // to internal hardware
2037 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002038 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002039
2040 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002041 .qs (mio_periph_insel_regwen_15_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07002042 );
2043
Michael Schaffner06fdb112021-02-10 16:52:56 -08002044 // Subregister 16 of Multireg mio_periph_insel_regwen
2045 // R[mio_periph_insel_regwen_16]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07002046
Michael Schaffnerfb801932019-10-02 10:49:15 -07002047 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002048 .DW (1),
2049 .SWACCESS("W0C"),
2050 .RESVAL (1'h1)
2051 ) u_mio_periph_insel_regwen_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002052 .clk_i (clk_i),
2053 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002054
Michael Schaffner06fdb112021-02-10 16:52:56 -08002055 // from register interface
2056 .we (mio_periph_insel_regwen_16_we),
2057 .wd (mio_periph_insel_regwen_16_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002058
2059 // from internal hardware
2060 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002061 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002062
2063 // to internal hardware
2064 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002065 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002066
2067 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002068 .qs (mio_periph_insel_regwen_16_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002069 );
2070
Michael Schaffner06fdb112021-02-10 16:52:56 -08002071 // Subregister 17 of Multireg mio_periph_insel_regwen
2072 // R[mio_periph_insel_regwen_17]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002073
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002074 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002075 .DW (1),
2076 .SWACCESS("W0C"),
2077 .RESVAL (1'h1)
2078 ) u_mio_periph_insel_regwen_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002079 .clk_i (clk_i),
2080 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002081
Michael Schaffner06fdb112021-02-10 16:52:56 -08002082 // from register interface
2083 .we (mio_periph_insel_regwen_17_we),
2084 .wd (mio_periph_insel_regwen_17_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002085
2086 // from internal hardware
2087 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002088 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002089
2090 // to internal hardware
2091 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002092 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002093
2094 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002095 .qs (mio_periph_insel_regwen_17_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002096 );
2097
Michael Schaffner06fdb112021-02-10 16:52:56 -08002098 // Subregister 18 of Multireg mio_periph_insel_regwen
2099 // R[mio_periph_insel_regwen_18]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002100
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002101 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002102 .DW (1),
2103 .SWACCESS("W0C"),
2104 .RESVAL (1'h1)
2105 ) u_mio_periph_insel_regwen_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002106 .clk_i (clk_i),
2107 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002108
Michael Schaffner06fdb112021-02-10 16:52:56 -08002109 // from register interface
2110 .we (mio_periph_insel_regwen_18_we),
2111 .wd (mio_periph_insel_regwen_18_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002112
2113 // from internal hardware
2114 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002115 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002116
2117 // to internal hardware
2118 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002119 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002120
2121 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002122 .qs (mio_periph_insel_regwen_18_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002123 );
2124
Michael Schaffner06fdb112021-02-10 16:52:56 -08002125 // Subregister 19 of Multireg mio_periph_insel_regwen
2126 // R[mio_periph_insel_regwen_19]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002127
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002128 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002129 .DW (1),
2130 .SWACCESS("W0C"),
2131 .RESVAL (1'h1)
2132 ) u_mio_periph_insel_regwen_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002133 .clk_i (clk_i),
2134 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002135
Michael Schaffner06fdb112021-02-10 16:52:56 -08002136 // from register interface
2137 .we (mio_periph_insel_regwen_19_we),
2138 .wd (mio_periph_insel_regwen_19_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002139
2140 // from internal hardware
2141 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002142 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002143
2144 // to internal hardware
2145 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002146 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002147
2148 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002149 .qs (mio_periph_insel_regwen_19_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002150 );
2151
Michael Schaffner06fdb112021-02-10 16:52:56 -08002152 // Subregister 20 of Multireg mio_periph_insel_regwen
2153 // R[mio_periph_insel_regwen_20]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002154
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002155 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002156 .DW (1),
2157 .SWACCESS("W0C"),
2158 .RESVAL (1'h1)
2159 ) u_mio_periph_insel_regwen_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002160 .clk_i (clk_i),
2161 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002162
Michael Schaffner06fdb112021-02-10 16:52:56 -08002163 // from register interface
2164 .we (mio_periph_insel_regwen_20_we),
2165 .wd (mio_periph_insel_regwen_20_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002166
2167 // from internal hardware
2168 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002169 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002170
2171 // to internal hardware
2172 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002173 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002174
2175 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002176 .qs (mio_periph_insel_regwen_20_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002177 );
2178
Michael Schaffner06fdb112021-02-10 16:52:56 -08002179 // Subregister 21 of Multireg mio_periph_insel_regwen
2180 // R[mio_periph_insel_regwen_21]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002181
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002182 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002183 .DW (1),
2184 .SWACCESS("W0C"),
2185 .RESVAL (1'h1)
2186 ) u_mio_periph_insel_regwen_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002187 .clk_i (clk_i),
2188 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002189
Michael Schaffner06fdb112021-02-10 16:52:56 -08002190 // from register interface
2191 .we (mio_periph_insel_regwen_21_we),
2192 .wd (mio_periph_insel_regwen_21_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002193
2194 // from internal hardware
2195 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002196 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002197
2198 // to internal hardware
2199 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002200 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002201
2202 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002203 .qs (mio_periph_insel_regwen_21_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002204 );
2205
Michael Schaffner06fdb112021-02-10 16:52:56 -08002206 // Subregister 22 of Multireg mio_periph_insel_regwen
2207 // R[mio_periph_insel_regwen_22]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002208
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002209 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002210 .DW (1),
2211 .SWACCESS("W0C"),
2212 .RESVAL (1'h1)
2213 ) u_mio_periph_insel_regwen_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002214 .clk_i (clk_i),
2215 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002216
Michael Schaffner06fdb112021-02-10 16:52:56 -08002217 // from register interface
2218 .we (mio_periph_insel_regwen_22_we),
2219 .wd (mio_periph_insel_regwen_22_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002220
2221 // from internal hardware
2222 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002223 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002224
2225 // to internal hardware
2226 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002227 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002228
2229 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002230 .qs (mio_periph_insel_regwen_22_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002231 );
2232
Michael Schaffner06fdb112021-02-10 16:52:56 -08002233 // Subregister 23 of Multireg mio_periph_insel_regwen
2234 // R[mio_periph_insel_regwen_23]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002235
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002236 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002237 .DW (1),
2238 .SWACCESS("W0C"),
2239 .RESVAL (1'h1)
2240 ) u_mio_periph_insel_regwen_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002241 .clk_i (clk_i),
2242 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002243
Michael Schaffner06fdb112021-02-10 16:52:56 -08002244 // from register interface
2245 .we (mio_periph_insel_regwen_23_we),
2246 .wd (mio_periph_insel_regwen_23_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002247
2248 // from internal hardware
2249 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002250 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002251
2252 // to internal hardware
2253 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002254 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002255
2256 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002257 .qs (mio_periph_insel_regwen_23_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002258 );
2259
Michael Schaffner06fdb112021-02-10 16:52:56 -08002260 // Subregister 24 of Multireg mio_periph_insel_regwen
2261 // R[mio_periph_insel_regwen_24]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002262
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002263 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002264 .DW (1),
2265 .SWACCESS("W0C"),
2266 .RESVAL (1'h1)
2267 ) u_mio_periph_insel_regwen_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002268 .clk_i (clk_i),
2269 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002270
Michael Schaffner06fdb112021-02-10 16:52:56 -08002271 // from register interface
2272 .we (mio_periph_insel_regwen_24_we),
2273 .wd (mio_periph_insel_regwen_24_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002274
2275 // from internal hardware
2276 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002277 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002278
2279 // to internal hardware
2280 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002281 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002282
2283 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002284 .qs (mio_periph_insel_regwen_24_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002285 );
2286
Michael Schaffner06fdb112021-02-10 16:52:56 -08002287 // Subregister 25 of Multireg mio_periph_insel_regwen
2288 // R[mio_periph_insel_regwen_25]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002289
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002290 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002291 .DW (1),
2292 .SWACCESS("W0C"),
2293 .RESVAL (1'h1)
2294 ) u_mio_periph_insel_regwen_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002295 .clk_i (clk_i),
2296 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002297
Michael Schaffner06fdb112021-02-10 16:52:56 -08002298 // from register interface
2299 .we (mio_periph_insel_regwen_25_we),
2300 .wd (mio_periph_insel_regwen_25_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002301
2302 // from internal hardware
2303 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002304 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002305
2306 // to internal hardware
2307 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002308 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002309
2310 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002311 .qs (mio_periph_insel_regwen_25_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002312 );
2313
Michael Schaffner06fdb112021-02-10 16:52:56 -08002314 // Subregister 26 of Multireg mio_periph_insel_regwen
2315 // R[mio_periph_insel_regwen_26]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002316
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002317 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002318 .DW (1),
2319 .SWACCESS("W0C"),
2320 .RESVAL (1'h1)
2321 ) u_mio_periph_insel_regwen_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002322 .clk_i (clk_i),
2323 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002324
Michael Schaffner06fdb112021-02-10 16:52:56 -08002325 // from register interface
2326 .we (mio_periph_insel_regwen_26_we),
2327 .wd (mio_periph_insel_regwen_26_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002328
2329 // from internal hardware
2330 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002331 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002332
2333 // to internal hardware
2334 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002335 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002336
2337 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002338 .qs (mio_periph_insel_regwen_26_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002339 );
2340
Michael Schaffner06fdb112021-02-10 16:52:56 -08002341 // Subregister 27 of Multireg mio_periph_insel_regwen
2342 // R[mio_periph_insel_regwen_27]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002343
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002344 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002345 .DW (1),
2346 .SWACCESS("W0C"),
2347 .RESVAL (1'h1)
2348 ) u_mio_periph_insel_regwen_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002349 .clk_i (clk_i),
2350 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002351
Michael Schaffner06fdb112021-02-10 16:52:56 -08002352 // from register interface
2353 .we (mio_periph_insel_regwen_27_we),
2354 .wd (mio_periph_insel_regwen_27_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002355
2356 // from internal hardware
2357 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002358 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002359
2360 // to internal hardware
2361 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002362 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002363
2364 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002365 .qs (mio_periph_insel_regwen_27_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002366 );
2367
Michael Schaffner06fdb112021-02-10 16:52:56 -08002368 // Subregister 28 of Multireg mio_periph_insel_regwen
2369 // R[mio_periph_insel_regwen_28]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002370
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002371 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002372 .DW (1),
2373 .SWACCESS("W0C"),
2374 .RESVAL (1'h1)
2375 ) u_mio_periph_insel_regwen_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002376 .clk_i (clk_i),
2377 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002378
Michael Schaffner06fdb112021-02-10 16:52:56 -08002379 // from register interface
2380 .we (mio_periph_insel_regwen_28_we),
2381 .wd (mio_periph_insel_regwen_28_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002382
2383 // from internal hardware
2384 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002385 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002386
2387 // to internal hardware
2388 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002389 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002390
2391 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002392 .qs (mio_periph_insel_regwen_28_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002393 );
2394
Michael Schaffner06fdb112021-02-10 16:52:56 -08002395 // Subregister 29 of Multireg mio_periph_insel_regwen
2396 // R[mio_periph_insel_regwen_29]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002397
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002398 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002399 .DW (1),
2400 .SWACCESS("W0C"),
2401 .RESVAL (1'h1)
2402 ) u_mio_periph_insel_regwen_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002403 .clk_i (clk_i),
2404 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002405
Michael Schaffner06fdb112021-02-10 16:52:56 -08002406 // from register interface
2407 .we (mio_periph_insel_regwen_29_we),
2408 .wd (mio_periph_insel_regwen_29_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002409
2410 // from internal hardware
2411 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002412 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002413
2414 // to internal hardware
2415 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002416 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002417
2418 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002419 .qs (mio_periph_insel_regwen_29_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002420 );
2421
Michael Schaffner06fdb112021-02-10 16:52:56 -08002422 // Subregister 30 of Multireg mio_periph_insel_regwen
2423 // R[mio_periph_insel_regwen_30]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002424
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002425 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002426 .DW (1),
2427 .SWACCESS("W0C"),
2428 .RESVAL (1'h1)
2429 ) u_mio_periph_insel_regwen_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002430 .clk_i (clk_i),
2431 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002432
Michael Schaffner06fdb112021-02-10 16:52:56 -08002433 // from register interface
2434 .we (mio_periph_insel_regwen_30_we),
2435 .wd (mio_periph_insel_regwen_30_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002436
2437 // from internal hardware
2438 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002439 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002440
2441 // to internal hardware
2442 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002443 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002444
2445 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002446 .qs (mio_periph_insel_regwen_30_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002447 );
2448
Michael Schaffner06fdb112021-02-10 16:52:56 -08002449 // Subregister 31 of Multireg mio_periph_insel_regwen
2450 // R[mio_periph_insel_regwen_31]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002451
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002452 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002453 .DW (1),
2454 .SWACCESS("W0C"),
2455 .RESVAL (1'h1)
2456 ) u_mio_periph_insel_regwen_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002457 .clk_i (clk_i),
2458 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002459
Michael Schaffner06fdb112021-02-10 16:52:56 -08002460 // from register interface
2461 .we (mio_periph_insel_regwen_31_we),
2462 .wd (mio_periph_insel_regwen_31_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002463
2464 // from internal hardware
2465 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002466 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002467
2468 // to internal hardware
2469 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002470 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002471
2472 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002473 .qs (mio_periph_insel_regwen_31_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002474 );
2475
Michael Schaffner06fdb112021-02-10 16:52:56 -08002476 // Subregister 32 of Multireg mio_periph_insel_regwen
2477 // R[mio_periph_insel_regwen_32]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002478
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002479 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002480 .DW (1),
2481 .SWACCESS("W0C"),
2482 .RESVAL (1'h1)
2483 ) u_mio_periph_insel_regwen_32 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002484 .clk_i (clk_i),
2485 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002486
Michael Schaffner06fdb112021-02-10 16:52:56 -08002487 // from register interface
2488 .we (mio_periph_insel_regwen_32_we),
2489 .wd (mio_periph_insel_regwen_32_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002490
2491 // from internal hardware
2492 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002493 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002494
2495 // to internal hardware
2496 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002497 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002498
2499 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002500 .qs (mio_periph_insel_regwen_32_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07002501 );
2502
2503
2504
Michael Schaffner06fdb112021-02-10 16:52:56 -08002505 // Subregister 0 of Multireg mio_periph_insel
2506 // R[mio_periph_insel_0]: V(False)
2507
2508 prim_subreg #(
2509 .DW (6),
2510 .SWACCESS("RW"),
2511 .RESVAL (6'h0)
2512 ) u_mio_periph_insel_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002513 .clk_i (clk_i),
2514 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002515
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002516 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002517 .we (mio_periph_insel_0_we & mio_periph_insel_regwen_0_qs),
2518 .wd (mio_periph_insel_0_wd),
2519
2520 // from internal hardware
2521 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002522 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002523
2524 // to internal hardware
2525 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002526 .q (reg2hw.mio_periph_insel[0].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002527
2528 // to register interface (read)
2529 .qs (mio_periph_insel_0_qs)
2530 );
2531
2532 // Subregister 1 of Multireg mio_periph_insel
2533 // R[mio_periph_insel_1]: V(False)
2534
2535 prim_subreg #(
2536 .DW (6),
2537 .SWACCESS("RW"),
2538 .RESVAL (6'h0)
2539 ) u_mio_periph_insel_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002540 .clk_i (clk_i),
2541 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002542
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002543 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002544 .we (mio_periph_insel_1_we & mio_periph_insel_regwen_1_qs),
2545 .wd (mio_periph_insel_1_wd),
2546
2547 // from internal hardware
2548 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002549 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002550
2551 // to internal hardware
2552 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002553 .q (reg2hw.mio_periph_insel[1].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002554
2555 // to register interface (read)
2556 .qs (mio_periph_insel_1_qs)
2557 );
2558
2559 // Subregister 2 of Multireg mio_periph_insel
2560 // R[mio_periph_insel_2]: V(False)
2561
2562 prim_subreg #(
2563 .DW (6),
2564 .SWACCESS("RW"),
2565 .RESVAL (6'h0)
2566 ) u_mio_periph_insel_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002567 .clk_i (clk_i),
2568 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002569
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002570 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002571 .we (mio_periph_insel_2_we & mio_periph_insel_regwen_2_qs),
2572 .wd (mio_periph_insel_2_wd),
2573
2574 // from internal hardware
2575 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002576 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002577
2578 // to internal hardware
2579 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002580 .q (reg2hw.mio_periph_insel[2].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002581
2582 // to register interface (read)
2583 .qs (mio_periph_insel_2_qs)
2584 );
2585
2586 // Subregister 3 of Multireg mio_periph_insel
2587 // R[mio_periph_insel_3]: V(False)
2588
2589 prim_subreg #(
2590 .DW (6),
2591 .SWACCESS("RW"),
2592 .RESVAL (6'h0)
2593 ) u_mio_periph_insel_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002594 .clk_i (clk_i),
2595 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002596
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002597 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002598 .we (mio_periph_insel_3_we & mio_periph_insel_regwen_3_qs),
2599 .wd (mio_periph_insel_3_wd),
2600
2601 // from internal hardware
2602 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002603 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002604
2605 // to internal hardware
2606 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002607 .q (reg2hw.mio_periph_insel[3].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002608
2609 // to register interface (read)
2610 .qs (mio_periph_insel_3_qs)
2611 );
2612
2613 // Subregister 4 of Multireg mio_periph_insel
2614 // R[mio_periph_insel_4]: V(False)
2615
2616 prim_subreg #(
2617 .DW (6),
2618 .SWACCESS("RW"),
2619 .RESVAL (6'h0)
2620 ) u_mio_periph_insel_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002621 .clk_i (clk_i),
2622 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002623
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002624 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002625 .we (mio_periph_insel_4_we & mio_periph_insel_regwen_4_qs),
2626 .wd (mio_periph_insel_4_wd),
2627
2628 // from internal hardware
2629 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002630 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002631
2632 // to internal hardware
2633 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002634 .q (reg2hw.mio_periph_insel[4].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002635
2636 // to register interface (read)
2637 .qs (mio_periph_insel_4_qs)
2638 );
2639
2640 // Subregister 5 of Multireg mio_periph_insel
2641 // R[mio_periph_insel_5]: V(False)
2642
2643 prim_subreg #(
2644 .DW (6),
2645 .SWACCESS("RW"),
2646 .RESVAL (6'h0)
2647 ) u_mio_periph_insel_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002648 .clk_i (clk_i),
2649 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002650
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002651 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002652 .we (mio_periph_insel_5_we & mio_periph_insel_regwen_5_qs),
2653 .wd (mio_periph_insel_5_wd),
2654
2655 // from internal hardware
2656 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002657 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002658
2659 // to internal hardware
2660 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002661 .q (reg2hw.mio_periph_insel[5].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002662
2663 // to register interface (read)
2664 .qs (mio_periph_insel_5_qs)
2665 );
2666
2667 // Subregister 6 of Multireg mio_periph_insel
2668 // R[mio_periph_insel_6]: V(False)
2669
2670 prim_subreg #(
2671 .DW (6),
2672 .SWACCESS("RW"),
2673 .RESVAL (6'h0)
2674 ) u_mio_periph_insel_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002675 .clk_i (clk_i),
2676 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002677
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002678 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002679 .we (mio_periph_insel_6_we & mio_periph_insel_regwen_6_qs),
2680 .wd (mio_periph_insel_6_wd),
2681
2682 // from internal hardware
2683 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002684 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002685
2686 // to internal hardware
2687 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002688 .q (reg2hw.mio_periph_insel[6].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002689
2690 // to register interface (read)
2691 .qs (mio_periph_insel_6_qs)
2692 );
2693
2694 // Subregister 7 of Multireg mio_periph_insel
2695 // R[mio_periph_insel_7]: V(False)
2696
2697 prim_subreg #(
2698 .DW (6),
2699 .SWACCESS("RW"),
2700 .RESVAL (6'h0)
2701 ) u_mio_periph_insel_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002702 .clk_i (clk_i),
2703 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002704
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002705 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002706 .we (mio_periph_insel_7_we & mio_periph_insel_regwen_7_qs),
2707 .wd (mio_periph_insel_7_wd),
2708
2709 // from internal hardware
2710 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002711 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002712
2713 // to internal hardware
2714 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002715 .q (reg2hw.mio_periph_insel[7].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002716
2717 // to register interface (read)
2718 .qs (mio_periph_insel_7_qs)
2719 );
2720
2721 // Subregister 8 of Multireg mio_periph_insel
2722 // R[mio_periph_insel_8]: V(False)
2723
2724 prim_subreg #(
2725 .DW (6),
2726 .SWACCESS("RW"),
2727 .RESVAL (6'h0)
2728 ) u_mio_periph_insel_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002729 .clk_i (clk_i),
2730 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002731
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002732 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002733 .we (mio_periph_insel_8_we & mio_periph_insel_regwen_8_qs),
2734 .wd (mio_periph_insel_8_wd),
2735
2736 // from internal hardware
2737 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002738 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002739
2740 // to internal hardware
2741 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002742 .q (reg2hw.mio_periph_insel[8].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002743
2744 // to register interface (read)
2745 .qs (mio_periph_insel_8_qs)
2746 );
2747
2748 // Subregister 9 of Multireg mio_periph_insel
2749 // R[mio_periph_insel_9]: V(False)
2750
2751 prim_subreg #(
2752 .DW (6),
2753 .SWACCESS("RW"),
2754 .RESVAL (6'h0)
2755 ) u_mio_periph_insel_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002756 .clk_i (clk_i),
2757 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002758
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002759 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002760 .we (mio_periph_insel_9_we & mio_periph_insel_regwen_9_qs),
2761 .wd (mio_periph_insel_9_wd),
2762
2763 // from internal hardware
2764 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002765 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002766
2767 // to internal hardware
2768 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002769 .q (reg2hw.mio_periph_insel[9].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002770
2771 // to register interface (read)
2772 .qs (mio_periph_insel_9_qs)
2773 );
2774
2775 // Subregister 10 of Multireg mio_periph_insel
2776 // R[mio_periph_insel_10]: V(False)
2777
2778 prim_subreg #(
2779 .DW (6),
2780 .SWACCESS("RW"),
2781 .RESVAL (6'h0)
2782 ) u_mio_periph_insel_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002783 .clk_i (clk_i),
2784 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002785
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002786 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002787 .we (mio_periph_insel_10_we & mio_periph_insel_regwen_10_qs),
2788 .wd (mio_periph_insel_10_wd),
2789
2790 // from internal hardware
2791 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002792 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002793
2794 // to internal hardware
2795 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002796 .q (reg2hw.mio_periph_insel[10].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002797
2798 // to register interface (read)
2799 .qs (mio_periph_insel_10_qs)
2800 );
2801
2802 // Subregister 11 of Multireg mio_periph_insel
2803 // R[mio_periph_insel_11]: V(False)
2804
2805 prim_subreg #(
2806 .DW (6),
2807 .SWACCESS("RW"),
2808 .RESVAL (6'h0)
2809 ) u_mio_periph_insel_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002810 .clk_i (clk_i),
2811 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002812
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002813 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002814 .we (mio_periph_insel_11_we & mio_periph_insel_regwen_11_qs),
2815 .wd (mio_periph_insel_11_wd),
2816
2817 // from internal hardware
2818 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002819 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002820
2821 // to internal hardware
2822 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002823 .q (reg2hw.mio_periph_insel[11].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002824
2825 // to register interface (read)
2826 .qs (mio_periph_insel_11_qs)
2827 );
2828
2829 // Subregister 12 of Multireg mio_periph_insel
2830 // R[mio_periph_insel_12]: V(False)
2831
2832 prim_subreg #(
2833 .DW (6),
2834 .SWACCESS("RW"),
2835 .RESVAL (6'h0)
2836 ) u_mio_periph_insel_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002837 .clk_i (clk_i),
2838 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002839
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002840 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002841 .we (mio_periph_insel_12_we & mio_periph_insel_regwen_12_qs),
2842 .wd (mio_periph_insel_12_wd),
2843
2844 // from internal hardware
2845 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002846 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002847
2848 // to internal hardware
2849 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002850 .q (reg2hw.mio_periph_insel[12].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002851
2852 // to register interface (read)
2853 .qs (mio_periph_insel_12_qs)
2854 );
2855
2856 // Subregister 13 of Multireg mio_periph_insel
2857 // R[mio_periph_insel_13]: V(False)
2858
2859 prim_subreg #(
2860 .DW (6),
2861 .SWACCESS("RW"),
2862 .RESVAL (6'h0)
2863 ) u_mio_periph_insel_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002864 .clk_i (clk_i),
2865 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002866
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002867 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002868 .we (mio_periph_insel_13_we & mio_periph_insel_regwen_13_qs),
2869 .wd (mio_periph_insel_13_wd),
2870
2871 // from internal hardware
2872 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002873 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002874
2875 // to internal hardware
2876 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002877 .q (reg2hw.mio_periph_insel[13].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002878
2879 // to register interface (read)
2880 .qs (mio_periph_insel_13_qs)
2881 );
2882
2883 // Subregister 14 of Multireg mio_periph_insel
2884 // R[mio_periph_insel_14]: V(False)
2885
2886 prim_subreg #(
2887 .DW (6),
2888 .SWACCESS("RW"),
2889 .RESVAL (6'h0)
2890 ) u_mio_periph_insel_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002891 .clk_i (clk_i),
2892 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002893
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002894 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002895 .we (mio_periph_insel_14_we & mio_periph_insel_regwen_14_qs),
2896 .wd (mio_periph_insel_14_wd),
2897
2898 // from internal hardware
2899 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002900 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002901
2902 // to internal hardware
2903 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002904 .q (reg2hw.mio_periph_insel[14].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002905
2906 // to register interface (read)
2907 .qs (mio_periph_insel_14_qs)
2908 );
2909
2910 // Subregister 15 of Multireg mio_periph_insel
2911 // R[mio_periph_insel_15]: V(False)
2912
2913 prim_subreg #(
2914 .DW (6),
2915 .SWACCESS("RW"),
2916 .RESVAL (6'h0)
2917 ) u_mio_periph_insel_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002918 .clk_i (clk_i),
2919 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002920
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002921 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002922 .we (mio_periph_insel_15_we & mio_periph_insel_regwen_15_qs),
2923 .wd (mio_periph_insel_15_wd),
2924
2925 // from internal hardware
2926 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002927 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002928
2929 // to internal hardware
2930 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002931 .q (reg2hw.mio_periph_insel[15].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002932
2933 // to register interface (read)
2934 .qs (mio_periph_insel_15_qs)
2935 );
2936
2937 // Subregister 16 of Multireg mio_periph_insel
2938 // R[mio_periph_insel_16]: V(False)
2939
2940 prim_subreg #(
2941 .DW (6),
2942 .SWACCESS("RW"),
2943 .RESVAL (6'h0)
2944 ) u_mio_periph_insel_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002945 .clk_i (clk_i),
2946 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002947
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002948 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002949 .we (mio_periph_insel_16_we & mio_periph_insel_regwen_16_qs),
2950 .wd (mio_periph_insel_16_wd),
2951
2952 // from internal hardware
2953 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002954 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002955
2956 // to internal hardware
2957 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002958 .q (reg2hw.mio_periph_insel[16].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002959
2960 // to register interface (read)
2961 .qs (mio_periph_insel_16_qs)
2962 );
2963
2964 // Subregister 17 of Multireg mio_periph_insel
2965 // R[mio_periph_insel_17]: V(False)
2966
2967 prim_subreg #(
2968 .DW (6),
2969 .SWACCESS("RW"),
2970 .RESVAL (6'h0)
2971 ) u_mio_periph_insel_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002972 .clk_i (clk_i),
2973 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002974
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002975 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08002976 .we (mio_periph_insel_17_we & mio_periph_insel_regwen_17_qs),
2977 .wd (mio_periph_insel_17_wd),
2978
2979 // from internal hardware
2980 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002981 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002982
2983 // to internal hardware
2984 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002985 .q (reg2hw.mio_periph_insel[17].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002986
2987 // to register interface (read)
2988 .qs (mio_periph_insel_17_qs)
2989 );
2990
2991 // Subregister 18 of Multireg mio_periph_insel
2992 // R[mio_periph_insel_18]: V(False)
2993
2994 prim_subreg #(
2995 .DW (6),
2996 .SWACCESS("RW"),
2997 .RESVAL (6'h0)
2998 ) u_mio_periph_insel_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01002999 .clk_i (clk_i),
3000 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003001
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003002 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003003 .we (mio_periph_insel_18_we & mio_periph_insel_regwen_18_qs),
3004 .wd (mio_periph_insel_18_wd),
3005
3006 // from internal hardware
3007 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003008 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003009
3010 // to internal hardware
3011 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003012 .q (reg2hw.mio_periph_insel[18].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003013
3014 // to register interface (read)
3015 .qs (mio_periph_insel_18_qs)
3016 );
3017
3018 // Subregister 19 of Multireg mio_periph_insel
3019 // R[mio_periph_insel_19]: V(False)
3020
3021 prim_subreg #(
3022 .DW (6),
3023 .SWACCESS("RW"),
3024 .RESVAL (6'h0)
3025 ) u_mio_periph_insel_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003026 .clk_i (clk_i),
3027 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003028
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003029 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003030 .we (mio_periph_insel_19_we & mio_periph_insel_regwen_19_qs),
3031 .wd (mio_periph_insel_19_wd),
3032
3033 // from internal hardware
3034 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003035 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003036
3037 // to internal hardware
3038 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003039 .q (reg2hw.mio_periph_insel[19].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003040
3041 // to register interface (read)
3042 .qs (mio_periph_insel_19_qs)
3043 );
3044
3045 // Subregister 20 of Multireg mio_periph_insel
3046 // R[mio_periph_insel_20]: V(False)
3047
3048 prim_subreg #(
3049 .DW (6),
3050 .SWACCESS("RW"),
3051 .RESVAL (6'h0)
3052 ) u_mio_periph_insel_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003053 .clk_i (clk_i),
3054 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003055
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003056 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003057 .we (mio_periph_insel_20_we & mio_periph_insel_regwen_20_qs),
3058 .wd (mio_periph_insel_20_wd),
3059
3060 // from internal hardware
3061 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003062 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003063
3064 // to internal hardware
3065 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003066 .q (reg2hw.mio_periph_insel[20].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003067
3068 // to register interface (read)
3069 .qs (mio_periph_insel_20_qs)
3070 );
3071
3072 // Subregister 21 of Multireg mio_periph_insel
3073 // R[mio_periph_insel_21]: V(False)
3074
3075 prim_subreg #(
3076 .DW (6),
3077 .SWACCESS("RW"),
3078 .RESVAL (6'h0)
3079 ) u_mio_periph_insel_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003080 .clk_i (clk_i),
3081 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003082
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003083 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003084 .we (mio_periph_insel_21_we & mio_periph_insel_regwen_21_qs),
3085 .wd (mio_periph_insel_21_wd),
3086
3087 // from internal hardware
3088 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003089 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003090
3091 // to internal hardware
3092 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003093 .q (reg2hw.mio_periph_insel[21].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003094
3095 // to register interface (read)
3096 .qs (mio_periph_insel_21_qs)
3097 );
3098
3099 // Subregister 22 of Multireg mio_periph_insel
3100 // R[mio_periph_insel_22]: V(False)
3101
3102 prim_subreg #(
3103 .DW (6),
3104 .SWACCESS("RW"),
3105 .RESVAL (6'h0)
3106 ) u_mio_periph_insel_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003107 .clk_i (clk_i),
3108 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003109
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003110 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003111 .we (mio_periph_insel_22_we & mio_periph_insel_regwen_22_qs),
3112 .wd (mio_periph_insel_22_wd),
3113
3114 // from internal hardware
3115 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003116 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003117
3118 // to internal hardware
3119 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003120 .q (reg2hw.mio_periph_insel[22].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003121
3122 // to register interface (read)
3123 .qs (mio_periph_insel_22_qs)
3124 );
3125
3126 // Subregister 23 of Multireg mio_periph_insel
3127 // R[mio_periph_insel_23]: V(False)
3128
3129 prim_subreg #(
3130 .DW (6),
3131 .SWACCESS("RW"),
3132 .RESVAL (6'h0)
3133 ) u_mio_periph_insel_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003134 .clk_i (clk_i),
3135 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003136
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003137 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003138 .we (mio_periph_insel_23_we & mio_periph_insel_regwen_23_qs),
3139 .wd (mio_periph_insel_23_wd),
3140
3141 // from internal hardware
3142 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003143 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003144
3145 // to internal hardware
3146 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003147 .q (reg2hw.mio_periph_insel[23].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003148
3149 // to register interface (read)
3150 .qs (mio_periph_insel_23_qs)
3151 );
3152
3153 // Subregister 24 of Multireg mio_periph_insel
3154 // R[mio_periph_insel_24]: V(False)
3155
3156 prim_subreg #(
3157 .DW (6),
3158 .SWACCESS("RW"),
3159 .RESVAL (6'h0)
3160 ) u_mio_periph_insel_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003161 .clk_i (clk_i),
3162 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003163
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003164 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003165 .we (mio_periph_insel_24_we & mio_periph_insel_regwen_24_qs),
3166 .wd (mio_periph_insel_24_wd),
3167
3168 // from internal hardware
3169 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003170 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003171
3172 // to internal hardware
3173 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003174 .q (reg2hw.mio_periph_insel[24].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003175
3176 // to register interface (read)
3177 .qs (mio_periph_insel_24_qs)
3178 );
3179
3180 // Subregister 25 of Multireg mio_periph_insel
3181 // R[mio_periph_insel_25]: V(False)
3182
3183 prim_subreg #(
3184 .DW (6),
3185 .SWACCESS("RW"),
3186 .RESVAL (6'h0)
3187 ) u_mio_periph_insel_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003188 .clk_i (clk_i),
3189 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003190
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003191 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003192 .we (mio_periph_insel_25_we & mio_periph_insel_regwen_25_qs),
3193 .wd (mio_periph_insel_25_wd),
3194
3195 // from internal hardware
3196 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003197 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003198
3199 // to internal hardware
3200 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003201 .q (reg2hw.mio_periph_insel[25].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003202
3203 // to register interface (read)
3204 .qs (mio_periph_insel_25_qs)
3205 );
3206
3207 // Subregister 26 of Multireg mio_periph_insel
3208 // R[mio_periph_insel_26]: V(False)
3209
3210 prim_subreg #(
3211 .DW (6),
3212 .SWACCESS("RW"),
3213 .RESVAL (6'h0)
3214 ) u_mio_periph_insel_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003215 .clk_i (clk_i),
3216 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003217
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003218 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003219 .we (mio_periph_insel_26_we & mio_periph_insel_regwen_26_qs),
3220 .wd (mio_periph_insel_26_wd),
3221
3222 // from internal hardware
3223 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003224 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003225
3226 // to internal hardware
3227 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003228 .q (reg2hw.mio_periph_insel[26].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003229
3230 // to register interface (read)
3231 .qs (mio_periph_insel_26_qs)
3232 );
3233
3234 // Subregister 27 of Multireg mio_periph_insel
3235 // R[mio_periph_insel_27]: V(False)
3236
3237 prim_subreg #(
3238 .DW (6),
3239 .SWACCESS("RW"),
3240 .RESVAL (6'h0)
3241 ) u_mio_periph_insel_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003242 .clk_i (clk_i),
3243 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003244
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003245 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003246 .we (mio_periph_insel_27_we & mio_periph_insel_regwen_27_qs),
3247 .wd (mio_periph_insel_27_wd),
3248
3249 // from internal hardware
3250 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003251 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003252
3253 // to internal hardware
3254 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003255 .q (reg2hw.mio_periph_insel[27].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003256
3257 // to register interface (read)
3258 .qs (mio_periph_insel_27_qs)
3259 );
3260
3261 // Subregister 28 of Multireg mio_periph_insel
3262 // R[mio_periph_insel_28]: V(False)
3263
3264 prim_subreg #(
3265 .DW (6),
3266 .SWACCESS("RW"),
3267 .RESVAL (6'h0)
3268 ) u_mio_periph_insel_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003269 .clk_i (clk_i),
3270 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003271
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003272 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003273 .we (mio_periph_insel_28_we & mio_periph_insel_regwen_28_qs),
3274 .wd (mio_periph_insel_28_wd),
3275
3276 // from internal hardware
3277 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003278 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003279
3280 // to internal hardware
3281 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003282 .q (reg2hw.mio_periph_insel[28].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003283
3284 // to register interface (read)
3285 .qs (mio_periph_insel_28_qs)
3286 );
3287
3288 // Subregister 29 of Multireg mio_periph_insel
3289 // R[mio_periph_insel_29]: V(False)
3290
3291 prim_subreg #(
3292 .DW (6),
3293 .SWACCESS("RW"),
3294 .RESVAL (6'h0)
3295 ) u_mio_periph_insel_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003296 .clk_i (clk_i),
3297 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003298
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003299 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003300 .we (mio_periph_insel_29_we & mio_periph_insel_regwen_29_qs),
3301 .wd (mio_periph_insel_29_wd),
3302
3303 // from internal hardware
3304 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003305 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003306
3307 // to internal hardware
3308 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003309 .q (reg2hw.mio_periph_insel[29].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003310
3311 // to register interface (read)
3312 .qs (mio_periph_insel_29_qs)
3313 );
3314
3315 // Subregister 30 of Multireg mio_periph_insel
3316 // R[mio_periph_insel_30]: V(False)
3317
3318 prim_subreg #(
3319 .DW (6),
3320 .SWACCESS("RW"),
3321 .RESVAL (6'h0)
3322 ) u_mio_periph_insel_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003323 .clk_i (clk_i),
3324 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003325
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003326 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003327 .we (mio_periph_insel_30_we & mio_periph_insel_regwen_30_qs),
3328 .wd (mio_periph_insel_30_wd),
3329
3330 // from internal hardware
3331 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003332 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003333
3334 // to internal hardware
3335 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003336 .q (reg2hw.mio_periph_insel[30].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003337
3338 // to register interface (read)
3339 .qs (mio_periph_insel_30_qs)
3340 );
3341
3342 // Subregister 31 of Multireg mio_periph_insel
3343 // R[mio_periph_insel_31]: V(False)
3344
3345 prim_subreg #(
3346 .DW (6),
3347 .SWACCESS("RW"),
3348 .RESVAL (6'h0)
3349 ) u_mio_periph_insel_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003350 .clk_i (clk_i),
3351 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003352
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003353 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003354 .we (mio_periph_insel_31_we & mio_periph_insel_regwen_31_qs),
3355 .wd (mio_periph_insel_31_wd),
3356
3357 // from internal hardware
3358 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003359 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003360
3361 // to internal hardware
3362 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003363 .q (reg2hw.mio_periph_insel[31].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003364
3365 // to register interface (read)
3366 .qs (mio_periph_insel_31_qs)
3367 );
3368
3369 // Subregister 32 of Multireg mio_periph_insel
3370 // R[mio_periph_insel_32]: V(False)
3371
3372 prim_subreg #(
3373 .DW (6),
3374 .SWACCESS("RW"),
3375 .RESVAL (6'h0)
3376 ) u_mio_periph_insel_32 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003377 .clk_i (clk_i),
3378 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003379
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003380 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08003381 .we (mio_periph_insel_32_we & mio_periph_insel_regwen_32_qs),
3382 .wd (mio_periph_insel_32_wd),
3383
3384 // from internal hardware
3385 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003386 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003387
3388 // to internal hardware
3389 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003390 .q (reg2hw.mio_periph_insel[32].q),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003391
3392 // to register interface (read)
3393 .qs (mio_periph_insel_32_qs)
3394 );
3395
3396
3397
3398 // Subregister 0 of Multireg mio_outsel_regwen
3399 // R[mio_outsel_regwen_0]: V(False)
3400
3401 prim_subreg #(
3402 .DW (1),
3403 .SWACCESS("W0C"),
3404 .RESVAL (1'h1)
3405 ) u_mio_outsel_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003406 .clk_i (clk_i),
3407 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003408
3409 // from register interface
3410 .we (mio_outsel_regwen_0_we),
3411 .wd (mio_outsel_regwen_0_wd),
3412
3413 // from internal hardware
3414 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003415 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003416
3417 // to internal hardware
3418 .qe (),
3419 .q (),
3420
3421 // to register interface (read)
3422 .qs (mio_outsel_regwen_0_qs)
3423 );
3424
3425 // Subregister 1 of Multireg mio_outsel_regwen
3426 // R[mio_outsel_regwen_1]: V(False)
3427
3428 prim_subreg #(
3429 .DW (1),
3430 .SWACCESS("W0C"),
3431 .RESVAL (1'h1)
3432 ) u_mio_outsel_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003433 .clk_i (clk_i),
3434 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003435
3436 // from register interface
3437 .we (mio_outsel_regwen_1_we),
3438 .wd (mio_outsel_regwen_1_wd),
3439
3440 // from internal hardware
3441 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003442 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003443
3444 // to internal hardware
3445 .qe (),
3446 .q (),
3447
3448 // to register interface (read)
3449 .qs (mio_outsel_regwen_1_qs)
3450 );
3451
3452 // Subregister 2 of Multireg mio_outsel_regwen
3453 // R[mio_outsel_regwen_2]: V(False)
3454
3455 prim_subreg #(
3456 .DW (1),
3457 .SWACCESS("W0C"),
3458 .RESVAL (1'h1)
3459 ) u_mio_outsel_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003460 .clk_i (clk_i),
3461 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003462
3463 // from register interface
3464 .we (mio_outsel_regwen_2_we),
3465 .wd (mio_outsel_regwen_2_wd),
3466
3467 // from internal hardware
3468 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003469 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003470
3471 // to internal hardware
3472 .qe (),
3473 .q (),
3474
3475 // to register interface (read)
3476 .qs (mio_outsel_regwen_2_qs)
3477 );
3478
3479 // Subregister 3 of Multireg mio_outsel_regwen
3480 // R[mio_outsel_regwen_3]: V(False)
3481
3482 prim_subreg #(
3483 .DW (1),
3484 .SWACCESS("W0C"),
3485 .RESVAL (1'h1)
3486 ) u_mio_outsel_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003487 .clk_i (clk_i),
3488 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003489
3490 // from register interface
3491 .we (mio_outsel_regwen_3_we),
3492 .wd (mio_outsel_regwen_3_wd),
3493
3494 // from internal hardware
3495 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003496 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003497
3498 // to internal hardware
3499 .qe (),
3500 .q (),
3501
3502 // to register interface (read)
3503 .qs (mio_outsel_regwen_3_qs)
3504 );
3505
3506 // Subregister 4 of Multireg mio_outsel_regwen
3507 // R[mio_outsel_regwen_4]: V(False)
3508
3509 prim_subreg #(
3510 .DW (1),
3511 .SWACCESS("W0C"),
3512 .RESVAL (1'h1)
3513 ) u_mio_outsel_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003514 .clk_i (clk_i),
3515 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003516
3517 // from register interface
3518 .we (mio_outsel_regwen_4_we),
3519 .wd (mio_outsel_regwen_4_wd),
3520
3521 // from internal hardware
3522 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003523 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003524
3525 // to internal hardware
3526 .qe (),
3527 .q (),
3528
3529 // to register interface (read)
3530 .qs (mio_outsel_regwen_4_qs)
3531 );
3532
3533 // Subregister 5 of Multireg mio_outsel_regwen
3534 // R[mio_outsel_regwen_5]: V(False)
3535
3536 prim_subreg #(
3537 .DW (1),
3538 .SWACCESS("W0C"),
3539 .RESVAL (1'h1)
3540 ) u_mio_outsel_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003541 .clk_i (clk_i),
3542 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003543
3544 // from register interface
3545 .we (mio_outsel_regwen_5_we),
3546 .wd (mio_outsel_regwen_5_wd),
3547
3548 // from internal hardware
3549 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003550 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003551
3552 // to internal hardware
3553 .qe (),
3554 .q (),
3555
3556 // to register interface (read)
3557 .qs (mio_outsel_regwen_5_qs)
3558 );
3559
3560 // Subregister 6 of Multireg mio_outsel_regwen
3561 // R[mio_outsel_regwen_6]: V(False)
3562
3563 prim_subreg #(
3564 .DW (1),
3565 .SWACCESS("W0C"),
3566 .RESVAL (1'h1)
3567 ) u_mio_outsel_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003568 .clk_i (clk_i),
3569 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003570
3571 // from register interface
3572 .we (mio_outsel_regwen_6_we),
3573 .wd (mio_outsel_regwen_6_wd),
3574
3575 // from internal hardware
3576 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003577 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003578
3579 // to internal hardware
3580 .qe (),
3581 .q (),
3582
3583 // to register interface (read)
3584 .qs (mio_outsel_regwen_6_qs)
3585 );
3586
3587 // Subregister 7 of Multireg mio_outsel_regwen
3588 // R[mio_outsel_regwen_7]: V(False)
3589
3590 prim_subreg #(
3591 .DW (1),
3592 .SWACCESS("W0C"),
3593 .RESVAL (1'h1)
3594 ) u_mio_outsel_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003595 .clk_i (clk_i),
3596 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003597
3598 // from register interface
3599 .we (mio_outsel_regwen_7_we),
3600 .wd (mio_outsel_regwen_7_wd),
3601
3602 // from internal hardware
3603 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003604 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003605
3606 // to internal hardware
3607 .qe (),
3608 .q (),
3609
3610 // to register interface (read)
3611 .qs (mio_outsel_regwen_7_qs)
3612 );
3613
3614 // Subregister 8 of Multireg mio_outsel_regwen
3615 // R[mio_outsel_regwen_8]: V(False)
3616
3617 prim_subreg #(
3618 .DW (1),
3619 .SWACCESS("W0C"),
3620 .RESVAL (1'h1)
3621 ) u_mio_outsel_regwen_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003622 .clk_i (clk_i),
3623 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003624
3625 // from register interface
3626 .we (mio_outsel_regwen_8_we),
3627 .wd (mio_outsel_regwen_8_wd),
3628
3629 // from internal hardware
3630 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003631 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003632
3633 // to internal hardware
3634 .qe (),
3635 .q (),
3636
3637 // to register interface (read)
3638 .qs (mio_outsel_regwen_8_qs)
3639 );
3640
3641 // Subregister 9 of Multireg mio_outsel_regwen
3642 // R[mio_outsel_regwen_9]: V(False)
3643
3644 prim_subreg #(
3645 .DW (1),
3646 .SWACCESS("W0C"),
3647 .RESVAL (1'h1)
3648 ) u_mio_outsel_regwen_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003649 .clk_i (clk_i),
3650 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003651
3652 // from register interface
3653 .we (mio_outsel_regwen_9_we),
3654 .wd (mio_outsel_regwen_9_wd),
3655
3656 // from internal hardware
3657 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003658 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003659
3660 // to internal hardware
3661 .qe (),
3662 .q (),
3663
3664 // to register interface (read)
3665 .qs (mio_outsel_regwen_9_qs)
3666 );
3667
3668 // Subregister 10 of Multireg mio_outsel_regwen
3669 // R[mio_outsel_regwen_10]: V(False)
3670
3671 prim_subreg #(
3672 .DW (1),
3673 .SWACCESS("W0C"),
3674 .RESVAL (1'h1)
3675 ) u_mio_outsel_regwen_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003676 .clk_i (clk_i),
3677 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003678
3679 // from register interface
3680 .we (mio_outsel_regwen_10_we),
3681 .wd (mio_outsel_regwen_10_wd),
3682
3683 // from internal hardware
3684 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003685 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003686
3687 // to internal hardware
3688 .qe (),
3689 .q (),
3690
3691 // to register interface (read)
3692 .qs (mio_outsel_regwen_10_qs)
3693 );
3694
3695 // Subregister 11 of Multireg mio_outsel_regwen
3696 // R[mio_outsel_regwen_11]: V(False)
3697
3698 prim_subreg #(
3699 .DW (1),
3700 .SWACCESS("W0C"),
3701 .RESVAL (1'h1)
3702 ) u_mio_outsel_regwen_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003703 .clk_i (clk_i),
3704 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003705
3706 // from register interface
3707 .we (mio_outsel_regwen_11_we),
3708 .wd (mio_outsel_regwen_11_wd),
3709
3710 // from internal hardware
3711 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003712 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003713
3714 // to internal hardware
3715 .qe (),
3716 .q (),
3717
3718 // to register interface (read)
3719 .qs (mio_outsel_regwen_11_qs)
3720 );
3721
3722 // Subregister 12 of Multireg mio_outsel_regwen
3723 // R[mio_outsel_regwen_12]: V(False)
3724
3725 prim_subreg #(
3726 .DW (1),
3727 .SWACCESS("W0C"),
3728 .RESVAL (1'h1)
3729 ) u_mio_outsel_regwen_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003730 .clk_i (clk_i),
3731 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003732
3733 // from register interface
3734 .we (mio_outsel_regwen_12_we),
3735 .wd (mio_outsel_regwen_12_wd),
3736
3737 // from internal hardware
3738 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003739 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003740
3741 // to internal hardware
3742 .qe (),
3743 .q (),
3744
3745 // to register interface (read)
3746 .qs (mio_outsel_regwen_12_qs)
3747 );
3748
3749 // Subregister 13 of Multireg mio_outsel_regwen
3750 // R[mio_outsel_regwen_13]: V(False)
3751
3752 prim_subreg #(
3753 .DW (1),
3754 .SWACCESS("W0C"),
3755 .RESVAL (1'h1)
3756 ) u_mio_outsel_regwen_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003757 .clk_i (clk_i),
3758 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003759
3760 // from register interface
3761 .we (mio_outsel_regwen_13_we),
3762 .wd (mio_outsel_regwen_13_wd),
3763
3764 // from internal hardware
3765 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003766 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003767
3768 // to internal hardware
3769 .qe (),
3770 .q (),
3771
3772 // to register interface (read)
3773 .qs (mio_outsel_regwen_13_qs)
3774 );
3775
3776 // Subregister 14 of Multireg mio_outsel_regwen
3777 // R[mio_outsel_regwen_14]: V(False)
3778
3779 prim_subreg #(
3780 .DW (1),
3781 .SWACCESS("W0C"),
3782 .RESVAL (1'h1)
3783 ) u_mio_outsel_regwen_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003784 .clk_i (clk_i),
3785 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003786
3787 // from register interface
3788 .we (mio_outsel_regwen_14_we),
3789 .wd (mio_outsel_regwen_14_wd),
3790
3791 // from internal hardware
3792 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003793 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003794
3795 // to internal hardware
3796 .qe (),
3797 .q (),
3798
3799 // to register interface (read)
3800 .qs (mio_outsel_regwen_14_qs)
3801 );
3802
3803 // Subregister 15 of Multireg mio_outsel_regwen
3804 // R[mio_outsel_regwen_15]: V(False)
3805
3806 prim_subreg #(
3807 .DW (1),
3808 .SWACCESS("W0C"),
3809 .RESVAL (1'h1)
3810 ) u_mio_outsel_regwen_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003811 .clk_i (clk_i),
3812 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003813
3814 // from register interface
3815 .we (mio_outsel_regwen_15_we),
3816 .wd (mio_outsel_regwen_15_wd),
3817
3818 // from internal hardware
3819 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003820 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003821
3822 // to internal hardware
3823 .qe (),
3824 .q (),
3825
3826 // to register interface (read)
3827 .qs (mio_outsel_regwen_15_qs)
3828 );
3829
3830 // Subregister 16 of Multireg mio_outsel_regwen
3831 // R[mio_outsel_regwen_16]: V(False)
3832
3833 prim_subreg #(
3834 .DW (1),
3835 .SWACCESS("W0C"),
3836 .RESVAL (1'h1)
3837 ) u_mio_outsel_regwen_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003838 .clk_i (clk_i),
3839 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003840
3841 // from register interface
3842 .we (mio_outsel_regwen_16_we),
3843 .wd (mio_outsel_regwen_16_wd),
3844
3845 // from internal hardware
3846 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003847 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003848
3849 // to internal hardware
3850 .qe (),
3851 .q (),
3852
3853 // to register interface (read)
3854 .qs (mio_outsel_regwen_16_qs)
3855 );
3856
3857 // Subregister 17 of Multireg mio_outsel_regwen
3858 // R[mio_outsel_regwen_17]: V(False)
3859
3860 prim_subreg #(
3861 .DW (1),
3862 .SWACCESS("W0C"),
3863 .RESVAL (1'h1)
3864 ) u_mio_outsel_regwen_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003865 .clk_i (clk_i),
3866 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003867
3868 // from register interface
3869 .we (mio_outsel_regwen_17_we),
3870 .wd (mio_outsel_regwen_17_wd),
3871
3872 // from internal hardware
3873 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003874 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003875
3876 // to internal hardware
3877 .qe (),
3878 .q (),
3879
3880 // to register interface (read)
3881 .qs (mio_outsel_regwen_17_qs)
3882 );
3883
3884 // Subregister 18 of Multireg mio_outsel_regwen
3885 // R[mio_outsel_regwen_18]: V(False)
3886
3887 prim_subreg #(
3888 .DW (1),
3889 .SWACCESS("W0C"),
3890 .RESVAL (1'h1)
3891 ) u_mio_outsel_regwen_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003892 .clk_i (clk_i),
3893 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003894
3895 // from register interface
3896 .we (mio_outsel_regwen_18_we),
3897 .wd (mio_outsel_regwen_18_wd),
3898
3899 // from internal hardware
3900 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003901 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003902
3903 // to internal hardware
3904 .qe (),
3905 .q (),
3906
3907 // to register interface (read)
3908 .qs (mio_outsel_regwen_18_qs)
3909 );
3910
3911 // Subregister 19 of Multireg mio_outsel_regwen
3912 // R[mio_outsel_regwen_19]: V(False)
3913
3914 prim_subreg #(
3915 .DW (1),
3916 .SWACCESS("W0C"),
3917 .RESVAL (1'h1)
3918 ) u_mio_outsel_regwen_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003919 .clk_i (clk_i),
3920 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003921
3922 // from register interface
3923 .we (mio_outsel_regwen_19_we),
3924 .wd (mio_outsel_regwen_19_wd),
3925
3926 // from internal hardware
3927 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003928 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003929
3930 // to internal hardware
3931 .qe (),
3932 .q (),
3933
3934 // to register interface (read)
3935 .qs (mio_outsel_regwen_19_qs)
3936 );
3937
3938 // Subregister 20 of Multireg mio_outsel_regwen
3939 // R[mio_outsel_regwen_20]: V(False)
3940
3941 prim_subreg #(
3942 .DW (1),
3943 .SWACCESS("W0C"),
3944 .RESVAL (1'h1)
3945 ) u_mio_outsel_regwen_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003946 .clk_i (clk_i),
3947 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003948
3949 // from register interface
3950 .we (mio_outsel_regwen_20_we),
3951 .wd (mio_outsel_regwen_20_wd),
3952
3953 // from internal hardware
3954 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003955 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003956
3957 // to internal hardware
3958 .qe (),
3959 .q (),
3960
3961 // to register interface (read)
3962 .qs (mio_outsel_regwen_20_qs)
3963 );
3964
3965 // Subregister 21 of Multireg mio_outsel_regwen
3966 // R[mio_outsel_regwen_21]: V(False)
3967
3968 prim_subreg #(
3969 .DW (1),
3970 .SWACCESS("W0C"),
3971 .RESVAL (1'h1)
3972 ) u_mio_outsel_regwen_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003973 .clk_i (clk_i),
3974 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003975
3976 // from register interface
3977 .we (mio_outsel_regwen_21_we),
3978 .wd (mio_outsel_regwen_21_wd),
3979
3980 // from internal hardware
3981 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01003982 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08003983
3984 // to internal hardware
3985 .qe (),
3986 .q (),
3987
3988 // to register interface (read)
3989 .qs (mio_outsel_regwen_21_qs)
3990 );
3991
3992 // Subregister 22 of Multireg mio_outsel_regwen
3993 // R[mio_outsel_regwen_22]: V(False)
3994
3995 prim_subreg #(
3996 .DW (1),
3997 .SWACCESS("W0C"),
3998 .RESVAL (1'h1)
3999 ) u_mio_outsel_regwen_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004000 .clk_i (clk_i),
4001 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004002
4003 // from register interface
4004 .we (mio_outsel_regwen_22_we),
4005 .wd (mio_outsel_regwen_22_wd),
4006
4007 // from internal hardware
4008 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004009 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004010
4011 // to internal hardware
4012 .qe (),
4013 .q (),
4014
4015 // to register interface (read)
4016 .qs (mio_outsel_regwen_22_qs)
4017 );
4018
4019 // Subregister 23 of Multireg mio_outsel_regwen
4020 // R[mio_outsel_regwen_23]: V(False)
4021
4022 prim_subreg #(
4023 .DW (1),
4024 .SWACCESS("W0C"),
4025 .RESVAL (1'h1)
4026 ) u_mio_outsel_regwen_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004027 .clk_i (clk_i),
4028 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004029
4030 // from register interface
4031 .we (mio_outsel_regwen_23_we),
4032 .wd (mio_outsel_regwen_23_wd),
4033
4034 // from internal hardware
4035 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004036 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004037
4038 // to internal hardware
4039 .qe (),
4040 .q (),
4041
4042 // to register interface (read)
4043 .qs (mio_outsel_regwen_23_qs)
4044 );
4045
4046 // Subregister 24 of Multireg mio_outsel_regwen
4047 // R[mio_outsel_regwen_24]: V(False)
4048
4049 prim_subreg #(
4050 .DW (1),
4051 .SWACCESS("W0C"),
4052 .RESVAL (1'h1)
4053 ) u_mio_outsel_regwen_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004054 .clk_i (clk_i),
4055 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004056
4057 // from register interface
4058 .we (mio_outsel_regwen_24_we),
4059 .wd (mio_outsel_regwen_24_wd),
4060
4061 // from internal hardware
4062 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004063 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004064
4065 // to internal hardware
4066 .qe (),
4067 .q (),
4068
4069 // to register interface (read)
4070 .qs (mio_outsel_regwen_24_qs)
4071 );
4072
4073 // Subregister 25 of Multireg mio_outsel_regwen
4074 // R[mio_outsel_regwen_25]: V(False)
4075
4076 prim_subreg #(
4077 .DW (1),
4078 .SWACCESS("W0C"),
4079 .RESVAL (1'h1)
4080 ) u_mio_outsel_regwen_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004081 .clk_i (clk_i),
4082 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004083
4084 // from register interface
4085 .we (mio_outsel_regwen_25_we),
4086 .wd (mio_outsel_regwen_25_wd),
4087
4088 // from internal hardware
4089 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004090 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004091
4092 // to internal hardware
4093 .qe (),
4094 .q (),
4095
4096 // to register interface (read)
4097 .qs (mio_outsel_regwen_25_qs)
4098 );
4099
4100 // Subregister 26 of Multireg mio_outsel_regwen
4101 // R[mio_outsel_regwen_26]: V(False)
4102
4103 prim_subreg #(
4104 .DW (1),
4105 .SWACCESS("W0C"),
4106 .RESVAL (1'h1)
4107 ) u_mio_outsel_regwen_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004108 .clk_i (clk_i),
4109 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004110
4111 // from register interface
4112 .we (mio_outsel_regwen_26_we),
4113 .wd (mio_outsel_regwen_26_wd),
4114
4115 // from internal hardware
4116 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004117 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004118
4119 // to internal hardware
4120 .qe (),
4121 .q (),
4122
4123 // to register interface (read)
4124 .qs (mio_outsel_regwen_26_qs)
4125 );
4126
4127 // Subregister 27 of Multireg mio_outsel_regwen
4128 // R[mio_outsel_regwen_27]: V(False)
4129
4130 prim_subreg #(
4131 .DW (1),
4132 .SWACCESS("W0C"),
4133 .RESVAL (1'h1)
4134 ) u_mio_outsel_regwen_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004135 .clk_i (clk_i),
4136 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004137
4138 // from register interface
4139 .we (mio_outsel_regwen_27_we),
4140 .wd (mio_outsel_regwen_27_wd),
4141
4142 // from internal hardware
4143 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004144 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004145
4146 // to internal hardware
4147 .qe (),
4148 .q (),
4149
4150 // to register interface (read)
4151 .qs (mio_outsel_regwen_27_qs)
4152 );
4153
4154 // Subregister 28 of Multireg mio_outsel_regwen
4155 // R[mio_outsel_regwen_28]: V(False)
4156
4157 prim_subreg #(
4158 .DW (1),
4159 .SWACCESS("W0C"),
4160 .RESVAL (1'h1)
4161 ) u_mio_outsel_regwen_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004162 .clk_i (clk_i),
4163 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004164
4165 // from register interface
4166 .we (mio_outsel_regwen_28_we),
4167 .wd (mio_outsel_regwen_28_wd),
4168
4169 // from internal hardware
4170 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004171 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004172
4173 // to internal hardware
4174 .qe (),
4175 .q (),
4176
4177 // to register interface (read)
4178 .qs (mio_outsel_regwen_28_qs)
4179 );
4180
4181 // Subregister 29 of Multireg mio_outsel_regwen
4182 // R[mio_outsel_regwen_29]: V(False)
4183
4184 prim_subreg #(
4185 .DW (1),
4186 .SWACCESS("W0C"),
4187 .RESVAL (1'h1)
4188 ) u_mio_outsel_regwen_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004189 .clk_i (clk_i),
4190 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004191
4192 // from register interface
4193 .we (mio_outsel_regwen_29_we),
4194 .wd (mio_outsel_regwen_29_wd),
4195
4196 // from internal hardware
4197 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004198 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004199
4200 // to internal hardware
4201 .qe (),
4202 .q (),
4203
4204 // to register interface (read)
4205 .qs (mio_outsel_regwen_29_qs)
4206 );
4207
4208 // Subregister 30 of Multireg mio_outsel_regwen
4209 // R[mio_outsel_regwen_30]: V(False)
4210
4211 prim_subreg #(
4212 .DW (1),
4213 .SWACCESS("W0C"),
4214 .RESVAL (1'h1)
4215 ) u_mio_outsel_regwen_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004216 .clk_i (clk_i),
4217 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004218
4219 // from register interface
4220 .we (mio_outsel_regwen_30_we),
4221 .wd (mio_outsel_regwen_30_wd),
4222
4223 // from internal hardware
4224 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004225 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004226
4227 // to internal hardware
4228 .qe (),
4229 .q (),
4230
4231 // to register interface (read)
4232 .qs (mio_outsel_regwen_30_qs)
4233 );
4234
4235 // Subregister 31 of Multireg mio_outsel_regwen
4236 // R[mio_outsel_regwen_31]: V(False)
4237
4238 prim_subreg #(
4239 .DW (1),
4240 .SWACCESS("W0C"),
4241 .RESVAL (1'h1)
4242 ) u_mio_outsel_regwen_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004243 .clk_i (clk_i),
4244 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004245
4246 // from register interface
4247 .we (mio_outsel_regwen_31_we),
4248 .wd (mio_outsel_regwen_31_wd),
4249
4250 // from internal hardware
4251 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004252 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08004253
4254 // to internal hardware
4255 .qe (),
4256 .q (),
4257
4258 // to register interface (read)
4259 .qs (mio_outsel_regwen_31_qs)
4260 );
4261
4262
Michael Schaffner51c61442019-10-01 15:49:10 -07004263
4264 // Subregister 0 of Multireg mio_outsel
Pirmin Vogel4ec676e2020-08-05 08:33:06 +02004265 // R[mio_outsel_0]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004266
Michael Schaffner51c61442019-10-01 15:49:10 -07004267 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004268 .DW (6),
Michael Schaffner51c61442019-10-01 15:49:10 -07004269 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004270 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004271 ) u_mio_outsel_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004272 .clk_i (clk_i),
4273 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07004274
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004275 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004276 .we (mio_outsel_0_we & mio_outsel_regwen_0_qs),
4277 .wd (mio_outsel_0_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07004278
4279 // from internal hardware
4280 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004281 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07004282
4283 // to internal hardware
4284 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004285 .q (reg2hw.mio_outsel[0].q),
Michael Schaffner51c61442019-10-01 15:49:10 -07004286
4287 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004288 .qs (mio_outsel_0_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07004289 );
4290
Michael Schaffner06fdb112021-02-10 16:52:56 -08004291 // Subregister 1 of Multireg mio_outsel
4292 // R[mio_outsel_1]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004293
Michael Schaffner51c61442019-10-01 15:49:10 -07004294 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004295 .DW (6),
Michael Schaffner51c61442019-10-01 15:49:10 -07004296 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004297 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004298 ) u_mio_outsel_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004299 .clk_i (clk_i),
4300 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07004301
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004302 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004303 .we (mio_outsel_1_we & mio_outsel_regwen_1_qs),
4304 .wd (mio_outsel_1_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07004305
4306 // from internal hardware
4307 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004308 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07004309
4310 // to internal hardware
4311 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004312 .q (reg2hw.mio_outsel[1].q),
Michael Schaffner51c61442019-10-01 15:49:10 -07004313
4314 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004315 .qs (mio_outsel_1_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07004316 );
4317
Michael Schaffner06fdb112021-02-10 16:52:56 -08004318 // Subregister 2 of Multireg mio_outsel
4319 // R[mio_outsel_2]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004320
Michael Schaffner51c61442019-10-01 15:49:10 -07004321 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004322 .DW (6),
Michael Schaffner51c61442019-10-01 15:49:10 -07004323 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004324 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004325 ) u_mio_outsel_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004326 .clk_i (clk_i),
4327 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07004328
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004329 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004330 .we (mio_outsel_2_we & mio_outsel_regwen_2_qs),
4331 .wd (mio_outsel_2_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07004332
4333 // from internal hardware
4334 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004335 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07004336
4337 // to internal hardware
4338 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004339 .q (reg2hw.mio_outsel[2].q),
Michael Schaffner51c61442019-10-01 15:49:10 -07004340
4341 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004342 .qs (mio_outsel_2_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07004343 );
4344
Michael Schaffner06fdb112021-02-10 16:52:56 -08004345 // Subregister 3 of Multireg mio_outsel
4346 // R[mio_outsel_3]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004347
Michael Schaffner51c61442019-10-01 15:49:10 -07004348 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004349 .DW (6),
Michael Schaffner51c61442019-10-01 15:49:10 -07004350 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004351 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004352 ) u_mio_outsel_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004353 .clk_i (clk_i),
4354 .rst_ni (rst_ni),
Michael Schaffner51c61442019-10-01 15:49:10 -07004355
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004356 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004357 .we (mio_outsel_3_we & mio_outsel_regwen_3_qs),
4358 .wd (mio_outsel_3_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07004359
4360 // from internal hardware
4361 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004362 .d ('0),
Michael Schaffner51c61442019-10-01 15:49:10 -07004363
4364 // to internal hardware
4365 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004366 .q (reg2hw.mio_outsel[3].q),
Michael Schaffner51c61442019-10-01 15:49:10 -07004367
4368 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004369 .qs (mio_outsel_3_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07004370 );
4371
Michael Schaffner06fdb112021-02-10 16:52:56 -08004372 // Subregister 4 of Multireg mio_outsel
4373 // R[mio_outsel_4]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004374
Michael Schaffnerfb801932019-10-02 10:49:15 -07004375 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004376 .DW (6),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004377 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004378 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004379 ) u_mio_outsel_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004380 .clk_i (clk_i),
4381 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004382
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004383 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004384 .we (mio_outsel_4_we & mio_outsel_regwen_4_qs),
4385 .wd (mio_outsel_4_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004386
4387 // from internal hardware
4388 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004389 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004390
4391 // to internal hardware
4392 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004393 .q (reg2hw.mio_outsel[4].q),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004394
4395 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004396 .qs (mio_outsel_4_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004397 );
4398
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004399 // Subregister 5 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004400 // R[mio_outsel_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004401
Michael Schaffnerfb801932019-10-02 10:49:15 -07004402 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004403 .DW (6),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004404 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004405 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004406 ) u_mio_outsel_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004407 .clk_i (clk_i),
4408 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004409
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004410 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004411 .we (mio_outsel_5_we & mio_outsel_regwen_5_qs),
4412 .wd (mio_outsel_5_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004413
4414 // from internal hardware
4415 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004416 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004417
4418 // to internal hardware
4419 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004420 .q (reg2hw.mio_outsel[5].q),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004421
4422 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004423 .qs (mio_outsel_5_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004424 );
4425
Michael Schaffner06fdb112021-02-10 16:52:56 -08004426 // Subregister 6 of Multireg mio_outsel
4427 // R[mio_outsel_6]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004428
Michael Schaffnerfb801932019-10-02 10:49:15 -07004429 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004430 .DW (6),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004431 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004432 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004433 ) u_mio_outsel_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004434 .clk_i (clk_i),
4435 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004436
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004437 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004438 .we (mio_outsel_6_we & mio_outsel_regwen_6_qs),
4439 .wd (mio_outsel_6_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004440
4441 // from internal hardware
4442 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004443 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004444
4445 // to internal hardware
4446 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004447 .q (reg2hw.mio_outsel[6].q),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004448
4449 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004450 .qs (mio_outsel_6_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004451 );
4452
Michael Schaffner06fdb112021-02-10 16:52:56 -08004453 // Subregister 7 of Multireg mio_outsel
4454 // R[mio_outsel_7]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004455
Michael Schaffnerfb801932019-10-02 10:49:15 -07004456 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004457 .DW (6),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004458 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004459 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004460 ) u_mio_outsel_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004461 .clk_i (clk_i),
4462 .rst_ni (rst_ni),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004463
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004464 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004465 .we (mio_outsel_7_we & mio_outsel_regwen_7_qs),
4466 .wd (mio_outsel_7_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004467
4468 // from internal hardware
4469 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004470 .d ('0),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004471
4472 // to internal hardware
4473 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004474 .q (reg2hw.mio_outsel[7].q),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004475
4476 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004477 .qs (mio_outsel_7_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004478 );
Michael Schaffner51c61442019-10-01 15:49:10 -07004479
Michael Schaffner06fdb112021-02-10 16:52:56 -08004480 // Subregister 8 of Multireg mio_outsel
4481 // R[mio_outsel_8]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004482
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004483 prim_subreg #(
4484 .DW (6),
4485 .SWACCESS("RW"),
4486 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004487 ) u_mio_outsel_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004488 .clk_i (clk_i),
4489 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004490
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004491 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004492 .we (mio_outsel_8_we & mio_outsel_regwen_8_qs),
4493 .wd (mio_outsel_8_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004494
4495 // from internal hardware
4496 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004497 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004498
4499 // to internal hardware
4500 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004501 .q (reg2hw.mio_outsel[8].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004502
4503 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004504 .qs (mio_outsel_8_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004505 );
4506
Michael Schaffner06fdb112021-02-10 16:52:56 -08004507 // Subregister 9 of Multireg mio_outsel
4508 // R[mio_outsel_9]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004509
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004510 prim_subreg #(
4511 .DW (6),
4512 .SWACCESS("RW"),
4513 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004514 ) u_mio_outsel_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004515 .clk_i (clk_i),
4516 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004517
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004518 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004519 .we (mio_outsel_9_we & mio_outsel_regwen_9_qs),
4520 .wd (mio_outsel_9_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004521
4522 // from internal hardware
4523 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004524 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004525
4526 // to internal hardware
4527 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004528 .q (reg2hw.mio_outsel[9].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004529
4530 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004531 .qs (mio_outsel_9_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004532 );
4533
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004534 // Subregister 10 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004535 // R[mio_outsel_10]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004536
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004537 prim_subreg #(
4538 .DW (6),
4539 .SWACCESS("RW"),
4540 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004541 ) u_mio_outsel_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004542 .clk_i (clk_i),
4543 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004544
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004545 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004546 .we (mio_outsel_10_we & mio_outsel_regwen_10_qs),
4547 .wd (mio_outsel_10_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004548
4549 // from internal hardware
4550 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004551 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004552
4553 // to internal hardware
4554 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004555 .q (reg2hw.mio_outsel[10].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004556
4557 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004558 .qs (mio_outsel_10_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004559 );
4560
Michael Schaffner06fdb112021-02-10 16:52:56 -08004561 // Subregister 11 of Multireg mio_outsel
4562 // R[mio_outsel_11]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004563
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004564 prim_subreg #(
4565 .DW (6),
4566 .SWACCESS("RW"),
4567 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004568 ) u_mio_outsel_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004569 .clk_i (clk_i),
4570 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004571
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004572 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004573 .we (mio_outsel_11_we & mio_outsel_regwen_11_qs),
4574 .wd (mio_outsel_11_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004575
4576 // from internal hardware
4577 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004578 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004579
4580 // to internal hardware
4581 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004582 .q (reg2hw.mio_outsel[11].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004583
4584 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004585 .qs (mio_outsel_11_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004586 );
4587
Michael Schaffner06fdb112021-02-10 16:52:56 -08004588 // Subregister 12 of Multireg mio_outsel
4589 // R[mio_outsel_12]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004590
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004591 prim_subreg #(
4592 .DW (6),
4593 .SWACCESS("RW"),
4594 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004595 ) u_mio_outsel_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004596 .clk_i (clk_i),
4597 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004598
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004599 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004600 .we (mio_outsel_12_we & mio_outsel_regwen_12_qs),
4601 .wd (mio_outsel_12_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004602
4603 // from internal hardware
4604 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004605 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004606
4607 // to internal hardware
4608 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004609 .q (reg2hw.mio_outsel[12].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004610
4611 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004612 .qs (mio_outsel_12_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004613 );
4614
Michael Schaffner06fdb112021-02-10 16:52:56 -08004615 // Subregister 13 of Multireg mio_outsel
4616 // R[mio_outsel_13]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004617
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004618 prim_subreg #(
4619 .DW (6),
4620 .SWACCESS("RW"),
4621 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004622 ) u_mio_outsel_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004623 .clk_i (clk_i),
4624 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004625
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004626 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004627 .we (mio_outsel_13_we & mio_outsel_regwen_13_qs),
4628 .wd (mio_outsel_13_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004629
4630 // from internal hardware
4631 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004632 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004633
4634 // to internal hardware
4635 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004636 .q (reg2hw.mio_outsel[13].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004637
4638 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004639 .qs (mio_outsel_13_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004640 );
4641
Michael Schaffner06fdb112021-02-10 16:52:56 -08004642 // Subregister 14 of Multireg mio_outsel
4643 // R[mio_outsel_14]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004644
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004645 prim_subreg #(
4646 .DW (6),
4647 .SWACCESS("RW"),
4648 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004649 ) u_mio_outsel_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004650 .clk_i (clk_i),
4651 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004652
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004653 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004654 .we (mio_outsel_14_we & mio_outsel_regwen_14_qs),
4655 .wd (mio_outsel_14_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004656
4657 // from internal hardware
4658 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004659 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004660
4661 // to internal hardware
4662 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004663 .q (reg2hw.mio_outsel[14].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004664
4665 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004666 .qs (mio_outsel_14_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004667 );
4668
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004669 // Subregister 15 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004670 // R[mio_outsel_15]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004671
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004672 prim_subreg #(
4673 .DW (6),
4674 .SWACCESS("RW"),
4675 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004676 ) u_mio_outsel_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004677 .clk_i (clk_i),
4678 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004679
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004680 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004681 .we (mio_outsel_15_we & mio_outsel_regwen_15_qs),
4682 .wd (mio_outsel_15_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004683
4684 // from internal hardware
4685 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004686 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004687
4688 // to internal hardware
4689 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004690 .q (reg2hw.mio_outsel[15].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004691
4692 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004693 .qs (mio_outsel_15_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004694 );
4695
Michael Schaffner06fdb112021-02-10 16:52:56 -08004696 // Subregister 16 of Multireg mio_outsel
4697 // R[mio_outsel_16]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004698
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004699 prim_subreg #(
4700 .DW (6),
4701 .SWACCESS("RW"),
4702 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004703 ) u_mio_outsel_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004704 .clk_i (clk_i),
4705 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004706
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004707 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004708 .we (mio_outsel_16_we & mio_outsel_regwen_16_qs),
4709 .wd (mio_outsel_16_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004710
4711 // from internal hardware
4712 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004713 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004714
4715 // to internal hardware
4716 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004717 .q (reg2hw.mio_outsel[16].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004718
4719 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004720 .qs (mio_outsel_16_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004721 );
4722
Michael Schaffner06fdb112021-02-10 16:52:56 -08004723 // Subregister 17 of Multireg mio_outsel
4724 // R[mio_outsel_17]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004725
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004726 prim_subreg #(
4727 .DW (6),
4728 .SWACCESS("RW"),
4729 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004730 ) u_mio_outsel_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004731 .clk_i (clk_i),
4732 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004733
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004734 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004735 .we (mio_outsel_17_we & mio_outsel_regwen_17_qs),
4736 .wd (mio_outsel_17_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004737
4738 // from internal hardware
4739 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004740 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004741
4742 // to internal hardware
4743 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004744 .q (reg2hw.mio_outsel[17].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004745
4746 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004747 .qs (mio_outsel_17_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004748 );
4749
Michael Schaffner06fdb112021-02-10 16:52:56 -08004750 // Subregister 18 of Multireg mio_outsel
4751 // R[mio_outsel_18]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004752
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004753 prim_subreg #(
4754 .DW (6),
4755 .SWACCESS("RW"),
4756 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004757 ) u_mio_outsel_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004758 .clk_i (clk_i),
4759 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004760
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004761 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004762 .we (mio_outsel_18_we & mio_outsel_regwen_18_qs),
4763 .wd (mio_outsel_18_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004764
4765 // from internal hardware
4766 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004767 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004768
4769 // to internal hardware
4770 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004771 .q (reg2hw.mio_outsel[18].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004772
4773 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004774 .qs (mio_outsel_18_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004775 );
4776
Michael Schaffner06fdb112021-02-10 16:52:56 -08004777 // Subregister 19 of Multireg mio_outsel
4778 // R[mio_outsel_19]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004779
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004780 prim_subreg #(
4781 .DW (6),
4782 .SWACCESS("RW"),
4783 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004784 ) u_mio_outsel_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004785 .clk_i (clk_i),
4786 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004787
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004788 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004789 .we (mio_outsel_19_we & mio_outsel_regwen_19_qs),
4790 .wd (mio_outsel_19_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004791
4792 // from internal hardware
4793 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004794 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004795
4796 // to internal hardware
4797 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004798 .q (reg2hw.mio_outsel[19].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004799
4800 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004801 .qs (mio_outsel_19_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004802 );
4803
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004804 // Subregister 20 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004805 // R[mio_outsel_20]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004806
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004807 prim_subreg #(
4808 .DW (6),
4809 .SWACCESS("RW"),
4810 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004811 ) u_mio_outsel_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004812 .clk_i (clk_i),
4813 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004814
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004815 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004816 .we (mio_outsel_20_we & mio_outsel_regwen_20_qs),
4817 .wd (mio_outsel_20_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004818
4819 // from internal hardware
4820 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004821 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004822
4823 // to internal hardware
4824 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004825 .q (reg2hw.mio_outsel[20].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004826
4827 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004828 .qs (mio_outsel_20_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004829 );
4830
Michael Schaffner06fdb112021-02-10 16:52:56 -08004831 // Subregister 21 of Multireg mio_outsel
4832 // R[mio_outsel_21]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004833
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004834 prim_subreg #(
4835 .DW (6),
4836 .SWACCESS("RW"),
4837 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004838 ) u_mio_outsel_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004839 .clk_i (clk_i),
4840 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004841
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004842 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004843 .we (mio_outsel_21_we & mio_outsel_regwen_21_qs),
4844 .wd (mio_outsel_21_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004845
4846 // from internal hardware
4847 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004848 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004849
4850 // to internal hardware
4851 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004852 .q (reg2hw.mio_outsel[21].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004853
4854 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004855 .qs (mio_outsel_21_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004856 );
4857
Michael Schaffner06fdb112021-02-10 16:52:56 -08004858 // Subregister 22 of Multireg mio_outsel
4859 // R[mio_outsel_22]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004860
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004861 prim_subreg #(
4862 .DW (6),
4863 .SWACCESS("RW"),
4864 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004865 ) u_mio_outsel_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004866 .clk_i (clk_i),
4867 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004868
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004869 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004870 .we (mio_outsel_22_we & mio_outsel_regwen_22_qs),
4871 .wd (mio_outsel_22_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004872
4873 // from internal hardware
4874 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004875 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004876
4877 // to internal hardware
4878 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004879 .q (reg2hw.mio_outsel[22].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004880
4881 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004882 .qs (mio_outsel_22_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004883 );
4884
Michael Schaffner06fdb112021-02-10 16:52:56 -08004885 // Subregister 23 of Multireg mio_outsel
4886 // R[mio_outsel_23]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004887
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004888 prim_subreg #(
4889 .DW (6),
4890 .SWACCESS("RW"),
4891 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004892 ) u_mio_outsel_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004893 .clk_i (clk_i),
4894 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004895
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004896 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004897 .we (mio_outsel_23_we & mio_outsel_regwen_23_qs),
4898 .wd (mio_outsel_23_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004899
4900 // from internal hardware
4901 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004902 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004903
4904 // to internal hardware
4905 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004906 .q (reg2hw.mio_outsel[23].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004907
4908 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004909 .qs (mio_outsel_23_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004910 );
4911
Michael Schaffner06fdb112021-02-10 16:52:56 -08004912 // Subregister 24 of Multireg mio_outsel
4913 // R[mio_outsel_24]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004914
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004915 prim_subreg #(
4916 .DW (6),
4917 .SWACCESS("RW"),
4918 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004919 ) u_mio_outsel_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004920 .clk_i (clk_i),
4921 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004922
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004923 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004924 .we (mio_outsel_24_we & mio_outsel_regwen_24_qs),
4925 .wd (mio_outsel_24_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004926
4927 // from internal hardware
4928 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004929 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004930
4931 // to internal hardware
4932 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004933 .q (reg2hw.mio_outsel[24].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004934
4935 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004936 .qs (mio_outsel_24_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004937 );
4938
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004939 // Subregister 25 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004940 // R[mio_outsel_25]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004941
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004942 prim_subreg #(
4943 .DW (6),
4944 .SWACCESS("RW"),
4945 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004946 ) u_mio_outsel_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004947 .clk_i (clk_i),
4948 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004949
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004950 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004951 .we (mio_outsel_25_we & mio_outsel_regwen_25_qs),
4952 .wd (mio_outsel_25_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004953
4954 // from internal hardware
4955 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004956 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004957
4958 // to internal hardware
4959 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004960 .q (reg2hw.mio_outsel[25].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004961
4962 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004963 .qs (mio_outsel_25_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004964 );
4965
Michael Schaffner06fdb112021-02-10 16:52:56 -08004966 // Subregister 26 of Multireg mio_outsel
4967 // R[mio_outsel_26]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004968
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004969 prim_subreg #(
4970 .DW (6),
4971 .SWACCESS("RW"),
4972 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004973 ) u_mio_outsel_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004974 .clk_i (clk_i),
4975 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004976
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004977 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08004978 .we (mio_outsel_26_we & mio_outsel_regwen_26_qs),
4979 .wd (mio_outsel_26_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004980
4981 // from internal hardware
4982 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004983 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004984
4985 // to internal hardware
4986 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01004987 .q (reg2hw.mio_outsel[26].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004988
4989 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004990 .qs (mio_outsel_26_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004991 );
4992
Michael Schaffner06fdb112021-02-10 16:52:56 -08004993 // Subregister 27 of Multireg mio_outsel
4994 // R[mio_outsel_27]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004995
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004996 prim_subreg #(
4997 .DW (6),
4998 .SWACCESS("RW"),
4999 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005000 ) u_mio_outsel_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005001 .clk_i (clk_i),
5002 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005003
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005004 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08005005 .we (mio_outsel_27_we & mio_outsel_regwen_27_qs),
5006 .wd (mio_outsel_27_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005007
5008 // from internal hardware
5009 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005010 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005011
5012 // to internal hardware
5013 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005014 .q (reg2hw.mio_outsel[27].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005015
5016 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005017 .qs (mio_outsel_27_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005018 );
5019
Michael Schaffner06fdb112021-02-10 16:52:56 -08005020 // Subregister 28 of Multireg mio_outsel
5021 // R[mio_outsel_28]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005022
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005023 prim_subreg #(
5024 .DW (6),
5025 .SWACCESS("RW"),
5026 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005027 ) u_mio_outsel_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005028 .clk_i (clk_i),
5029 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005030
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005031 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08005032 .we (mio_outsel_28_we & mio_outsel_regwen_28_qs),
5033 .wd (mio_outsel_28_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005034
5035 // from internal hardware
5036 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005037 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005038
5039 // to internal hardware
5040 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005041 .q (reg2hw.mio_outsel[28].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005042
5043 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005044 .qs (mio_outsel_28_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005045 );
5046
Michael Schaffner06fdb112021-02-10 16:52:56 -08005047 // Subregister 29 of Multireg mio_outsel
5048 // R[mio_outsel_29]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005049
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005050 prim_subreg #(
5051 .DW (6),
5052 .SWACCESS("RW"),
5053 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005054 ) u_mio_outsel_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005055 .clk_i (clk_i),
5056 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005057
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005058 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08005059 .we (mio_outsel_29_we & mio_outsel_regwen_29_qs),
5060 .wd (mio_outsel_29_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005061
5062 // from internal hardware
5063 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005064 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005065
5066 // to internal hardware
5067 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005068 .q (reg2hw.mio_outsel[29].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005069
5070 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005071 .qs (mio_outsel_29_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005072 );
5073
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005074 // Subregister 30 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08005075 // R[mio_outsel_30]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005076
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005077 prim_subreg #(
5078 .DW (6),
5079 .SWACCESS("RW"),
5080 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005081 ) u_mio_outsel_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005082 .clk_i (clk_i),
5083 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005084
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005085 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08005086 .we (mio_outsel_30_we & mio_outsel_regwen_30_qs),
5087 .wd (mio_outsel_30_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005088
5089 // from internal hardware
5090 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005091 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005092
5093 // to internal hardware
5094 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005095 .q (reg2hw.mio_outsel[30].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005096
5097 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005098 .qs (mio_outsel_30_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005099 );
5100
Michael Schaffner06fdb112021-02-10 16:52:56 -08005101 // Subregister 31 of Multireg mio_outsel
5102 // R[mio_outsel_31]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005103
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005104 prim_subreg #(
5105 .DW (6),
5106 .SWACCESS("RW"),
5107 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005108 ) u_mio_outsel_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005109 .clk_i (clk_i),
5110 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005111
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005112 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08005113 .we (mio_outsel_31_we & mio_outsel_regwen_31_qs),
5114 .wd (mio_outsel_31_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005115
5116 // from internal hardware
5117 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005118 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005119
5120 // to internal hardware
5121 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005122 .q (reg2hw.mio_outsel[31].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005123
5124 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005125 .qs (mio_outsel_31_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005126 );
Michael Schaffner51c61442019-10-01 15:49:10 -07005127
Michael Schaffnerfb801932019-10-02 10:49:15 -07005128
5129
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005130 // Subregister 0 of Multireg mio_pad_attr_regwen
5131 // R[mio_pad_attr_regwen_0]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005132
5133 prim_subreg #(
5134 .DW (1),
5135 .SWACCESS("W0C"),
5136 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005137 ) u_mio_pad_attr_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005138 .clk_i (clk_i),
5139 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005140
5141 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005142 .we (mio_pad_attr_regwen_0_we),
5143 .wd (mio_pad_attr_regwen_0_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005144
5145 // from internal hardware
5146 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005147 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005148
5149 // to internal hardware
5150 .qe (),
5151 .q (),
5152
5153 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005154 .qs (mio_pad_attr_regwen_0_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005155 );
5156
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005157 // Subregister 1 of Multireg mio_pad_attr_regwen
5158 // R[mio_pad_attr_regwen_1]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005159
5160 prim_subreg #(
5161 .DW (1),
5162 .SWACCESS("W0C"),
5163 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005164 ) u_mio_pad_attr_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005165 .clk_i (clk_i),
5166 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005167
5168 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005169 .we (mio_pad_attr_regwen_1_we),
5170 .wd (mio_pad_attr_regwen_1_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005171
5172 // from internal hardware
5173 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005174 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005175
5176 // to internal hardware
5177 .qe (),
5178 .q (),
5179
5180 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005181 .qs (mio_pad_attr_regwen_1_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005182 );
5183
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005184 // Subregister 2 of Multireg mio_pad_attr_regwen
5185 // R[mio_pad_attr_regwen_2]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005186
5187 prim_subreg #(
5188 .DW (1),
5189 .SWACCESS("W0C"),
5190 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005191 ) u_mio_pad_attr_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005192 .clk_i (clk_i),
5193 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005194
5195 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005196 .we (mio_pad_attr_regwen_2_we),
5197 .wd (mio_pad_attr_regwen_2_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005198
5199 // from internal hardware
5200 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005201 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005202
5203 // to internal hardware
5204 .qe (),
5205 .q (),
5206
5207 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005208 .qs (mio_pad_attr_regwen_2_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005209 );
5210
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005211 // Subregister 3 of Multireg mio_pad_attr_regwen
5212 // R[mio_pad_attr_regwen_3]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005213
5214 prim_subreg #(
5215 .DW (1),
5216 .SWACCESS("W0C"),
5217 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005218 ) u_mio_pad_attr_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005219 .clk_i (clk_i),
5220 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005221
5222 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005223 .we (mio_pad_attr_regwen_3_we),
5224 .wd (mio_pad_attr_regwen_3_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005225
5226 // from internal hardware
5227 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005228 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005229
5230 // to internal hardware
5231 .qe (),
5232 .q (),
5233
5234 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005235 .qs (mio_pad_attr_regwen_3_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005236 );
5237
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005238 // Subregister 4 of Multireg mio_pad_attr_regwen
5239 // R[mio_pad_attr_regwen_4]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005240
5241 prim_subreg #(
5242 .DW (1),
5243 .SWACCESS("W0C"),
5244 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005245 ) u_mio_pad_attr_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005246 .clk_i (clk_i),
5247 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005248
5249 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005250 .we (mio_pad_attr_regwen_4_we),
5251 .wd (mio_pad_attr_regwen_4_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005252
5253 // from internal hardware
5254 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005255 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005256
5257 // to internal hardware
5258 .qe (),
5259 .q (),
5260
5261 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005262 .qs (mio_pad_attr_regwen_4_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005263 );
5264
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005265 // Subregister 5 of Multireg mio_pad_attr_regwen
5266 // R[mio_pad_attr_regwen_5]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005267
5268 prim_subreg #(
5269 .DW (1),
5270 .SWACCESS("W0C"),
5271 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005272 ) u_mio_pad_attr_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005273 .clk_i (clk_i),
5274 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005275
5276 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005277 .we (mio_pad_attr_regwen_5_we),
5278 .wd (mio_pad_attr_regwen_5_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005279
5280 // from internal hardware
5281 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005282 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005283
5284 // to internal hardware
5285 .qe (),
5286 .q (),
5287
5288 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005289 .qs (mio_pad_attr_regwen_5_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005290 );
5291
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005292 // Subregister 6 of Multireg mio_pad_attr_regwen
5293 // R[mio_pad_attr_regwen_6]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005294
5295 prim_subreg #(
5296 .DW (1),
5297 .SWACCESS("W0C"),
5298 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005299 ) u_mio_pad_attr_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005300 .clk_i (clk_i),
5301 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005302
5303 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005304 .we (mio_pad_attr_regwen_6_we),
5305 .wd (mio_pad_attr_regwen_6_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005306
5307 // from internal hardware
5308 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005309 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005310
5311 // to internal hardware
5312 .qe (),
5313 .q (),
5314
5315 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005316 .qs (mio_pad_attr_regwen_6_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005317 );
5318
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005319 // Subregister 7 of Multireg mio_pad_attr_regwen
5320 // R[mio_pad_attr_regwen_7]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005321
5322 prim_subreg #(
5323 .DW (1),
5324 .SWACCESS("W0C"),
5325 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005326 ) u_mio_pad_attr_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005327 .clk_i (clk_i),
5328 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005329
5330 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005331 .we (mio_pad_attr_regwen_7_we),
5332 .wd (mio_pad_attr_regwen_7_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005333
5334 // from internal hardware
5335 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005336 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005337
5338 // to internal hardware
5339 .qe (),
5340 .q (),
5341
5342 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005343 .qs (mio_pad_attr_regwen_7_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005344 );
5345
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005346 // Subregister 8 of Multireg mio_pad_attr_regwen
5347 // R[mio_pad_attr_regwen_8]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005348
5349 prim_subreg #(
5350 .DW (1),
5351 .SWACCESS("W0C"),
5352 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005353 ) u_mio_pad_attr_regwen_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005354 .clk_i (clk_i),
5355 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005356
5357 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005358 .we (mio_pad_attr_regwen_8_we),
5359 .wd (mio_pad_attr_regwen_8_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005360
5361 // from internal hardware
5362 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005363 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005364
5365 // to internal hardware
5366 .qe (),
5367 .q (),
5368
5369 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005370 .qs (mio_pad_attr_regwen_8_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005371 );
5372
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005373 // Subregister 9 of Multireg mio_pad_attr_regwen
5374 // R[mio_pad_attr_regwen_9]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005375
5376 prim_subreg #(
5377 .DW (1),
5378 .SWACCESS("W0C"),
5379 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005380 ) u_mio_pad_attr_regwen_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005381 .clk_i (clk_i),
5382 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005383
5384 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005385 .we (mio_pad_attr_regwen_9_we),
5386 .wd (mio_pad_attr_regwen_9_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005387
5388 // from internal hardware
5389 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005390 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005391
5392 // to internal hardware
5393 .qe (),
5394 .q (),
5395
5396 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005397 .qs (mio_pad_attr_regwen_9_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005398 );
5399
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005400 // Subregister 10 of Multireg mio_pad_attr_regwen
5401 // R[mio_pad_attr_regwen_10]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005402
5403 prim_subreg #(
5404 .DW (1),
5405 .SWACCESS("W0C"),
5406 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005407 ) u_mio_pad_attr_regwen_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005408 .clk_i (clk_i),
5409 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005410
5411 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005412 .we (mio_pad_attr_regwen_10_we),
5413 .wd (mio_pad_attr_regwen_10_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005414
5415 // from internal hardware
5416 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005417 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005418
5419 // to internal hardware
5420 .qe (),
5421 .q (),
5422
5423 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005424 .qs (mio_pad_attr_regwen_10_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005425 );
5426
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005427 // Subregister 11 of Multireg mio_pad_attr_regwen
5428 // R[mio_pad_attr_regwen_11]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005429
5430 prim_subreg #(
5431 .DW (1),
5432 .SWACCESS("W0C"),
5433 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005434 ) u_mio_pad_attr_regwen_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005435 .clk_i (clk_i),
5436 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005437
5438 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005439 .we (mio_pad_attr_regwen_11_we),
5440 .wd (mio_pad_attr_regwen_11_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005441
5442 // from internal hardware
5443 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005444 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005445
5446 // to internal hardware
5447 .qe (),
5448 .q (),
5449
5450 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005451 .qs (mio_pad_attr_regwen_11_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005452 );
5453
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005454 // Subregister 12 of Multireg mio_pad_attr_regwen
5455 // R[mio_pad_attr_regwen_12]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005456
5457 prim_subreg #(
5458 .DW (1),
5459 .SWACCESS("W0C"),
5460 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005461 ) u_mio_pad_attr_regwen_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005462 .clk_i (clk_i),
5463 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005464
5465 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005466 .we (mio_pad_attr_regwen_12_we),
5467 .wd (mio_pad_attr_regwen_12_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005468
5469 // from internal hardware
5470 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005471 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005472
5473 // to internal hardware
5474 .qe (),
5475 .q (),
5476
5477 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005478 .qs (mio_pad_attr_regwen_12_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005479 );
5480
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005481 // Subregister 13 of Multireg mio_pad_attr_regwen
5482 // R[mio_pad_attr_regwen_13]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005483
5484 prim_subreg #(
5485 .DW (1),
5486 .SWACCESS("W0C"),
5487 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005488 ) u_mio_pad_attr_regwen_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005489 .clk_i (clk_i),
5490 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005491
5492 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005493 .we (mio_pad_attr_regwen_13_we),
5494 .wd (mio_pad_attr_regwen_13_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005495
5496 // from internal hardware
5497 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005498 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005499
5500 // to internal hardware
5501 .qe (),
5502 .q (),
5503
5504 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005505 .qs (mio_pad_attr_regwen_13_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005506 );
5507
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005508 // Subregister 14 of Multireg mio_pad_attr_regwen
5509 // R[mio_pad_attr_regwen_14]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005510
5511 prim_subreg #(
5512 .DW (1),
5513 .SWACCESS("W0C"),
5514 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005515 ) u_mio_pad_attr_regwen_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005516 .clk_i (clk_i),
5517 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005518
5519 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005520 .we (mio_pad_attr_regwen_14_we),
5521 .wd (mio_pad_attr_regwen_14_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005522
5523 // from internal hardware
5524 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005525 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005526
5527 // to internal hardware
5528 .qe (),
5529 .q (),
5530
5531 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005532 .qs (mio_pad_attr_regwen_14_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005533 );
5534
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005535 // Subregister 15 of Multireg mio_pad_attr_regwen
5536 // R[mio_pad_attr_regwen_15]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005537
5538 prim_subreg #(
5539 .DW (1),
5540 .SWACCESS("W0C"),
5541 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005542 ) u_mio_pad_attr_regwen_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005543 .clk_i (clk_i),
5544 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005545
5546 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005547 .we (mio_pad_attr_regwen_15_we),
5548 .wd (mio_pad_attr_regwen_15_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005549
5550 // from internal hardware
5551 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005552 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005553
5554 // to internal hardware
5555 .qe (),
5556 .q (),
5557
5558 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005559 .qs (mio_pad_attr_regwen_15_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005560 );
5561
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005562 // Subregister 16 of Multireg mio_pad_attr_regwen
5563 // R[mio_pad_attr_regwen_16]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005564
5565 prim_subreg #(
5566 .DW (1),
5567 .SWACCESS("W0C"),
5568 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005569 ) u_mio_pad_attr_regwen_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005570 .clk_i (clk_i),
5571 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005572
5573 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005574 .we (mio_pad_attr_regwen_16_we),
5575 .wd (mio_pad_attr_regwen_16_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005576
5577 // from internal hardware
5578 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005579 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005580
5581 // to internal hardware
5582 .qe (),
5583 .q (),
5584
5585 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005586 .qs (mio_pad_attr_regwen_16_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005587 );
5588
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005589 // Subregister 17 of Multireg mio_pad_attr_regwen
5590 // R[mio_pad_attr_regwen_17]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005591
5592 prim_subreg #(
5593 .DW (1),
5594 .SWACCESS("W0C"),
5595 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005596 ) u_mio_pad_attr_regwen_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005597 .clk_i (clk_i),
5598 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005599
5600 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005601 .we (mio_pad_attr_regwen_17_we),
5602 .wd (mio_pad_attr_regwen_17_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005603
5604 // from internal hardware
5605 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005606 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005607
5608 // to internal hardware
5609 .qe (),
5610 .q (),
5611
5612 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005613 .qs (mio_pad_attr_regwen_17_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005614 );
5615
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005616 // Subregister 18 of Multireg mio_pad_attr_regwen
5617 // R[mio_pad_attr_regwen_18]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005618
5619 prim_subreg #(
5620 .DW (1),
5621 .SWACCESS("W0C"),
5622 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005623 ) u_mio_pad_attr_regwen_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005624 .clk_i (clk_i),
5625 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005626
5627 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005628 .we (mio_pad_attr_regwen_18_we),
5629 .wd (mio_pad_attr_regwen_18_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005630
5631 // from internal hardware
5632 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005633 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005634
5635 // to internal hardware
5636 .qe (),
5637 .q (),
5638
5639 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005640 .qs (mio_pad_attr_regwen_18_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005641 );
5642
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005643 // Subregister 19 of Multireg mio_pad_attr_regwen
5644 // R[mio_pad_attr_regwen_19]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005645
5646 prim_subreg #(
5647 .DW (1),
5648 .SWACCESS("W0C"),
5649 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005650 ) u_mio_pad_attr_regwen_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005651 .clk_i (clk_i),
5652 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005653
5654 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005655 .we (mio_pad_attr_regwen_19_we),
5656 .wd (mio_pad_attr_regwen_19_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005657
5658 // from internal hardware
5659 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005660 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005661
5662 // to internal hardware
5663 .qe (),
5664 .q (),
5665
5666 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005667 .qs (mio_pad_attr_regwen_19_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005668 );
5669
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005670 // Subregister 20 of Multireg mio_pad_attr_regwen
5671 // R[mio_pad_attr_regwen_20]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005672
5673 prim_subreg #(
5674 .DW (1),
5675 .SWACCESS("W0C"),
5676 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005677 ) u_mio_pad_attr_regwen_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005678 .clk_i (clk_i),
5679 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005680
5681 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005682 .we (mio_pad_attr_regwen_20_we),
5683 .wd (mio_pad_attr_regwen_20_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005684
5685 // from internal hardware
5686 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005687 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005688
5689 // to internal hardware
5690 .qe (),
5691 .q (),
5692
5693 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005694 .qs (mio_pad_attr_regwen_20_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005695 );
5696
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005697 // Subregister 21 of Multireg mio_pad_attr_regwen
5698 // R[mio_pad_attr_regwen_21]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005699
5700 prim_subreg #(
5701 .DW (1),
5702 .SWACCESS("W0C"),
5703 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005704 ) u_mio_pad_attr_regwen_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005705 .clk_i (clk_i),
5706 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005707
5708 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005709 .we (mio_pad_attr_regwen_21_we),
5710 .wd (mio_pad_attr_regwen_21_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005711
5712 // from internal hardware
5713 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005714 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005715
5716 // to internal hardware
5717 .qe (),
5718 .q (),
5719
5720 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005721 .qs (mio_pad_attr_regwen_21_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005722 );
5723
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005724 // Subregister 22 of Multireg mio_pad_attr_regwen
5725 // R[mio_pad_attr_regwen_22]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005726
5727 prim_subreg #(
5728 .DW (1),
5729 .SWACCESS("W0C"),
5730 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005731 ) u_mio_pad_attr_regwen_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005732 .clk_i (clk_i),
5733 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005734
5735 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005736 .we (mio_pad_attr_regwen_22_we),
5737 .wd (mio_pad_attr_regwen_22_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005738
5739 // from internal hardware
5740 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005741 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005742
5743 // to internal hardware
5744 .qe (),
5745 .q (),
5746
5747 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005748 .qs (mio_pad_attr_regwen_22_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005749 );
5750
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005751 // Subregister 23 of Multireg mio_pad_attr_regwen
5752 // R[mio_pad_attr_regwen_23]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005753
5754 prim_subreg #(
5755 .DW (1),
5756 .SWACCESS("W0C"),
5757 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005758 ) u_mio_pad_attr_regwen_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005759 .clk_i (clk_i),
5760 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005761
5762 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005763 .we (mio_pad_attr_regwen_23_we),
5764 .wd (mio_pad_attr_regwen_23_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005765
5766 // from internal hardware
5767 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005768 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005769
5770 // to internal hardware
5771 .qe (),
5772 .q (),
5773
5774 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005775 .qs (mio_pad_attr_regwen_23_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005776 );
5777
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005778 // Subregister 24 of Multireg mio_pad_attr_regwen
5779 // R[mio_pad_attr_regwen_24]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005780
5781 prim_subreg #(
5782 .DW (1),
5783 .SWACCESS("W0C"),
5784 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005785 ) u_mio_pad_attr_regwen_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005786 .clk_i (clk_i),
5787 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005788
5789 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005790 .we (mio_pad_attr_regwen_24_we),
5791 .wd (mio_pad_attr_regwen_24_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005792
5793 // from internal hardware
5794 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005795 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005796
5797 // to internal hardware
5798 .qe (),
5799 .q (),
5800
5801 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005802 .qs (mio_pad_attr_regwen_24_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005803 );
5804
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005805 // Subregister 25 of Multireg mio_pad_attr_regwen
5806 // R[mio_pad_attr_regwen_25]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005807
5808 prim_subreg #(
5809 .DW (1),
5810 .SWACCESS("W0C"),
5811 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005812 ) u_mio_pad_attr_regwen_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005813 .clk_i (clk_i),
5814 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005815
5816 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005817 .we (mio_pad_attr_regwen_25_we),
5818 .wd (mio_pad_attr_regwen_25_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005819
5820 // from internal hardware
5821 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005822 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005823
5824 // to internal hardware
5825 .qe (),
5826 .q (),
5827
5828 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005829 .qs (mio_pad_attr_regwen_25_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005830 );
5831
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005832 // Subregister 26 of Multireg mio_pad_attr_regwen
5833 // R[mio_pad_attr_regwen_26]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005834
5835 prim_subreg #(
5836 .DW (1),
5837 .SWACCESS("W0C"),
5838 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005839 ) u_mio_pad_attr_regwen_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005840 .clk_i (clk_i),
5841 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005842
5843 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005844 .we (mio_pad_attr_regwen_26_we),
5845 .wd (mio_pad_attr_regwen_26_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005846
5847 // from internal hardware
5848 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005849 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005850
5851 // to internal hardware
5852 .qe (),
5853 .q (),
5854
5855 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005856 .qs (mio_pad_attr_regwen_26_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005857 );
5858
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005859 // Subregister 27 of Multireg mio_pad_attr_regwen
5860 // R[mio_pad_attr_regwen_27]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005861
5862 prim_subreg #(
5863 .DW (1),
5864 .SWACCESS("W0C"),
5865 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005866 ) u_mio_pad_attr_regwen_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005867 .clk_i (clk_i),
5868 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005869
5870 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005871 .we (mio_pad_attr_regwen_27_we),
5872 .wd (mio_pad_attr_regwen_27_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005873
5874 // from internal hardware
5875 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005876 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005877
5878 // to internal hardware
5879 .qe (),
5880 .q (),
5881
5882 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005883 .qs (mio_pad_attr_regwen_27_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005884 );
5885
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005886 // Subregister 28 of Multireg mio_pad_attr_regwen
5887 // R[mio_pad_attr_regwen_28]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005888
5889 prim_subreg #(
5890 .DW (1),
5891 .SWACCESS("W0C"),
5892 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005893 ) u_mio_pad_attr_regwen_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005894 .clk_i (clk_i),
5895 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005896
5897 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005898 .we (mio_pad_attr_regwen_28_we),
5899 .wd (mio_pad_attr_regwen_28_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005900
5901 // from internal hardware
5902 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005903 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005904
5905 // to internal hardware
5906 .qe (),
5907 .q (),
5908
5909 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005910 .qs (mio_pad_attr_regwen_28_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005911 );
5912
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005913 // Subregister 29 of Multireg mio_pad_attr_regwen
5914 // R[mio_pad_attr_regwen_29]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005915
5916 prim_subreg #(
5917 .DW (1),
5918 .SWACCESS("W0C"),
5919 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005920 ) u_mio_pad_attr_regwen_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005921 .clk_i (clk_i),
5922 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005923
5924 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005925 .we (mio_pad_attr_regwen_29_we),
5926 .wd (mio_pad_attr_regwen_29_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005927
5928 // from internal hardware
5929 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005930 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005931
5932 // to internal hardware
5933 .qe (),
5934 .q (),
5935
5936 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005937 .qs (mio_pad_attr_regwen_29_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005938 );
5939
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005940 // Subregister 30 of Multireg mio_pad_attr_regwen
5941 // R[mio_pad_attr_regwen_30]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005942
5943 prim_subreg #(
5944 .DW (1),
5945 .SWACCESS("W0C"),
5946 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005947 ) u_mio_pad_attr_regwen_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005948 .clk_i (clk_i),
5949 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005950
5951 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005952 .we (mio_pad_attr_regwen_30_we),
5953 .wd (mio_pad_attr_regwen_30_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005954
5955 // from internal hardware
5956 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005957 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005958
5959 // to internal hardware
5960 .qe (),
5961 .q (),
5962
5963 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005964 .qs (mio_pad_attr_regwen_30_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005965 );
5966
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005967 // Subregister 31 of Multireg mio_pad_attr_regwen
5968 // R[mio_pad_attr_regwen_31]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005969
5970 prim_subreg #(
5971 .DW (1),
5972 .SWACCESS("W0C"),
5973 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005974 ) u_mio_pad_attr_regwen_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005975 .clk_i (clk_i),
5976 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005977
5978 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005979 .we (mio_pad_attr_regwen_31_we),
5980 .wd (mio_pad_attr_regwen_31_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005981
5982 // from internal hardware
5983 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01005984 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005985
5986 // to internal hardware
5987 .qe (),
5988 .q (),
5989
5990 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005991 .qs (mio_pad_attr_regwen_31_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005992 );
5993
5994
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005995
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005996 // Subregister 0 of Multireg mio_pad_attr
5997 // R[mio_pad_attr_0]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005998
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005999 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006000 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006001 ) u_mio_pad_attr_0 (
6002 .re (mio_pad_attr_0_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006003 .we (mio_pad_attr_0_we & mio_pad_attr_regwen_0_qs),
6004 .wd (mio_pad_attr_0_wd),
6005 .d (hw2reg.mio_pad_attr[0].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006006 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006007 .qe (reg2hw.mio_pad_attr[0].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006008 .q (reg2hw.mio_pad_attr[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006009 .qs (mio_pad_attr_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006010 );
6011
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006012 // Subregister 1 of Multireg mio_pad_attr
6013 // R[mio_pad_attr_1]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006014
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006015 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006016 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006017 ) u_mio_pad_attr_1 (
6018 .re (mio_pad_attr_1_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006019 .we (mio_pad_attr_1_we & mio_pad_attr_regwen_1_qs),
6020 .wd (mio_pad_attr_1_wd),
6021 .d (hw2reg.mio_pad_attr[1].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006022 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006023 .qe (reg2hw.mio_pad_attr[1].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006024 .q (reg2hw.mio_pad_attr[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006025 .qs (mio_pad_attr_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006026 );
6027
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006028 // Subregister 2 of Multireg mio_pad_attr
6029 // R[mio_pad_attr_2]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006030
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006031 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006032 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006033 ) u_mio_pad_attr_2 (
6034 .re (mio_pad_attr_2_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006035 .we (mio_pad_attr_2_we & mio_pad_attr_regwen_2_qs),
6036 .wd (mio_pad_attr_2_wd),
6037 .d (hw2reg.mio_pad_attr[2].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006038 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006039 .qe (reg2hw.mio_pad_attr[2].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006040 .q (reg2hw.mio_pad_attr[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006041 .qs (mio_pad_attr_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006042 );
6043
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006044 // Subregister 3 of Multireg mio_pad_attr
6045 // R[mio_pad_attr_3]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006046
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006047 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006048 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006049 ) u_mio_pad_attr_3 (
6050 .re (mio_pad_attr_3_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006051 .we (mio_pad_attr_3_we & mio_pad_attr_regwen_3_qs),
6052 .wd (mio_pad_attr_3_wd),
6053 .d (hw2reg.mio_pad_attr[3].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006054 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006055 .qe (reg2hw.mio_pad_attr[3].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006056 .q (reg2hw.mio_pad_attr[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006057 .qs (mio_pad_attr_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006058 );
6059
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006060 // Subregister 4 of Multireg mio_pad_attr
6061 // R[mio_pad_attr_4]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006062
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006063 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006064 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006065 ) u_mio_pad_attr_4 (
6066 .re (mio_pad_attr_4_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006067 .we (mio_pad_attr_4_we & mio_pad_attr_regwen_4_qs),
6068 .wd (mio_pad_attr_4_wd),
6069 .d (hw2reg.mio_pad_attr[4].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006070 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006071 .qe (reg2hw.mio_pad_attr[4].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006072 .q (reg2hw.mio_pad_attr[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006073 .qs (mio_pad_attr_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006074 );
6075
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006076 // Subregister 5 of Multireg mio_pad_attr
6077 // R[mio_pad_attr_5]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006078
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006079 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006080 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006081 ) u_mio_pad_attr_5 (
6082 .re (mio_pad_attr_5_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006083 .we (mio_pad_attr_5_we & mio_pad_attr_regwen_5_qs),
6084 .wd (mio_pad_attr_5_wd),
6085 .d (hw2reg.mio_pad_attr[5].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006086 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006087 .qe (reg2hw.mio_pad_attr[5].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006088 .q (reg2hw.mio_pad_attr[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006089 .qs (mio_pad_attr_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006090 );
6091
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006092 // Subregister 6 of Multireg mio_pad_attr
6093 // R[mio_pad_attr_6]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006094
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006095 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006096 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006097 ) u_mio_pad_attr_6 (
6098 .re (mio_pad_attr_6_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006099 .we (mio_pad_attr_6_we & mio_pad_attr_regwen_6_qs),
6100 .wd (mio_pad_attr_6_wd),
6101 .d (hw2reg.mio_pad_attr[6].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006102 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006103 .qe (reg2hw.mio_pad_attr[6].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006104 .q (reg2hw.mio_pad_attr[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006105 .qs (mio_pad_attr_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006106 );
6107
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006108 // Subregister 7 of Multireg mio_pad_attr
6109 // R[mio_pad_attr_7]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006110
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006111 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006112 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006113 ) u_mio_pad_attr_7 (
6114 .re (mio_pad_attr_7_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006115 .we (mio_pad_attr_7_we & mio_pad_attr_regwen_7_qs),
6116 .wd (mio_pad_attr_7_wd),
6117 .d (hw2reg.mio_pad_attr[7].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006118 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006119 .qe (reg2hw.mio_pad_attr[7].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006120 .q (reg2hw.mio_pad_attr[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006121 .qs (mio_pad_attr_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006122 );
6123
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006124 // Subregister 8 of Multireg mio_pad_attr
6125 // R[mio_pad_attr_8]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006126
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006127 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006128 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006129 ) u_mio_pad_attr_8 (
6130 .re (mio_pad_attr_8_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006131 .we (mio_pad_attr_8_we & mio_pad_attr_regwen_8_qs),
6132 .wd (mio_pad_attr_8_wd),
6133 .d (hw2reg.mio_pad_attr[8].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006134 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006135 .qe (reg2hw.mio_pad_attr[8].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006136 .q (reg2hw.mio_pad_attr[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006137 .qs (mio_pad_attr_8_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006138 );
6139
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006140 // Subregister 9 of Multireg mio_pad_attr
6141 // R[mio_pad_attr_9]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006142
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006143 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006144 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006145 ) u_mio_pad_attr_9 (
6146 .re (mio_pad_attr_9_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006147 .we (mio_pad_attr_9_we & mio_pad_attr_regwen_9_qs),
6148 .wd (mio_pad_attr_9_wd),
6149 .d (hw2reg.mio_pad_attr[9].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006150 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006151 .qe (reg2hw.mio_pad_attr[9].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006152 .q (reg2hw.mio_pad_attr[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006153 .qs (mio_pad_attr_9_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006154 );
6155
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006156 // Subregister 10 of Multireg mio_pad_attr
6157 // R[mio_pad_attr_10]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006158
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006159 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006160 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006161 ) u_mio_pad_attr_10 (
6162 .re (mio_pad_attr_10_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006163 .we (mio_pad_attr_10_we & mio_pad_attr_regwen_10_qs),
6164 .wd (mio_pad_attr_10_wd),
6165 .d (hw2reg.mio_pad_attr[10].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006166 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006167 .qe (reg2hw.mio_pad_attr[10].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006168 .q (reg2hw.mio_pad_attr[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006169 .qs (mio_pad_attr_10_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006170 );
6171
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006172 // Subregister 11 of Multireg mio_pad_attr
6173 // R[mio_pad_attr_11]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006174
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006175 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006176 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006177 ) u_mio_pad_attr_11 (
6178 .re (mio_pad_attr_11_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006179 .we (mio_pad_attr_11_we & mio_pad_attr_regwen_11_qs),
6180 .wd (mio_pad_attr_11_wd),
6181 .d (hw2reg.mio_pad_attr[11].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006182 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006183 .qe (reg2hw.mio_pad_attr[11].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006184 .q (reg2hw.mio_pad_attr[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006185 .qs (mio_pad_attr_11_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006186 );
6187
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006188 // Subregister 12 of Multireg mio_pad_attr
6189 // R[mio_pad_attr_12]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006190
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006191 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006192 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006193 ) u_mio_pad_attr_12 (
6194 .re (mio_pad_attr_12_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006195 .we (mio_pad_attr_12_we & mio_pad_attr_regwen_12_qs),
6196 .wd (mio_pad_attr_12_wd),
6197 .d (hw2reg.mio_pad_attr[12].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006198 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006199 .qe (reg2hw.mio_pad_attr[12].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006200 .q (reg2hw.mio_pad_attr[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006201 .qs (mio_pad_attr_12_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006202 );
6203
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006204 // Subregister 13 of Multireg mio_pad_attr
6205 // R[mio_pad_attr_13]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006206
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006207 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006208 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006209 ) u_mio_pad_attr_13 (
6210 .re (mio_pad_attr_13_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006211 .we (mio_pad_attr_13_we & mio_pad_attr_regwen_13_qs),
6212 .wd (mio_pad_attr_13_wd),
6213 .d (hw2reg.mio_pad_attr[13].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006214 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006215 .qe (reg2hw.mio_pad_attr[13].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006216 .q (reg2hw.mio_pad_attr[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006217 .qs (mio_pad_attr_13_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006218 );
6219
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006220 // Subregister 14 of Multireg mio_pad_attr
6221 // R[mio_pad_attr_14]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006222
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006223 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006224 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006225 ) u_mio_pad_attr_14 (
6226 .re (mio_pad_attr_14_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006227 .we (mio_pad_attr_14_we & mio_pad_attr_regwen_14_qs),
6228 .wd (mio_pad_attr_14_wd),
6229 .d (hw2reg.mio_pad_attr[14].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006230 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006231 .qe (reg2hw.mio_pad_attr[14].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006232 .q (reg2hw.mio_pad_attr[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006233 .qs (mio_pad_attr_14_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006234 );
6235
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006236 // Subregister 15 of Multireg mio_pad_attr
6237 // R[mio_pad_attr_15]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006238
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006239 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006240 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006241 ) u_mio_pad_attr_15 (
6242 .re (mio_pad_attr_15_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006243 .we (mio_pad_attr_15_we & mio_pad_attr_regwen_15_qs),
6244 .wd (mio_pad_attr_15_wd),
6245 .d (hw2reg.mio_pad_attr[15].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006246 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006247 .qe (reg2hw.mio_pad_attr[15].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006248 .q (reg2hw.mio_pad_attr[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006249 .qs (mio_pad_attr_15_qs)
6250 );
6251
6252 // Subregister 16 of Multireg mio_pad_attr
6253 // R[mio_pad_attr_16]: V(True)
6254
6255 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006256 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006257 ) u_mio_pad_attr_16 (
6258 .re (mio_pad_attr_16_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006259 .we (mio_pad_attr_16_we & mio_pad_attr_regwen_16_qs),
6260 .wd (mio_pad_attr_16_wd),
6261 .d (hw2reg.mio_pad_attr[16].d),
6262 .qre (),
6263 .qe (reg2hw.mio_pad_attr[16].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006264 .q (reg2hw.mio_pad_attr[16].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006265 .qs (mio_pad_attr_16_qs)
6266 );
6267
6268 // Subregister 17 of Multireg mio_pad_attr
6269 // R[mio_pad_attr_17]: V(True)
6270
6271 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006272 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006273 ) u_mio_pad_attr_17 (
6274 .re (mio_pad_attr_17_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006275 .we (mio_pad_attr_17_we & mio_pad_attr_regwen_17_qs),
6276 .wd (mio_pad_attr_17_wd),
6277 .d (hw2reg.mio_pad_attr[17].d),
6278 .qre (),
6279 .qe (reg2hw.mio_pad_attr[17].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006280 .q (reg2hw.mio_pad_attr[17].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006281 .qs (mio_pad_attr_17_qs)
6282 );
6283
6284 // Subregister 18 of Multireg mio_pad_attr
6285 // R[mio_pad_attr_18]: V(True)
6286
6287 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006288 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006289 ) u_mio_pad_attr_18 (
6290 .re (mio_pad_attr_18_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006291 .we (mio_pad_attr_18_we & mio_pad_attr_regwen_18_qs),
6292 .wd (mio_pad_attr_18_wd),
6293 .d (hw2reg.mio_pad_attr[18].d),
6294 .qre (),
6295 .qe (reg2hw.mio_pad_attr[18].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006296 .q (reg2hw.mio_pad_attr[18].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006297 .qs (mio_pad_attr_18_qs)
6298 );
6299
6300 // Subregister 19 of Multireg mio_pad_attr
6301 // R[mio_pad_attr_19]: V(True)
6302
6303 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006304 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006305 ) u_mio_pad_attr_19 (
6306 .re (mio_pad_attr_19_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006307 .we (mio_pad_attr_19_we & mio_pad_attr_regwen_19_qs),
6308 .wd (mio_pad_attr_19_wd),
6309 .d (hw2reg.mio_pad_attr[19].d),
6310 .qre (),
6311 .qe (reg2hw.mio_pad_attr[19].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006312 .q (reg2hw.mio_pad_attr[19].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006313 .qs (mio_pad_attr_19_qs)
6314 );
6315
6316 // Subregister 20 of Multireg mio_pad_attr
6317 // R[mio_pad_attr_20]: V(True)
6318
6319 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006320 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006321 ) u_mio_pad_attr_20 (
6322 .re (mio_pad_attr_20_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006323 .we (mio_pad_attr_20_we & mio_pad_attr_regwen_20_qs),
6324 .wd (mio_pad_attr_20_wd),
6325 .d (hw2reg.mio_pad_attr[20].d),
6326 .qre (),
6327 .qe (reg2hw.mio_pad_attr[20].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006328 .q (reg2hw.mio_pad_attr[20].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006329 .qs (mio_pad_attr_20_qs)
6330 );
6331
6332 // Subregister 21 of Multireg mio_pad_attr
6333 // R[mio_pad_attr_21]: V(True)
6334
6335 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006336 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006337 ) u_mio_pad_attr_21 (
6338 .re (mio_pad_attr_21_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006339 .we (mio_pad_attr_21_we & mio_pad_attr_regwen_21_qs),
6340 .wd (mio_pad_attr_21_wd),
6341 .d (hw2reg.mio_pad_attr[21].d),
6342 .qre (),
6343 .qe (reg2hw.mio_pad_attr[21].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006344 .q (reg2hw.mio_pad_attr[21].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006345 .qs (mio_pad_attr_21_qs)
6346 );
6347
6348 // Subregister 22 of Multireg mio_pad_attr
6349 // R[mio_pad_attr_22]: V(True)
6350
6351 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006352 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006353 ) u_mio_pad_attr_22 (
6354 .re (mio_pad_attr_22_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006355 .we (mio_pad_attr_22_we & mio_pad_attr_regwen_22_qs),
6356 .wd (mio_pad_attr_22_wd),
6357 .d (hw2reg.mio_pad_attr[22].d),
6358 .qre (),
6359 .qe (reg2hw.mio_pad_attr[22].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006360 .q (reg2hw.mio_pad_attr[22].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006361 .qs (mio_pad_attr_22_qs)
6362 );
6363
6364 // Subregister 23 of Multireg mio_pad_attr
6365 // R[mio_pad_attr_23]: V(True)
6366
6367 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006368 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006369 ) u_mio_pad_attr_23 (
6370 .re (mio_pad_attr_23_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006371 .we (mio_pad_attr_23_we & mio_pad_attr_regwen_23_qs),
6372 .wd (mio_pad_attr_23_wd),
6373 .d (hw2reg.mio_pad_attr[23].d),
6374 .qre (),
6375 .qe (reg2hw.mio_pad_attr[23].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006376 .q (reg2hw.mio_pad_attr[23].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006377 .qs (mio_pad_attr_23_qs)
6378 );
6379
6380 // Subregister 24 of Multireg mio_pad_attr
6381 // R[mio_pad_attr_24]: V(True)
6382
6383 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006384 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006385 ) u_mio_pad_attr_24 (
6386 .re (mio_pad_attr_24_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006387 .we (mio_pad_attr_24_we & mio_pad_attr_regwen_24_qs),
6388 .wd (mio_pad_attr_24_wd),
6389 .d (hw2reg.mio_pad_attr[24].d),
6390 .qre (),
6391 .qe (reg2hw.mio_pad_attr[24].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006392 .q (reg2hw.mio_pad_attr[24].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006393 .qs (mio_pad_attr_24_qs)
6394 );
6395
6396 // Subregister 25 of Multireg mio_pad_attr
6397 // R[mio_pad_attr_25]: V(True)
6398
6399 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006400 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006401 ) u_mio_pad_attr_25 (
6402 .re (mio_pad_attr_25_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006403 .we (mio_pad_attr_25_we & mio_pad_attr_regwen_25_qs),
6404 .wd (mio_pad_attr_25_wd),
6405 .d (hw2reg.mio_pad_attr[25].d),
6406 .qre (),
6407 .qe (reg2hw.mio_pad_attr[25].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006408 .q (reg2hw.mio_pad_attr[25].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006409 .qs (mio_pad_attr_25_qs)
6410 );
6411
6412 // Subregister 26 of Multireg mio_pad_attr
6413 // R[mio_pad_attr_26]: V(True)
6414
6415 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006416 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006417 ) u_mio_pad_attr_26 (
6418 .re (mio_pad_attr_26_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006419 .we (mio_pad_attr_26_we & mio_pad_attr_regwen_26_qs),
6420 .wd (mio_pad_attr_26_wd),
6421 .d (hw2reg.mio_pad_attr[26].d),
6422 .qre (),
6423 .qe (reg2hw.mio_pad_attr[26].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006424 .q (reg2hw.mio_pad_attr[26].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006425 .qs (mio_pad_attr_26_qs)
6426 );
6427
6428 // Subregister 27 of Multireg mio_pad_attr
6429 // R[mio_pad_attr_27]: V(True)
6430
6431 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006432 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006433 ) u_mio_pad_attr_27 (
6434 .re (mio_pad_attr_27_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006435 .we (mio_pad_attr_27_we & mio_pad_attr_regwen_27_qs),
6436 .wd (mio_pad_attr_27_wd),
6437 .d (hw2reg.mio_pad_attr[27].d),
6438 .qre (),
6439 .qe (reg2hw.mio_pad_attr[27].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006440 .q (reg2hw.mio_pad_attr[27].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006441 .qs (mio_pad_attr_27_qs)
6442 );
6443
6444 // Subregister 28 of Multireg mio_pad_attr
6445 // R[mio_pad_attr_28]: V(True)
6446
6447 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006448 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006449 ) u_mio_pad_attr_28 (
6450 .re (mio_pad_attr_28_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006451 .we (mio_pad_attr_28_we & mio_pad_attr_regwen_28_qs),
6452 .wd (mio_pad_attr_28_wd),
6453 .d (hw2reg.mio_pad_attr[28].d),
6454 .qre (),
6455 .qe (reg2hw.mio_pad_attr[28].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006456 .q (reg2hw.mio_pad_attr[28].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006457 .qs (mio_pad_attr_28_qs)
6458 );
6459
6460 // Subregister 29 of Multireg mio_pad_attr
6461 // R[mio_pad_attr_29]: V(True)
6462
6463 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006464 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006465 ) u_mio_pad_attr_29 (
6466 .re (mio_pad_attr_29_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006467 .we (mio_pad_attr_29_we & mio_pad_attr_regwen_29_qs),
6468 .wd (mio_pad_attr_29_wd),
6469 .d (hw2reg.mio_pad_attr[29].d),
6470 .qre (),
6471 .qe (reg2hw.mio_pad_attr[29].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006472 .q (reg2hw.mio_pad_attr[29].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006473 .qs (mio_pad_attr_29_qs)
6474 );
6475
6476 // Subregister 30 of Multireg mio_pad_attr
6477 // R[mio_pad_attr_30]: V(True)
6478
6479 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006480 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006481 ) u_mio_pad_attr_30 (
6482 .re (mio_pad_attr_30_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006483 .we (mio_pad_attr_30_we & mio_pad_attr_regwen_30_qs),
6484 .wd (mio_pad_attr_30_wd),
6485 .d (hw2reg.mio_pad_attr[30].d),
6486 .qre (),
6487 .qe (reg2hw.mio_pad_attr[30].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006488 .q (reg2hw.mio_pad_attr[30].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006489 .qs (mio_pad_attr_30_qs)
6490 );
6491
6492 // Subregister 31 of Multireg mio_pad_attr
6493 // R[mio_pad_attr_31]: V(True)
6494
6495 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006496 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006497 ) u_mio_pad_attr_31 (
6498 .re (mio_pad_attr_31_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006499 .we (mio_pad_attr_31_we & mio_pad_attr_regwen_31_qs),
6500 .wd (mio_pad_attr_31_wd),
6501 .d (hw2reg.mio_pad_attr[31].d),
6502 .qre (),
6503 .qe (reg2hw.mio_pad_attr[31].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006504 .q (reg2hw.mio_pad_attr[31].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006505 .qs (mio_pad_attr_31_qs)
6506 );
6507
6508
6509
6510 // Subregister 0 of Multireg dio_pad_attr_regwen
6511 // R[dio_pad_attr_regwen_0]: V(False)
6512
6513 prim_subreg #(
6514 .DW (1),
6515 .SWACCESS("W0C"),
6516 .RESVAL (1'h1)
6517 ) u_dio_pad_attr_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006518 .clk_i (clk_i),
6519 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006520
6521 // from register interface
6522 .we (dio_pad_attr_regwen_0_we),
6523 .wd (dio_pad_attr_regwen_0_wd),
6524
6525 // from internal hardware
6526 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006527 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006528
6529 // to internal hardware
6530 .qe (),
6531 .q (),
6532
6533 // to register interface (read)
6534 .qs (dio_pad_attr_regwen_0_qs)
6535 );
6536
6537 // Subregister 1 of Multireg dio_pad_attr_regwen
6538 // R[dio_pad_attr_regwen_1]: V(False)
6539
6540 prim_subreg #(
6541 .DW (1),
6542 .SWACCESS("W0C"),
6543 .RESVAL (1'h1)
6544 ) u_dio_pad_attr_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006545 .clk_i (clk_i),
6546 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006547
6548 // from register interface
6549 .we (dio_pad_attr_regwen_1_we),
6550 .wd (dio_pad_attr_regwen_1_wd),
6551
6552 // from internal hardware
6553 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006554 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006555
6556 // to internal hardware
6557 .qe (),
6558 .q (),
6559
6560 // to register interface (read)
6561 .qs (dio_pad_attr_regwen_1_qs)
6562 );
6563
6564 // Subregister 2 of Multireg dio_pad_attr_regwen
6565 // R[dio_pad_attr_regwen_2]: V(False)
6566
6567 prim_subreg #(
6568 .DW (1),
6569 .SWACCESS("W0C"),
6570 .RESVAL (1'h1)
6571 ) u_dio_pad_attr_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006572 .clk_i (clk_i),
6573 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006574
6575 // from register interface
6576 .we (dio_pad_attr_regwen_2_we),
6577 .wd (dio_pad_attr_regwen_2_wd),
6578
6579 // from internal hardware
6580 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006581 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006582
6583 // to internal hardware
6584 .qe (),
6585 .q (),
6586
6587 // to register interface (read)
6588 .qs (dio_pad_attr_regwen_2_qs)
6589 );
6590
6591 // Subregister 3 of Multireg dio_pad_attr_regwen
6592 // R[dio_pad_attr_regwen_3]: V(False)
6593
6594 prim_subreg #(
6595 .DW (1),
6596 .SWACCESS("W0C"),
6597 .RESVAL (1'h1)
6598 ) u_dio_pad_attr_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006599 .clk_i (clk_i),
6600 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006601
6602 // from register interface
6603 .we (dio_pad_attr_regwen_3_we),
6604 .wd (dio_pad_attr_regwen_3_wd),
6605
6606 // from internal hardware
6607 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006608 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006609
6610 // to internal hardware
6611 .qe (),
6612 .q (),
6613
6614 // to register interface (read)
6615 .qs (dio_pad_attr_regwen_3_qs)
6616 );
6617
6618 // Subregister 4 of Multireg dio_pad_attr_regwen
6619 // R[dio_pad_attr_regwen_4]: V(False)
6620
6621 prim_subreg #(
6622 .DW (1),
6623 .SWACCESS("W0C"),
6624 .RESVAL (1'h1)
6625 ) u_dio_pad_attr_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006626 .clk_i (clk_i),
6627 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006628
6629 // from register interface
6630 .we (dio_pad_attr_regwen_4_we),
6631 .wd (dio_pad_attr_regwen_4_wd),
6632
6633 // from internal hardware
6634 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006635 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006636
6637 // to internal hardware
6638 .qe (),
6639 .q (),
6640
6641 // to register interface (read)
6642 .qs (dio_pad_attr_regwen_4_qs)
6643 );
6644
6645 // Subregister 5 of Multireg dio_pad_attr_regwen
6646 // R[dio_pad_attr_regwen_5]: V(False)
6647
6648 prim_subreg #(
6649 .DW (1),
6650 .SWACCESS("W0C"),
6651 .RESVAL (1'h1)
6652 ) u_dio_pad_attr_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006653 .clk_i (clk_i),
6654 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006655
6656 // from register interface
6657 .we (dio_pad_attr_regwen_5_we),
6658 .wd (dio_pad_attr_regwen_5_wd),
6659
6660 // from internal hardware
6661 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006662 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006663
6664 // to internal hardware
6665 .qe (),
6666 .q (),
6667
6668 // to register interface (read)
6669 .qs (dio_pad_attr_regwen_5_qs)
6670 );
6671
6672 // Subregister 6 of Multireg dio_pad_attr_regwen
6673 // R[dio_pad_attr_regwen_6]: V(False)
6674
6675 prim_subreg #(
6676 .DW (1),
6677 .SWACCESS("W0C"),
6678 .RESVAL (1'h1)
6679 ) u_dio_pad_attr_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006680 .clk_i (clk_i),
6681 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006682
6683 // from register interface
6684 .we (dio_pad_attr_regwen_6_we),
6685 .wd (dio_pad_attr_regwen_6_wd),
6686
6687 // from internal hardware
6688 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006689 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006690
6691 // to internal hardware
6692 .qe (),
6693 .q (),
6694
6695 // to register interface (read)
6696 .qs (dio_pad_attr_regwen_6_qs)
6697 );
6698
6699 // Subregister 7 of Multireg dio_pad_attr_regwen
6700 // R[dio_pad_attr_regwen_7]: V(False)
6701
6702 prim_subreg #(
6703 .DW (1),
6704 .SWACCESS("W0C"),
6705 .RESVAL (1'h1)
6706 ) u_dio_pad_attr_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006707 .clk_i (clk_i),
6708 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006709
6710 // from register interface
6711 .we (dio_pad_attr_regwen_7_we),
6712 .wd (dio_pad_attr_regwen_7_wd),
6713
6714 // from internal hardware
6715 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006716 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006717
6718 // to internal hardware
6719 .qe (),
6720 .q (),
6721
6722 // to register interface (read)
6723 .qs (dio_pad_attr_regwen_7_qs)
6724 );
6725
6726 // Subregister 8 of Multireg dio_pad_attr_regwen
6727 // R[dio_pad_attr_regwen_8]: V(False)
6728
6729 prim_subreg #(
6730 .DW (1),
6731 .SWACCESS("W0C"),
6732 .RESVAL (1'h1)
6733 ) u_dio_pad_attr_regwen_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006734 .clk_i (clk_i),
6735 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006736
6737 // from register interface
6738 .we (dio_pad_attr_regwen_8_we),
6739 .wd (dio_pad_attr_regwen_8_wd),
6740
6741 // from internal hardware
6742 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006743 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006744
6745 // to internal hardware
6746 .qe (),
6747 .q (),
6748
6749 // to register interface (read)
6750 .qs (dio_pad_attr_regwen_8_qs)
6751 );
6752
6753 // Subregister 9 of Multireg dio_pad_attr_regwen
6754 // R[dio_pad_attr_regwen_9]: V(False)
6755
6756 prim_subreg #(
6757 .DW (1),
6758 .SWACCESS("W0C"),
6759 .RESVAL (1'h1)
6760 ) u_dio_pad_attr_regwen_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006761 .clk_i (clk_i),
6762 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006763
6764 // from register interface
6765 .we (dio_pad_attr_regwen_9_we),
6766 .wd (dio_pad_attr_regwen_9_wd),
6767
6768 // from internal hardware
6769 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006770 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006771
6772 // to internal hardware
6773 .qe (),
6774 .q (),
6775
6776 // to register interface (read)
6777 .qs (dio_pad_attr_regwen_9_qs)
6778 );
6779
6780 // Subregister 10 of Multireg dio_pad_attr_regwen
6781 // R[dio_pad_attr_regwen_10]: V(False)
6782
6783 prim_subreg #(
6784 .DW (1),
6785 .SWACCESS("W0C"),
6786 .RESVAL (1'h1)
6787 ) u_dio_pad_attr_regwen_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006788 .clk_i (clk_i),
6789 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006790
6791 // from register interface
6792 .we (dio_pad_attr_regwen_10_we),
6793 .wd (dio_pad_attr_regwen_10_wd),
6794
6795 // from internal hardware
6796 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006797 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006798
6799 // to internal hardware
6800 .qe (),
6801 .q (),
6802
6803 // to register interface (read)
6804 .qs (dio_pad_attr_regwen_10_qs)
6805 );
6806
6807 // Subregister 11 of Multireg dio_pad_attr_regwen
6808 // R[dio_pad_attr_regwen_11]: V(False)
6809
6810 prim_subreg #(
6811 .DW (1),
6812 .SWACCESS("W0C"),
6813 .RESVAL (1'h1)
6814 ) u_dio_pad_attr_regwen_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006815 .clk_i (clk_i),
6816 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006817
6818 // from register interface
6819 .we (dio_pad_attr_regwen_11_we),
6820 .wd (dio_pad_attr_regwen_11_wd),
6821
6822 // from internal hardware
6823 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006824 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006825
6826 // to internal hardware
6827 .qe (),
6828 .q (),
6829
6830 // to register interface (read)
6831 .qs (dio_pad_attr_regwen_11_qs)
6832 );
6833
6834 // Subregister 12 of Multireg dio_pad_attr_regwen
6835 // R[dio_pad_attr_regwen_12]: V(False)
6836
6837 prim_subreg #(
6838 .DW (1),
6839 .SWACCESS("W0C"),
6840 .RESVAL (1'h1)
6841 ) u_dio_pad_attr_regwen_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006842 .clk_i (clk_i),
6843 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006844
6845 // from register interface
6846 .we (dio_pad_attr_regwen_12_we),
6847 .wd (dio_pad_attr_regwen_12_wd),
6848
6849 // from internal hardware
6850 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006851 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006852
6853 // to internal hardware
6854 .qe (),
6855 .q (),
6856
6857 // to register interface (read)
6858 .qs (dio_pad_attr_regwen_12_qs)
6859 );
6860
6861 // Subregister 13 of Multireg dio_pad_attr_regwen
6862 // R[dio_pad_attr_regwen_13]: V(False)
6863
6864 prim_subreg #(
6865 .DW (1),
6866 .SWACCESS("W0C"),
6867 .RESVAL (1'h1)
6868 ) u_dio_pad_attr_regwen_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006869 .clk_i (clk_i),
6870 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006871
6872 // from register interface
6873 .we (dio_pad_attr_regwen_13_we),
6874 .wd (dio_pad_attr_regwen_13_wd),
6875
6876 // from internal hardware
6877 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006878 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006879
6880 // to internal hardware
6881 .qe (),
6882 .q (),
6883
6884 // to register interface (read)
6885 .qs (dio_pad_attr_regwen_13_qs)
6886 );
6887
6888 // Subregister 14 of Multireg dio_pad_attr_regwen
6889 // R[dio_pad_attr_regwen_14]: V(False)
6890
6891 prim_subreg #(
6892 .DW (1),
6893 .SWACCESS("W0C"),
6894 .RESVAL (1'h1)
6895 ) u_dio_pad_attr_regwen_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006896 .clk_i (clk_i),
6897 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006898
6899 // from register interface
6900 .we (dio_pad_attr_regwen_14_we),
6901 .wd (dio_pad_attr_regwen_14_wd),
6902
6903 // from internal hardware
6904 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006905 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006906
6907 // to internal hardware
6908 .qe (),
6909 .q (),
6910
6911 // to register interface (read)
6912 .qs (dio_pad_attr_regwen_14_qs)
6913 );
6914
6915 // Subregister 15 of Multireg dio_pad_attr_regwen
6916 // R[dio_pad_attr_regwen_15]: V(False)
6917
6918 prim_subreg #(
6919 .DW (1),
6920 .SWACCESS("W0C"),
6921 .RESVAL (1'h1)
6922 ) u_dio_pad_attr_regwen_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006923 .clk_i (clk_i),
6924 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006925
6926 // from register interface
6927 .we (dio_pad_attr_regwen_15_we),
6928 .wd (dio_pad_attr_regwen_15_wd),
6929
6930 // from internal hardware
6931 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006932 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006933
6934 // to internal hardware
6935 .qe (),
6936 .q (),
6937
6938 // to register interface (read)
6939 .qs (dio_pad_attr_regwen_15_qs)
6940 );
6941
6942
6943
6944 // Subregister 0 of Multireg dio_pad_attr
6945 // R[dio_pad_attr_0]: V(True)
6946
6947 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006948 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006949 ) u_dio_pad_attr_0 (
6950 .re (dio_pad_attr_0_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006951 .we (dio_pad_attr_0_we & dio_pad_attr_regwen_0_qs),
6952 .wd (dio_pad_attr_0_wd),
6953 .d (hw2reg.dio_pad_attr[0].d),
6954 .qre (),
6955 .qe (reg2hw.dio_pad_attr[0].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006956 .q (reg2hw.dio_pad_attr[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006957 .qs (dio_pad_attr_0_qs)
6958 );
6959
6960 // Subregister 1 of Multireg dio_pad_attr
6961 // R[dio_pad_attr_1]: V(True)
6962
6963 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006964 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006965 ) u_dio_pad_attr_1 (
6966 .re (dio_pad_attr_1_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006967 .we (dio_pad_attr_1_we & dio_pad_attr_regwen_1_qs),
6968 .wd (dio_pad_attr_1_wd),
6969 .d (hw2reg.dio_pad_attr[1].d),
6970 .qre (),
6971 .qe (reg2hw.dio_pad_attr[1].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006972 .q (reg2hw.dio_pad_attr[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006973 .qs (dio_pad_attr_1_qs)
6974 );
6975
6976 // Subregister 2 of Multireg dio_pad_attr
6977 // R[dio_pad_attr_2]: V(True)
6978
6979 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006980 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006981 ) u_dio_pad_attr_2 (
6982 .re (dio_pad_attr_2_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006983 .we (dio_pad_attr_2_we & dio_pad_attr_regwen_2_qs),
6984 .wd (dio_pad_attr_2_wd),
6985 .d (hw2reg.dio_pad_attr[2].d),
6986 .qre (),
6987 .qe (reg2hw.dio_pad_attr[2].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01006988 .q (reg2hw.dio_pad_attr[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006989 .qs (dio_pad_attr_2_qs)
6990 );
6991
6992 // Subregister 3 of Multireg dio_pad_attr
6993 // R[dio_pad_attr_3]: V(True)
6994
6995 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07006996 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006997 ) u_dio_pad_attr_3 (
6998 .re (dio_pad_attr_3_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006999 .we (dio_pad_attr_3_we & dio_pad_attr_regwen_3_qs),
7000 .wd (dio_pad_attr_3_wd),
7001 .d (hw2reg.dio_pad_attr[3].d),
7002 .qre (),
7003 .qe (reg2hw.dio_pad_attr[3].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007004 .q (reg2hw.dio_pad_attr[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007005 .qs (dio_pad_attr_3_qs)
7006 );
7007
7008 // Subregister 4 of Multireg dio_pad_attr
7009 // R[dio_pad_attr_4]: V(True)
7010
7011 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007012 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007013 ) u_dio_pad_attr_4 (
7014 .re (dio_pad_attr_4_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007015 .we (dio_pad_attr_4_we & dio_pad_attr_regwen_4_qs),
7016 .wd (dio_pad_attr_4_wd),
7017 .d (hw2reg.dio_pad_attr[4].d),
7018 .qre (),
7019 .qe (reg2hw.dio_pad_attr[4].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007020 .q (reg2hw.dio_pad_attr[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007021 .qs (dio_pad_attr_4_qs)
7022 );
7023
7024 // Subregister 5 of Multireg dio_pad_attr
7025 // R[dio_pad_attr_5]: V(True)
7026
7027 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007028 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007029 ) u_dio_pad_attr_5 (
7030 .re (dio_pad_attr_5_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007031 .we (dio_pad_attr_5_we & dio_pad_attr_regwen_5_qs),
7032 .wd (dio_pad_attr_5_wd),
7033 .d (hw2reg.dio_pad_attr[5].d),
7034 .qre (),
7035 .qe (reg2hw.dio_pad_attr[5].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007036 .q (reg2hw.dio_pad_attr[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007037 .qs (dio_pad_attr_5_qs)
7038 );
7039
7040 // Subregister 6 of Multireg dio_pad_attr
7041 // R[dio_pad_attr_6]: V(True)
7042
7043 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007044 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007045 ) u_dio_pad_attr_6 (
7046 .re (dio_pad_attr_6_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007047 .we (dio_pad_attr_6_we & dio_pad_attr_regwen_6_qs),
7048 .wd (dio_pad_attr_6_wd),
7049 .d (hw2reg.dio_pad_attr[6].d),
7050 .qre (),
7051 .qe (reg2hw.dio_pad_attr[6].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007052 .q (reg2hw.dio_pad_attr[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007053 .qs (dio_pad_attr_6_qs)
7054 );
7055
7056 // Subregister 7 of Multireg dio_pad_attr
7057 // R[dio_pad_attr_7]: V(True)
7058
7059 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007060 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007061 ) u_dio_pad_attr_7 (
7062 .re (dio_pad_attr_7_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007063 .we (dio_pad_attr_7_we & dio_pad_attr_regwen_7_qs),
7064 .wd (dio_pad_attr_7_wd),
7065 .d (hw2reg.dio_pad_attr[7].d),
7066 .qre (),
7067 .qe (reg2hw.dio_pad_attr[7].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007068 .q (reg2hw.dio_pad_attr[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007069 .qs (dio_pad_attr_7_qs)
7070 );
7071
7072 // Subregister 8 of Multireg dio_pad_attr
7073 // R[dio_pad_attr_8]: V(True)
7074
7075 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007076 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007077 ) u_dio_pad_attr_8 (
7078 .re (dio_pad_attr_8_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007079 .we (dio_pad_attr_8_we & dio_pad_attr_regwen_8_qs),
7080 .wd (dio_pad_attr_8_wd),
7081 .d (hw2reg.dio_pad_attr[8].d),
7082 .qre (),
7083 .qe (reg2hw.dio_pad_attr[8].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007084 .q (reg2hw.dio_pad_attr[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007085 .qs (dio_pad_attr_8_qs)
7086 );
7087
7088 // Subregister 9 of Multireg dio_pad_attr
7089 // R[dio_pad_attr_9]: V(True)
7090
7091 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007092 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007093 ) u_dio_pad_attr_9 (
7094 .re (dio_pad_attr_9_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007095 .we (dio_pad_attr_9_we & dio_pad_attr_regwen_9_qs),
7096 .wd (dio_pad_attr_9_wd),
7097 .d (hw2reg.dio_pad_attr[9].d),
7098 .qre (),
7099 .qe (reg2hw.dio_pad_attr[9].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007100 .q (reg2hw.dio_pad_attr[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007101 .qs (dio_pad_attr_9_qs)
7102 );
7103
7104 // Subregister 10 of Multireg dio_pad_attr
7105 // R[dio_pad_attr_10]: V(True)
7106
7107 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007108 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007109 ) u_dio_pad_attr_10 (
7110 .re (dio_pad_attr_10_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007111 .we (dio_pad_attr_10_we & dio_pad_attr_regwen_10_qs),
7112 .wd (dio_pad_attr_10_wd),
7113 .d (hw2reg.dio_pad_attr[10].d),
7114 .qre (),
7115 .qe (reg2hw.dio_pad_attr[10].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007116 .q (reg2hw.dio_pad_attr[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007117 .qs (dio_pad_attr_10_qs)
7118 );
7119
7120 // Subregister 11 of Multireg dio_pad_attr
7121 // R[dio_pad_attr_11]: V(True)
7122
7123 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007124 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007125 ) u_dio_pad_attr_11 (
7126 .re (dio_pad_attr_11_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007127 .we (dio_pad_attr_11_we & dio_pad_attr_regwen_11_qs),
7128 .wd (dio_pad_attr_11_wd),
7129 .d (hw2reg.dio_pad_attr[11].d),
7130 .qre (),
7131 .qe (reg2hw.dio_pad_attr[11].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007132 .q (reg2hw.dio_pad_attr[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007133 .qs (dio_pad_attr_11_qs)
7134 );
7135
7136 // Subregister 12 of Multireg dio_pad_attr
7137 // R[dio_pad_attr_12]: V(True)
7138
7139 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007140 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007141 ) u_dio_pad_attr_12 (
7142 .re (dio_pad_attr_12_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007143 .we (dio_pad_attr_12_we & dio_pad_attr_regwen_12_qs),
7144 .wd (dio_pad_attr_12_wd),
7145 .d (hw2reg.dio_pad_attr[12].d),
7146 .qre (),
7147 .qe (reg2hw.dio_pad_attr[12].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007148 .q (reg2hw.dio_pad_attr[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007149 .qs (dio_pad_attr_12_qs)
7150 );
7151
7152 // Subregister 13 of Multireg dio_pad_attr
7153 // R[dio_pad_attr_13]: V(True)
7154
7155 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007156 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007157 ) u_dio_pad_attr_13 (
7158 .re (dio_pad_attr_13_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007159 .we (dio_pad_attr_13_we & dio_pad_attr_regwen_13_qs),
7160 .wd (dio_pad_attr_13_wd),
7161 .d (hw2reg.dio_pad_attr[13].d),
7162 .qre (),
7163 .qe (reg2hw.dio_pad_attr[13].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007164 .q (reg2hw.dio_pad_attr[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007165 .qs (dio_pad_attr_13_qs)
7166 );
7167
7168 // Subregister 14 of Multireg dio_pad_attr
7169 // R[dio_pad_attr_14]: V(True)
7170
7171 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007172 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007173 ) u_dio_pad_attr_14 (
7174 .re (dio_pad_attr_14_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007175 .we (dio_pad_attr_14_we & dio_pad_attr_regwen_14_qs),
7176 .wd (dio_pad_attr_14_wd),
7177 .d (hw2reg.dio_pad_attr[14].d),
7178 .qre (),
7179 .qe (reg2hw.dio_pad_attr[14].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007180 .q (reg2hw.dio_pad_attr[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007181 .qs (dio_pad_attr_14_qs)
7182 );
7183
7184 // Subregister 15 of Multireg dio_pad_attr
7185 // R[dio_pad_attr_15]: V(True)
7186
7187 prim_subreg_ext #(
Michael Schaffner6766d262021-04-08 18:23:58 -07007188 .DW (13)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007189 ) u_dio_pad_attr_15 (
7190 .re (dio_pad_attr_15_re),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007191 .we (dio_pad_attr_15_we & dio_pad_attr_regwen_15_qs),
7192 .wd (dio_pad_attr_15_wd),
7193 .d (hw2reg.dio_pad_attr[15].d),
7194 .qre (),
7195 .qe (reg2hw.dio_pad_attr[15].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007196 .q (reg2hw.dio_pad_attr[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007197 .qs (dio_pad_attr_15_qs)
7198 );
7199
7200
7201
7202 // Subregister 0 of Multireg mio_pad_sleep_status
7203 // R[mio_pad_sleep_status]: V(False)
7204
7205 // F[en_0]: 0:0
7206 prim_subreg #(
7207 .DW (1),
7208 .SWACCESS("W0C"),
7209 .RESVAL (1'h0)
7210 ) u_mio_pad_sleep_status_en_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007211 .clk_i (clk_i),
7212 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007213
7214 // from register interface
7215 .we (mio_pad_sleep_status_en_0_we),
7216 .wd (mio_pad_sleep_status_en_0_wd),
7217
7218 // from internal hardware
7219 .de (hw2reg.mio_pad_sleep_status[0].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007220 .d (hw2reg.mio_pad_sleep_status[0].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007221
7222 // to internal hardware
7223 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007224 .q (reg2hw.mio_pad_sleep_status[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007225
7226 // to register interface (read)
7227 .qs (mio_pad_sleep_status_en_0_qs)
7228 );
7229
7230
7231 // F[en_1]: 1:1
7232 prim_subreg #(
7233 .DW (1),
7234 .SWACCESS("W0C"),
7235 .RESVAL (1'h0)
7236 ) u_mio_pad_sleep_status_en_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007237 .clk_i (clk_i),
7238 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007239
7240 // from register interface
7241 .we (mio_pad_sleep_status_en_1_we),
7242 .wd (mio_pad_sleep_status_en_1_wd),
7243
7244 // from internal hardware
7245 .de (hw2reg.mio_pad_sleep_status[1].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007246 .d (hw2reg.mio_pad_sleep_status[1].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007247
7248 // to internal hardware
7249 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007250 .q (reg2hw.mio_pad_sleep_status[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007251
7252 // to register interface (read)
7253 .qs (mio_pad_sleep_status_en_1_qs)
7254 );
7255
7256
7257 // F[en_2]: 2:2
7258 prim_subreg #(
7259 .DW (1),
7260 .SWACCESS("W0C"),
7261 .RESVAL (1'h0)
7262 ) u_mio_pad_sleep_status_en_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007263 .clk_i (clk_i),
7264 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007265
7266 // from register interface
7267 .we (mio_pad_sleep_status_en_2_we),
7268 .wd (mio_pad_sleep_status_en_2_wd),
7269
7270 // from internal hardware
7271 .de (hw2reg.mio_pad_sleep_status[2].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007272 .d (hw2reg.mio_pad_sleep_status[2].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007273
7274 // to internal hardware
7275 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007276 .q (reg2hw.mio_pad_sleep_status[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007277
7278 // to register interface (read)
7279 .qs (mio_pad_sleep_status_en_2_qs)
7280 );
7281
7282
7283 // F[en_3]: 3:3
7284 prim_subreg #(
7285 .DW (1),
7286 .SWACCESS("W0C"),
7287 .RESVAL (1'h0)
7288 ) u_mio_pad_sleep_status_en_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007289 .clk_i (clk_i),
7290 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007291
7292 // from register interface
7293 .we (mio_pad_sleep_status_en_3_we),
7294 .wd (mio_pad_sleep_status_en_3_wd),
7295
7296 // from internal hardware
7297 .de (hw2reg.mio_pad_sleep_status[3].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007298 .d (hw2reg.mio_pad_sleep_status[3].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007299
7300 // to internal hardware
7301 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007302 .q (reg2hw.mio_pad_sleep_status[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007303
7304 // to register interface (read)
7305 .qs (mio_pad_sleep_status_en_3_qs)
7306 );
7307
7308
7309 // F[en_4]: 4:4
7310 prim_subreg #(
7311 .DW (1),
7312 .SWACCESS("W0C"),
7313 .RESVAL (1'h0)
7314 ) u_mio_pad_sleep_status_en_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007315 .clk_i (clk_i),
7316 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007317
7318 // from register interface
7319 .we (mio_pad_sleep_status_en_4_we),
7320 .wd (mio_pad_sleep_status_en_4_wd),
7321
7322 // from internal hardware
7323 .de (hw2reg.mio_pad_sleep_status[4].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007324 .d (hw2reg.mio_pad_sleep_status[4].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007325
7326 // to internal hardware
7327 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007328 .q (reg2hw.mio_pad_sleep_status[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007329
7330 // to register interface (read)
7331 .qs (mio_pad_sleep_status_en_4_qs)
7332 );
7333
7334
7335 // F[en_5]: 5:5
7336 prim_subreg #(
7337 .DW (1),
7338 .SWACCESS("W0C"),
7339 .RESVAL (1'h0)
7340 ) u_mio_pad_sleep_status_en_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007341 .clk_i (clk_i),
7342 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007343
7344 // from register interface
7345 .we (mio_pad_sleep_status_en_5_we),
7346 .wd (mio_pad_sleep_status_en_5_wd),
7347
7348 // from internal hardware
7349 .de (hw2reg.mio_pad_sleep_status[5].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007350 .d (hw2reg.mio_pad_sleep_status[5].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007351
7352 // to internal hardware
7353 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007354 .q (reg2hw.mio_pad_sleep_status[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007355
7356 // to register interface (read)
7357 .qs (mio_pad_sleep_status_en_5_qs)
7358 );
7359
7360
7361 // F[en_6]: 6:6
7362 prim_subreg #(
7363 .DW (1),
7364 .SWACCESS("W0C"),
7365 .RESVAL (1'h0)
7366 ) u_mio_pad_sleep_status_en_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007367 .clk_i (clk_i),
7368 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007369
7370 // from register interface
7371 .we (mio_pad_sleep_status_en_6_we),
7372 .wd (mio_pad_sleep_status_en_6_wd),
7373
7374 // from internal hardware
7375 .de (hw2reg.mio_pad_sleep_status[6].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007376 .d (hw2reg.mio_pad_sleep_status[6].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007377
7378 // to internal hardware
7379 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007380 .q (reg2hw.mio_pad_sleep_status[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007381
7382 // to register interface (read)
7383 .qs (mio_pad_sleep_status_en_6_qs)
7384 );
7385
7386
7387 // F[en_7]: 7:7
7388 prim_subreg #(
7389 .DW (1),
7390 .SWACCESS("W0C"),
7391 .RESVAL (1'h0)
7392 ) u_mio_pad_sleep_status_en_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007393 .clk_i (clk_i),
7394 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007395
7396 // from register interface
7397 .we (mio_pad_sleep_status_en_7_we),
7398 .wd (mio_pad_sleep_status_en_7_wd),
7399
7400 // from internal hardware
7401 .de (hw2reg.mio_pad_sleep_status[7].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007402 .d (hw2reg.mio_pad_sleep_status[7].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007403
7404 // to internal hardware
7405 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007406 .q (reg2hw.mio_pad_sleep_status[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007407
7408 // to register interface (read)
7409 .qs (mio_pad_sleep_status_en_7_qs)
7410 );
7411
7412
7413 // F[en_8]: 8:8
7414 prim_subreg #(
7415 .DW (1),
7416 .SWACCESS("W0C"),
7417 .RESVAL (1'h0)
7418 ) u_mio_pad_sleep_status_en_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007419 .clk_i (clk_i),
7420 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007421
7422 // from register interface
7423 .we (mio_pad_sleep_status_en_8_we),
7424 .wd (mio_pad_sleep_status_en_8_wd),
7425
7426 // from internal hardware
7427 .de (hw2reg.mio_pad_sleep_status[8].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007428 .d (hw2reg.mio_pad_sleep_status[8].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007429
7430 // to internal hardware
7431 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007432 .q (reg2hw.mio_pad_sleep_status[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007433
7434 // to register interface (read)
7435 .qs (mio_pad_sleep_status_en_8_qs)
7436 );
7437
7438
7439 // F[en_9]: 9:9
7440 prim_subreg #(
7441 .DW (1),
7442 .SWACCESS("W0C"),
7443 .RESVAL (1'h0)
7444 ) u_mio_pad_sleep_status_en_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007445 .clk_i (clk_i),
7446 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007447
7448 // from register interface
7449 .we (mio_pad_sleep_status_en_9_we),
7450 .wd (mio_pad_sleep_status_en_9_wd),
7451
7452 // from internal hardware
7453 .de (hw2reg.mio_pad_sleep_status[9].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007454 .d (hw2reg.mio_pad_sleep_status[9].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007455
7456 // to internal hardware
7457 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007458 .q (reg2hw.mio_pad_sleep_status[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007459
7460 // to register interface (read)
7461 .qs (mio_pad_sleep_status_en_9_qs)
7462 );
7463
7464
7465 // F[en_10]: 10:10
7466 prim_subreg #(
7467 .DW (1),
7468 .SWACCESS("W0C"),
7469 .RESVAL (1'h0)
7470 ) u_mio_pad_sleep_status_en_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007471 .clk_i (clk_i),
7472 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007473
7474 // from register interface
7475 .we (mio_pad_sleep_status_en_10_we),
7476 .wd (mio_pad_sleep_status_en_10_wd),
7477
7478 // from internal hardware
7479 .de (hw2reg.mio_pad_sleep_status[10].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007480 .d (hw2reg.mio_pad_sleep_status[10].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007481
7482 // to internal hardware
7483 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007484 .q (reg2hw.mio_pad_sleep_status[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007485
7486 // to register interface (read)
7487 .qs (mio_pad_sleep_status_en_10_qs)
7488 );
7489
7490
7491 // F[en_11]: 11:11
7492 prim_subreg #(
7493 .DW (1),
7494 .SWACCESS("W0C"),
7495 .RESVAL (1'h0)
7496 ) u_mio_pad_sleep_status_en_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007497 .clk_i (clk_i),
7498 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007499
7500 // from register interface
7501 .we (mio_pad_sleep_status_en_11_we),
7502 .wd (mio_pad_sleep_status_en_11_wd),
7503
7504 // from internal hardware
7505 .de (hw2reg.mio_pad_sleep_status[11].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007506 .d (hw2reg.mio_pad_sleep_status[11].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007507
7508 // to internal hardware
7509 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007510 .q (reg2hw.mio_pad_sleep_status[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007511
7512 // to register interface (read)
7513 .qs (mio_pad_sleep_status_en_11_qs)
7514 );
7515
7516
7517 // F[en_12]: 12:12
7518 prim_subreg #(
7519 .DW (1),
7520 .SWACCESS("W0C"),
7521 .RESVAL (1'h0)
7522 ) u_mio_pad_sleep_status_en_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007523 .clk_i (clk_i),
7524 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007525
7526 // from register interface
7527 .we (mio_pad_sleep_status_en_12_we),
7528 .wd (mio_pad_sleep_status_en_12_wd),
7529
7530 // from internal hardware
7531 .de (hw2reg.mio_pad_sleep_status[12].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007532 .d (hw2reg.mio_pad_sleep_status[12].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007533
7534 // to internal hardware
7535 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007536 .q (reg2hw.mio_pad_sleep_status[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007537
7538 // to register interface (read)
7539 .qs (mio_pad_sleep_status_en_12_qs)
7540 );
7541
7542
7543 // F[en_13]: 13:13
7544 prim_subreg #(
7545 .DW (1),
7546 .SWACCESS("W0C"),
7547 .RESVAL (1'h0)
7548 ) u_mio_pad_sleep_status_en_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007549 .clk_i (clk_i),
7550 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007551
7552 // from register interface
7553 .we (mio_pad_sleep_status_en_13_we),
7554 .wd (mio_pad_sleep_status_en_13_wd),
7555
7556 // from internal hardware
7557 .de (hw2reg.mio_pad_sleep_status[13].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007558 .d (hw2reg.mio_pad_sleep_status[13].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007559
7560 // to internal hardware
7561 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007562 .q (reg2hw.mio_pad_sleep_status[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007563
7564 // to register interface (read)
7565 .qs (mio_pad_sleep_status_en_13_qs)
7566 );
7567
7568
7569 // F[en_14]: 14:14
7570 prim_subreg #(
7571 .DW (1),
7572 .SWACCESS("W0C"),
7573 .RESVAL (1'h0)
7574 ) u_mio_pad_sleep_status_en_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007575 .clk_i (clk_i),
7576 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007577
7578 // from register interface
7579 .we (mio_pad_sleep_status_en_14_we),
7580 .wd (mio_pad_sleep_status_en_14_wd),
7581
7582 // from internal hardware
7583 .de (hw2reg.mio_pad_sleep_status[14].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007584 .d (hw2reg.mio_pad_sleep_status[14].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007585
7586 // to internal hardware
7587 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007588 .q (reg2hw.mio_pad_sleep_status[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007589
7590 // to register interface (read)
7591 .qs (mio_pad_sleep_status_en_14_qs)
7592 );
7593
7594
7595 // F[en_15]: 15:15
7596 prim_subreg #(
7597 .DW (1),
7598 .SWACCESS("W0C"),
7599 .RESVAL (1'h0)
7600 ) u_mio_pad_sleep_status_en_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007601 .clk_i (clk_i),
7602 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007603
7604 // from register interface
7605 .we (mio_pad_sleep_status_en_15_we),
7606 .wd (mio_pad_sleep_status_en_15_wd),
7607
7608 // from internal hardware
7609 .de (hw2reg.mio_pad_sleep_status[15].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007610 .d (hw2reg.mio_pad_sleep_status[15].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007611
7612 // to internal hardware
7613 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007614 .q (reg2hw.mio_pad_sleep_status[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007615
7616 // to register interface (read)
7617 .qs (mio_pad_sleep_status_en_15_qs)
7618 );
7619
7620
7621 // F[en_16]: 16:16
7622 prim_subreg #(
7623 .DW (1),
7624 .SWACCESS("W0C"),
7625 .RESVAL (1'h0)
7626 ) u_mio_pad_sleep_status_en_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007627 .clk_i (clk_i),
7628 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007629
7630 // from register interface
7631 .we (mio_pad_sleep_status_en_16_we),
7632 .wd (mio_pad_sleep_status_en_16_wd),
7633
7634 // from internal hardware
7635 .de (hw2reg.mio_pad_sleep_status[16].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007636 .d (hw2reg.mio_pad_sleep_status[16].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007637
7638 // to internal hardware
7639 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007640 .q (reg2hw.mio_pad_sleep_status[16].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007641
7642 // to register interface (read)
7643 .qs (mio_pad_sleep_status_en_16_qs)
7644 );
7645
7646
7647 // F[en_17]: 17:17
7648 prim_subreg #(
7649 .DW (1),
7650 .SWACCESS("W0C"),
7651 .RESVAL (1'h0)
7652 ) u_mio_pad_sleep_status_en_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007653 .clk_i (clk_i),
7654 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007655
7656 // from register interface
7657 .we (mio_pad_sleep_status_en_17_we),
7658 .wd (mio_pad_sleep_status_en_17_wd),
7659
7660 // from internal hardware
7661 .de (hw2reg.mio_pad_sleep_status[17].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007662 .d (hw2reg.mio_pad_sleep_status[17].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007663
7664 // to internal hardware
7665 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007666 .q (reg2hw.mio_pad_sleep_status[17].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007667
7668 // to register interface (read)
7669 .qs (mio_pad_sleep_status_en_17_qs)
7670 );
7671
7672
7673 // F[en_18]: 18:18
7674 prim_subreg #(
7675 .DW (1),
7676 .SWACCESS("W0C"),
7677 .RESVAL (1'h0)
7678 ) u_mio_pad_sleep_status_en_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007679 .clk_i (clk_i),
7680 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007681
7682 // from register interface
7683 .we (mio_pad_sleep_status_en_18_we),
7684 .wd (mio_pad_sleep_status_en_18_wd),
7685
7686 // from internal hardware
7687 .de (hw2reg.mio_pad_sleep_status[18].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007688 .d (hw2reg.mio_pad_sleep_status[18].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007689
7690 // to internal hardware
7691 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007692 .q (reg2hw.mio_pad_sleep_status[18].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007693
7694 // to register interface (read)
7695 .qs (mio_pad_sleep_status_en_18_qs)
7696 );
7697
7698
7699 // F[en_19]: 19:19
7700 prim_subreg #(
7701 .DW (1),
7702 .SWACCESS("W0C"),
7703 .RESVAL (1'h0)
7704 ) u_mio_pad_sleep_status_en_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007705 .clk_i (clk_i),
7706 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007707
7708 // from register interface
7709 .we (mio_pad_sleep_status_en_19_we),
7710 .wd (mio_pad_sleep_status_en_19_wd),
7711
7712 // from internal hardware
7713 .de (hw2reg.mio_pad_sleep_status[19].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007714 .d (hw2reg.mio_pad_sleep_status[19].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007715
7716 // to internal hardware
7717 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007718 .q (reg2hw.mio_pad_sleep_status[19].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007719
7720 // to register interface (read)
7721 .qs (mio_pad_sleep_status_en_19_qs)
7722 );
7723
7724
7725 // F[en_20]: 20:20
7726 prim_subreg #(
7727 .DW (1),
7728 .SWACCESS("W0C"),
7729 .RESVAL (1'h0)
7730 ) u_mio_pad_sleep_status_en_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007731 .clk_i (clk_i),
7732 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007733
7734 // from register interface
7735 .we (mio_pad_sleep_status_en_20_we),
7736 .wd (mio_pad_sleep_status_en_20_wd),
7737
7738 // from internal hardware
7739 .de (hw2reg.mio_pad_sleep_status[20].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007740 .d (hw2reg.mio_pad_sleep_status[20].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007741
7742 // to internal hardware
7743 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007744 .q (reg2hw.mio_pad_sleep_status[20].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007745
7746 // to register interface (read)
7747 .qs (mio_pad_sleep_status_en_20_qs)
7748 );
7749
7750
7751 // F[en_21]: 21:21
7752 prim_subreg #(
7753 .DW (1),
7754 .SWACCESS("W0C"),
7755 .RESVAL (1'h0)
7756 ) u_mio_pad_sleep_status_en_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007757 .clk_i (clk_i),
7758 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007759
7760 // from register interface
7761 .we (mio_pad_sleep_status_en_21_we),
7762 .wd (mio_pad_sleep_status_en_21_wd),
7763
7764 // from internal hardware
7765 .de (hw2reg.mio_pad_sleep_status[21].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007766 .d (hw2reg.mio_pad_sleep_status[21].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007767
7768 // to internal hardware
7769 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007770 .q (reg2hw.mio_pad_sleep_status[21].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007771
7772 // to register interface (read)
7773 .qs (mio_pad_sleep_status_en_21_qs)
7774 );
7775
7776
7777 // F[en_22]: 22:22
7778 prim_subreg #(
7779 .DW (1),
7780 .SWACCESS("W0C"),
7781 .RESVAL (1'h0)
7782 ) u_mio_pad_sleep_status_en_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007783 .clk_i (clk_i),
7784 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007785
7786 // from register interface
7787 .we (mio_pad_sleep_status_en_22_we),
7788 .wd (mio_pad_sleep_status_en_22_wd),
7789
7790 // from internal hardware
7791 .de (hw2reg.mio_pad_sleep_status[22].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007792 .d (hw2reg.mio_pad_sleep_status[22].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007793
7794 // to internal hardware
7795 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007796 .q (reg2hw.mio_pad_sleep_status[22].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007797
7798 // to register interface (read)
7799 .qs (mio_pad_sleep_status_en_22_qs)
7800 );
7801
7802
7803 // F[en_23]: 23:23
7804 prim_subreg #(
7805 .DW (1),
7806 .SWACCESS("W0C"),
7807 .RESVAL (1'h0)
7808 ) u_mio_pad_sleep_status_en_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007809 .clk_i (clk_i),
7810 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007811
7812 // from register interface
7813 .we (mio_pad_sleep_status_en_23_we),
7814 .wd (mio_pad_sleep_status_en_23_wd),
7815
7816 // from internal hardware
7817 .de (hw2reg.mio_pad_sleep_status[23].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007818 .d (hw2reg.mio_pad_sleep_status[23].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007819
7820 // to internal hardware
7821 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007822 .q (reg2hw.mio_pad_sleep_status[23].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007823
7824 // to register interface (read)
7825 .qs (mio_pad_sleep_status_en_23_qs)
7826 );
7827
7828
7829 // F[en_24]: 24:24
7830 prim_subreg #(
7831 .DW (1),
7832 .SWACCESS("W0C"),
7833 .RESVAL (1'h0)
7834 ) u_mio_pad_sleep_status_en_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007835 .clk_i (clk_i),
7836 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007837
7838 // from register interface
7839 .we (mio_pad_sleep_status_en_24_we),
7840 .wd (mio_pad_sleep_status_en_24_wd),
7841
7842 // from internal hardware
7843 .de (hw2reg.mio_pad_sleep_status[24].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007844 .d (hw2reg.mio_pad_sleep_status[24].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007845
7846 // to internal hardware
7847 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007848 .q (reg2hw.mio_pad_sleep_status[24].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007849
7850 // to register interface (read)
7851 .qs (mio_pad_sleep_status_en_24_qs)
7852 );
7853
7854
7855 // F[en_25]: 25:25
7856 prim_subreg #(
7857 .DW (1),
7858 .SWACCESS("W0C"),
7859 .RESVAL (1'h0)
7860 ) u_mio_pad_sleep_status_en_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007861 .clk_i (clk_i),
7862 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007863
7864 // from register interface
7865 .we (mio_pad_sleep_status_en_25_we),
7866 .wd (mio_pad_sleep_status_en_25_wd),
7867
7868 // from internal hardware
7869 .de (hw2reg.mio_pad_sleep_status[25].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007870 .d (hw2reg.mio_pad_sleep_status[25].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007871
7872 // to internal hardware
7873 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007874 .q (reg2hw.mio_pad_sleep_status[25].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007875
7876 // to register interface (read)
7877 .qs (mio_pad_sleep_status_en_25_qs)
7878 );
7879
7880
7881 // F[en_26]: 26:26
7882 prim_subreg #(
7883 .DW (1),
7884 .SWACCESS("W0C"),
7885 .RESVAL (1'h0)
7886 ) u_mio_pad_sleep_status_en_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007887 .clk_i (clk_i),
7888 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007889
7890 // from register interface
7891 .we (mio_pad_sleep_status_en_26_we),
7892 .wd (mio_pad_sleep_status_en_26_wd),
7893
7894 // from internal hardware
7895 .de (hw2reg.mio_pad_sleep_status[26].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007896 .d (hw2reg.mio_pad_sleep_status[26].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007897
7898 // to internal hardware
7899 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007900 .q (reg2hw.mio_pad_sleep_status[26].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007901
7902 // to register interface (read)
7903 .qs (mio_pad_sleep_status_en_26_qs)
7904 );
7905
7906
7907 // F[en_27]: 27:27
7908 prim_subreg #(
7909 .DW (1),
7910 .SWACCESS("W0C"),
7911 .RESVAL (1'h0)
7912 ) u_mio_pad_sleep_status_en_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007913 .clk_i (clk_i),
7914 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007915
7916 // from register interface
7917 .we (mio_pad_sleep_status_en_27_we),
7918 .wd (mio_pad_sleep_status_en_27_wd),
7919
7920 // from internal hardware
7921 .de (hw2reg.mio_pad_sleep_status[27].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007922 .d (hw2reg.mio_pad_sleep_status[27].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007923
7924 // to internal hardware
7925 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007926 .q (reg2hw.mio_pad_sleep_status[27].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007927
7928 // to register interface (read)
7929 .qs (mio_pad_sleep_status_en_27_qs)
7930 );
7931
7932
7933 // F[en_28]: 28:28
7934 prim_subreg #(
7935 .DW (1),
7936 .SWACCESS("W0C"),
7937 .RESVAL (1'h0)
7938 ) u_mio_pad_sleep_status_en_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007939 .clk_i (clk_i),
7940 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007941
7942 // from register interface
7943 .we (mio_pad_sleep_status_en_28_we),
7944 .wd (mio_pad_sleep_status_en_28_wd),
7945
7946 // from internal hardware
7947 .de (hw2reg.mio_pad_sleep_status[28].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007948 .d (hw2reg.mio_pad_sleep_status[28].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007949
7950 // to internal hardware
7951 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007952 .q (reg2hw.mio_pad_sleep_status[28].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007953
7954 // to register interface (read)
7955 .qs (mio_pad_sleep_status_en_28_qs)
7956 );
7957
7958
7959 // F[en_29]: 29:29
7960 prim_subreg #(
7961 .DW (1),
7962 .SWACCESS("W0C"),
7963 .RESVAL (1'h0)
7964 ) u_mio_pad_sleep_status_en_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007965 .clk_i (clk_i),
7966 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007967
7968 // from register interface
7969 .we (mio_pad_sleep_status_en_29_we),
7970 .wd (mio_pad_sleep_status_en_29_wd),
7971
7972 // from internal hardware
7973 .de (hw2reg.mio_pad_sleep_status[29].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007974 .d (hw2reg.mio_pad_sleep_status[29].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007975
7976 // to internal hardware
7977 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007978 .q (reg2hw.mio_pad_sleep_status[29].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007979
7980 // to register interface (read)
7981 .qs (mio_pad_sleep_status_en_29_qs)
7982 );
7983
7984
7985 // F[en_30]: 30:30
7986 prim_subreg #(
7987 .DW (1),
7988 .SWACCESS("W0C"),
7989 .RESVAL (1'h0)
7990 ) u_mio_pad_sleep_status_en_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01007991 .clk_i (clk_i),
7992 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08007993
7994 // from register interface
7995 .we (mio_pad_sleep_status_en_30_we),
7996 .wd (mio_pad_sleep_status_en_30_wd),
7997
7998 // from internal hardware
7999 .de (hw2reg.mio_pad_sleep_status[30].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008000 .d (hw2reg.mio_pad_sleep_status[30].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008001
8002 // to internal hardware
8003 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008004 .q (reg2hw.mio_pad_sleep_status[30].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008005
8006 // to register interface (read)
8007 .qs (mio_pad_sleep_status_en_30_qs)
8008 );
8009
8010
8011 // F[en_31]: 31:31
8012 prim_subreg #(
8013 .DW (1),
8014 .SWACCESS("W0C"),
8015 .RESVAL (1'h0)
8016 ) u_mio_pad_sleep_status_en_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008017 .clk_i (clk_i),
8018 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008019
8020 // from register interface
8021 .we (mio_pad_sleep_status_en_31_we),
8022 .wd (mio_pad_sleep_status_en_31_wd),
8023
8024 // from internal hardware
8025 .de (hw2reg.mio_pad_sleep_status[31].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008026 .d (hw2reg.mio_pad_sleep_status[31].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008027
8028 // to internal hardware
8029 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008030 .q (reg2hw.mio_pad_sleep_status[31].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008031
8032 // to register interface (read)
8033 .qs (mio_pad_sleep_status_en_31_qs)
8034 );
8035
8036
8037
8038
8039 // Subregister 0 of Multireg mio_pad_sleep_regwen
8040 // R[mio_pad_sleep_regwen_0]: V(False)
8041
8042 prim_subreg #(
8043 .DW (1),
8044 .SWACCESS("W0C"),
8045 .RESVAL (1'h1)
8046 ) u_mio_pad_sleep_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008047 .clk_i (clk_i),
8048 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008049
8050 // from register interface
8051 .we (mio_pad_sleep_regwen_0_we),
8052 .wd (mio_pad_sleep_regwen_0_wd),
8053
8054 // from internal hardware
8055 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008056 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008057
8058 // to internal hardware
8059 .qe (),
8060 .q (),
8061
8062 // to register interface (read)
8063 .qs (mio_pad_sleep_regwen_0_qs)
8064 );
8065
8066 // Subregister 1 of Multireg mio_pad_sleep_regwen
8067 // R[mio_pad_sleep_regwen_1]: V(False)
8068
8069 prim_subreg #(
8070 .DW (1),
8071 .SWACCESS("W0C"),
8072 .RESVAL (1'h1)
8073 ) u_mio_pad_sleep_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008074 .clk_i (clk_i),
8075 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008076
8077 // from register interface
8078 .we (mio_pad_sleep_regwen_1_we),
8079 .wd (mio_pad_sleep_regwen_1_wd),
8080
8081 // from internal hardware
8082 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008083 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008084
8085 // to internal hardware
8086 .qe (),
8087 .q (),
8088
8089 // to register interface (read)
8090 .qs (mio_pad_sleep_regwen_1_qs)
8091 );
8092
8093 // Subregister 2 of Multireg mio_pad_sleep_regwen
8094 // R[mio_pad_sleep_regwen_2]: V(False)
8095
8096 prim_subreg #(
8097 .DW (1),
8098 .SWACCESS("W0C"),
8099 .RESVAL (1'h1)
8100 ) u_mio_pad_sleep_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008101 .clk_i (clk_i),
8102 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008103
8104 // from register interface
8105 .we (mio_pad_sleep_regwen_2_we),
8106 .wd (mio_pad_sleep_regwen_2_wd),
8107
8108 // from internal hardware
8109 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008110 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008111
8112 // to internal hardware
8113 .qe (),
8114 .q (),
8115
8116 // to register interface (read)
8117 .qs (mio_pad_sleep_regwen_2_qs)
8118 );
8119
8120 // Subregister 3 of Multireg mio_pad_sleep_regwen
8121 // R[mio_pad_sleep_regwen_3]: V(False)
8122
8123 prim_subreg #(
8124 .DW (1),
8125 .SWACCESS("W0C"),
8126 .RESVAL (1'h1)
8127 ) u_mio_pad_sleep_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008128 .clk_i (clk_i),
8129 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008130
8131 // from register interface
8132 .we (mio_pad_sleep_regwen_3_we),
8133 .wd (mio_pad_sleep_regwen_3_wd),
8134
8135 // from internal hardware
8136 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008137 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008138
8139 // to internal hardware
8140 .qe (),
8141 .q (),
8142
8143 // to register interface (read)
8144 .qs (mio_pad_sleep_regwen_3_qs)
8145 );
8146
8147 // Subregister 4 of Multireg mio_pad_sleep_regwen
8148 // R[mio_pad_sleep_regwen_4]: V(False)
8149
8150 prim_subreg #(
8151 .DW (1),
8152 .SWACCESS("W0C"),
8153 .RESVAL (1'h1)
8154 ) u_mio_pad_sleep_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008155 .clk_i (clk_i),
8156 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008157
8158 // from register interface
8159 .we (mio_pad_sleep_regwen_4_we),
8160 .wd (mio_pad_sleep_regwen_4_wd),
8161
8162 // from internal hardware
8163 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008164 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008165
8166 // to internal hardware
8167 .qe (),
8168 .q (),
8169
8170 // to register interface (read)
8171 .qs (mio_pad_sleep_regwen_4_qs)
8172 );
8173
8174 // Subregister 5 of Multireg mio_pad_sleep_regwen
8175 // R[mio_pad_sleep_regwen_5]: V(False)
8176
8177 prim_subreg #(
8178 .DW (1),
8179 .SWACCESS("W0C"),
8180 .RESVAL (1'h1)
8181 ) u_mio_pad_sleep_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008182 .clk_i (clk_i),
8183 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008184
8185 // from register interface
8186 .we (mio_pad_sleep_regwen_5_we),
8187 .wd (mio_pad_sleep_regwen_5_wd),
8188
8189 // from internal hardware
8190 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008191 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008192
8193 // to internal hardware
8194 .qe (),
8195 .q (),
8196
8197 // to register interface (read)
8198 .qs (mio_pad_sleep_regwen_5_qs)
8199 );
8200
8201 // Subregister 6 of Multireg mio_pad_sleep_regwen
8202 // R[mio_pad_sleep_regwen_6]: V(False)
8203
8204 prim_subreg #(
8205 .DW (1),
8206 .SWACCESS("W0C"),
8207 .RESVAL (1'h1)
8208 ) u_mio_pad_sleep_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008209 .clk_i (clk_i),
8210 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008211
8212 // from register interface
8213 .we (mio_pad_sleep_regwen_6_we),
8214 .wd (mio_pad_sleep_regwen_6_wd),
8215
8216 // from internal hardware
8217 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008218 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008219
8220 // to internal hardware
8221 .qe (),
8222 .q (),
8223
8224 // to register interface (read)
8225 .qs (mio_pad_sleep_regwen_6_qs)
8226 );
8227
8228 // Subregister 7 of Multireg mio_pad_sleep_regwen
8229 // R[mio_pad_sleep_regwen_7]: V(False)
8230
8231 prim_subreg #(
8232 .DW (1),
8233 .SWACCESS("W0C"),
8234 .RESVAL (1'h1)
8235 ) u_mio_pad_sleep_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008236 .clk_i (clk_i),
8237 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008238
8239 // from register interface
8240 .we (mio_pad_sleep_regwen_7_we),
8241 .wd (mio_pad_sleep_regwen_7_wd),
8242
8243 // from internal hardware
8244 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008245 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008246
8247 // to internal hardware
8248 .qe (),
8249 .q (),
8250
8251 // to register interface (read)
8252 .qs (mio_pad_sleep_regwen_7_qs)
8253 );
8254
8255 // Subregister 8 of Multireg mio_pad_sleep_regwen
8256 // R[mio_pad_sleep_regwen_8]: V(False)
8257
8258 prim_subreg #(
8259 .DW (1),
8260 .SWACCESS("W0C"),
8261 .RESVAL (1'h1)
8262 ) u_mio_pad_sleep_regwen_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008263 .clk_i (clk_i),
8264 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008265
8266 // from register interface
8267 .we (mio_pad_sleep_regwen_8_we),
8268 .wd (mio_pad_sleep_regwen_8_wd),
8269
8270 // from internal hardware
8271 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008272 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008273
8274 // to internal hardware
8275 .qe (),
8276 .q (),
8277
8278 // to register interface (read)
8279 .qs (mio_pad_sleep_regwen_8_qs)
8280 );
8281
8282 // Subregister 9 of Multireg mio_pad_sleep_regwen
8283 // R[mio_pad_sleep_regwen_9]: V(False)
8284
8285 prim_subreg #(
8286 .DW (1),
8287 .SWACCESS("W0C"),
8288 .RESVAL (1'h1)
8289 ) u_mio_pad_sleep_regwen_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008290 .clk_i (clk_i),
8291 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008292
8293 // from register interface
8294 .we (mio_pad_sleep_regwen_9_we),
8295 .wd (mio_pad_sleep_regwen_9_wd),
8296
8297 // from internal hardware
8298 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008299 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008300
8301 // to internal hardware
8302 .qe (),
8303 .q (),
8304
8305 // to register interface (read)
8306 .qs (mio_pad_sleep_regwen_9_qs)
8307 );
8308
8309 // Subregister 10 of Multireg mio_pad_sleep_regwen
8310 // R[mio_pad_sleep_regwen_10]: V(False)
8311
8312 prim_subreg #(
8313 .DW (1),
8314 .SWACCESS("W0C"),
8315 .RESVAL (1'h1)
8316 ) u_mio_pad_sleep_regwen_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008317 .clk_i (clk_i),
8318 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008319
8320 // from register interface
8321 .we (mio_pad_sleep_regwen_10_we),
8322 .wd (mio_pad_sleep_regwen_10_wd),
8323
8324 // from internal hardware
8325 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008326 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008327
8328 // to internal hardware
8329 .qe (),
8330 .q (),
8331
8332 // to register interface (read)
8333 .qs (mio_pad_sleep_regwen_10_qs)
8334 );
8335
8336 // Subregister 11 of Multireg mio_pad_sleep_regwen
8337 // R[mio_pad_sleep_regwen_11]: V(False)
8338
8339 prim_subreg #(
8340 .DW (1),
8341 .SWACCESS("W0C"),
8342 .RESVAL (1'h1)
8343 ) u_mio_pad_sleep_regwen_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008344 .clk_i (clk_i),
8345 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008346
8347 // from register interface
8348 .we (mio_pad_sleep_regwen_11_we),
8349 .wd (mio_pad_sleep_regwen_11_wd),
8350
8351 // from internal hardware
8352 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008353 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008354
8355 // to internal hardware
8356 .qe (),
8357 .q (),
8358
8359 // to register interface (read)
8360 .qs (mio_pad_sleep_regwen_11_qs)
8361 );
8362
8363 // Subregister 12 of Multireg mio_pad_sleep_regwen
8364 // R[mio_pad_sleep_regwen_12]: V(False)
8365
8366 prim_subreg #(
8367 .DW (1),
8368 .SWACCESS("W0C"),
8369 .RESVAL (1'h1)
8370 ) u_mio_pad_sleep_regwen_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008371 .clk_i (clk_i),
8372 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008373
8374 // from register interface
8375 .we (mio_pad_sleep_regwen_12_we),
8376 .wd (mio_pad_sleep_regwen_12_wd),
8377
8378 // from internal hardware
8379 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008380 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008381
8382 // to internal hardware
8383 .qe (),
8384 .q (),
8385
8386 // to register interface (read)
8387 .qs (mio_pad_sleep_regwen_12_qs)
8388 );
8389
8390 // Subregister 13 of Multireg mio_pad_sleep_regwen
8391 // R[mio_pad_sleep_regwen_13]: V(False)
8392
8393 prim_subreg #(
8394 .DW (1),
8395 .SWACCESS("W0C"),
8396 .RESVAL (1'h1)
8397 ) u_mio_pad_sleep_regwen_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008398 .clk_i (clk_i),
8399 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008400
8401 // from register interface
8402 .we (mio_pad_sleep_regwen_13_we),
8403 .wd (mio_pad_sleep_regwen_13_wd),
8404
8405 // from internal hardware
8406 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008407 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008408
8409 // to internal hardware
8410 .qe (),
8411 .q (),
8412
8413 // to register interface (read)
8414 .qs (mio_pad_sleep_regwen_13_qs)
8415 );
8416
8417 // Subregister 14 of Multireg mio_pad_sleep_regwen
8418 // R[mio_pad_sleep_regwen_14]: V(False)
8419
8420 prim_subreg #(
8421 .DW (1),
8422 .SWACCESS("W0C"),
8423 .RESVAL (1'h1)
8424 ) u_mio_pad_sleep_regwen_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008425 .clk_i (clk_i),
8426 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008427
8428 // from register interface
8429 .we (mio_pad_sleep_regwen_14_we),
8430 .wd (mio_pad_sleep_regwen_14_wd),
8431
8432 // from internal hardware
8433 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008434 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008435
8436 // to internal hardware
8437 .qe (),
8438 .q (),
8439
8440 // to register interface (read)
8441 .qs (mio_pad_sleep_regwen_14_qs)
8442 );
8443
8444 // Subregister 15 of Multireg mio_pad_sleep_regwen
8445 // R[mio_pad_sleep_regwen_15]: V(False)
8446
8447 prim_subreg #(
8448 .DW (1),
8449 .SWACCESS("W0C"),
8450 .RESVAL (1'h1)
8451 ) u_mio_pad_sleep_regwen_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008452 .clk_i (clk_i),
8453 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008454
8455 // from register interface
8456 .we (mio_pad_sleep_regwen_15_we),
8457 .wd (mio_pad_sleep_regwen_15_wd),
8458
8459 // from internal hardware
8460 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008461 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008462
8463 // to internal hardware
8464 .qe (),
8465 .q (),
8466
8467 // to register interface (read)
8468 .qs (mio_pad_sleep_regwen_15_qs)
8469 );
8470
8471 // Subregister 16 of Multireg mio_pad_sleep_regwen
8472 // R[mio_pad_sleep_regwen_16]: V(False)
8473
8474 prim_subreg #(
8475 .DW (1),
8476 .SWACCESS("W0C"),
8477 .RESVAL (1'h1)
8478 ) u_mio_pad_sleep_regwen_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008479 .clk_i (clk_i),
8480 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008481
8482 // from register interface
8483 .we (mio_pad_sleep_regwen_16_we),
8484 .wd (mio_pad_sleep_regwen_16_wd),
8485
8486 // from internal hardware
8487 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008488 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008489
8490 // to internal hardware
8491 .qe (),
8492 .q (),
8493
8494 // to register interface (read)
8495 .qs (mio_pad_sleep_regwen_16_qs)
8496 );
8497
8498 // Subregister 17 of Multireg mio_pad_sleep_regwen
8499 // R[mio_pad_sleep_regwen_17]: V(False)
8500
8501 prim_subreg #(
8502 .DW (1),
8503 .SWACCESS("W0C"),
8504 .RESVAL (1'h1)
8505 ) u_mio_pad_sleep_regwen_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008506 .clk_i (clk_i),
8507 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008508
8509 // from register interface
8510 .we (mio_pad_sleep_regwen_17_we),
8511 .wd (mio_pad_sleep_regwen_17_wd),
8512
8513 // from internal hardware
8514 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008515 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008516
8517 // to internal hardware
8518 .qe (),
8519 .q (),
8520
8521 // to register interface (read)
8522 .qs (mio_pad_sleep_regwen_17_qs)
8523 );
8524
8525 // Subregister 18 of Multireg mio_pad_sleep_regwen
8526 // R[mio_pad_sleep_regwen_18]: V(False)
8527
8528 prim_subreg #(
8529 .DW (1),
8530 .SWACCESS("W0C"),
8531 .RESVAL (1'h1)
8532 ) u_mio_pad_sleep_regwen_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008533 .clk_i (clk_i),
8534 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008535
8536 // from register interface
8537 .we (mio_pad_sleep_regwen_18_we),
8538 .wd (mio_pad_sleep_regwen_18_wd),
8539
8540 // from internal hardware
8541 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008542 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008543
8544 // to internal hardware
8545 .qe (),
8546 .q (),
8547
8548 // to register interface (read)
8549 .qs (mio_pad_sleep_regwen_18_qs)
8550 );
8551
8552 // Subregister 19 of Multireg mio_pad_sleep_regwen
8553 // R[mio_pad_sleep_regwen_19]: V(False)
8554
8555 prim_subreg #(
8556 .DW (1),
8557 .SWACCESS("W0C"),
8558 .RESVAL (1'h1)
8559 ) u_mio_pad_sleep_regwen_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008560 .clk_i (clk_i),
8561 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008562
8563 // from register interface
8564 .we (mio_pad_sleep_regwen_19_we),
8565 .wd (mio_pad_sleep_regwen_19_wd),
8566
8567 // from internal hardware
8568 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008569 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008570
8571 // to internal hardware
8572 .qe (),
8573 .q (),
8574
8575 // to register interface (read)
8576 .qs (mio_pad_sleep_regwen_19_qs)
8577 );
8578
8579 // Subregister 20 of Multireg mio_pad_sleep_regwen
8580 // R[mio_pad_sleep_regwen_20]: V(False)
8581
8582 prim_subreg #(
8583 .DW (1),
8584 .SWACCESS("W0C"),
8585 .RESVAL (1'h1)
8586 ) u_mio_pad_sleep_regwen_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008587 .clk_i (clk_i),
8588 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008589
8590 // from register interface
8591 .we (mio_pad_sleep_regwen_20_we),
8592 .wd (mio_pad_sleep_regwen_20_wd),
8593
8594 // from internal hardware
8595 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008596 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008597
8598 // to internal hardware
8599 .qe (),
8600 .q (),
8601
8602 // to register interface (read)
8603 .qs (mio_pad_sleep_regwen_20_qs)
8604 );
8605
8606 // Subregister 21 of Multireg mio_pad_sleep_regwen
8607 // R[mio_pad_sleep_regwen_21]: V(False)
8608
8609 prim_subreg #(
8610 .DW (1),
8611 .SWACCESS("W0C"),
8612 .RESVAL (1'h1)
8613 ) u_mio_pad_sleep_regwen_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008614 .clk_i (clk_i),
8615 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008616
8617 // from register interface
8618 .we (mio_pad_sleep_regwen_21_we),
8619 .wd (mio_pad_sleep_regwen_21_wd),
8620
8621 // from internal hardware
8622 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008623 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008624
8625 // to internal hardware
8626 .qe (),
8627 .q (),
8628
8629 // to register interface (read)
8630 .qs (mio_pad_sleep_regwen_21_qs)
8631 );
8632
8633 // Subregister 22 of Multireg mio_pad_sleep_regwen
8634 // R[mio_pad_sleep_regwen_22]: V(False)
8635
8636 prim_subreg #(
8637 .DW (1),
8638 .SWACCESS("W0C"),
8639 .RESVAL (1'h1)
8640 ) u_mio_pad_sleep_regwen_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008641 .clk_i (clk_i),
8642 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008643
8644 // from register interface
8645 .we (mio_pad_sleep_regwen_22_we),
8646 .wd (mio_pad_sleep_regwen_22_wd),
8647
8648 // from internal hardware
8649 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008650 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008651
8652 // to internal hardware
8653 .qe (),
8654 .q (),
8655
8656 // to register interface (read)
8657 .qs (mio_pad_sleep_regwen_22_qs)
8658 );
8659
8660 // Subregister 23 of Multireg mio_pad_sleep_regwen
8661 // R[mio_pad_sleep_regwen_23]: V(False)
8662
8663 prim_subreg #(
8664 .DW (1),
8665 .SWACCESS("W0C"),
8666 .RESVAL (1'h1)
8667 ) u_mio_pad_sleep_regwen_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008668 .clk_i (clk_i),
8669 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008670
8671 // from register interface
8672 .we (mio_pad_sleep_regwen_23_we),
8673 .wd (mio_pad_sleep_regwen_23_wd),
8674
8675 // from internal hardware
8676 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008677 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008678
8679 // to internal hardware
8680 .qe (),
8681 .q (),
8682
8683 // to register interface (read)
8684 .qs (mio_pad_sleep_regwen_23_qs)
8685 );
8686
8687 // Subregister 24 of Multireg mio_pad_sleep_regwen
8688 // R[mio_pad_sleep_regwen_24]: V(False)
8689
8690 prim_subreg #(
8691 .DW (1),
8692 .SWACCESS("W0C"),
8693 .RESVAL (1'h1)
8694 ) u_mio_pad_sleep_regwen_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008695 .clk_i (clk_i),
8696 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008697
8698 // from register interface
8699 .we (mio_pad_sleep_regwen_24_we),
8700 .wd (mio_pad_sleep_regwen_24_wd),
8701
8702 // from internal hardware
8703 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008704 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008705
8706 // to internal hardware
8707 .qe (),
8708 .q (),
8709
8710 // to register interface (read)
8711 .qs (mio_pad_sleep_regwen_24_qs)
8712 );
8713
8714 // Subregister 25 of Multireg mio_pad_sleep_regwen
8715 // R[mio_pad_sleep_regwen_25]: V(False)
8716
8717 prim_subreg #(
8718 .DW (1),
8719 .SWACCESS("W0C"),
8720 .RESVAL (1'h1)
8721 ) u_mio_pad_sleep_regwen_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008722 .clk_i (clk_i),
8723 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008724
8725 // from register interface
8726 .we (mio_pad_sleep_regwen_25_we),
8727 .wd (mio_pad_sleep_regwen_25_wd),
8728
8729 // from internal hardware
8730 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008731 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008732
8733 // to internal hardware
8734 .qe (),
8735 .q (),
8736
8737 // to register interface (read)
8738 .qs (mio_pad_sleep_regwen_25_qs)
8739 );
8740
8741 // Subregister 26 of Multireg mio_pad_sleep_regwen
8742 // R[mio_pad_sleep_regwen_26]: V(False)
8743
8744 prim_subreg #(
8745 .DW (1),
8746 .SWACCESS("W0C"),
8747 .RESVAL (1'h1)
8748 ) u_mio_pad_sleep_regwen_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008749 .clk_i (clk_i),
8750 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008751
8752 // from register interface
8753 .we (mio_pad_sleep_regwen_26_we),
8754 .wd (mio_pad_sleep_regwen_26_wd),
8755
8756 // from internal hardware
8757 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008758 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008759
8760 // to internal hardware
8761 .qe (),
8762 .q (),
8763
8764 // to register interface (read)
8765 .qs (mio_pad_sleep_regwen_26_qs)
8766 );
8767
8768 // Subregister 27 of Multireg mio_pad_sleep_regwen
8769 // R[mio_pad_sleep_regwen_27]: V(False)
8770
8771 prim_subreg #(
8772 .DW (1),
8773 .SWACCESS("W0C"),
8774 .RESVAL (1'h1)
8775 ) u_mio_pad_sleep_regwen_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008776 .clk_i (clk_i),
8777 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008778
8779 // from register interface
8780 .we (mio_pad_sleep_regwen_27_we),
8781 .wd (mio_pad_sleep_regwen_27_wd),
8782
8783 // from internal hardware
8784 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008785 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008786
8787 // to internal hardware
8788 .qe (),
8789 .q (),
8790
8791 // to register interface (read)
8792 .qs (mio_pad_sleep_regwen_27_qs)
8793 );
8794
8795 // Subregister 28 of Multireg mio_pad_sleep_regwen
8796 // R[mio_pad_sleep_regwen_28]: V(False)
8797
8798 prim_subreg #(
8799 .DW (1),
8800 .SWACCESS("W0C"),
8801 .RESVAL (1'h1)
8802 ) u_mio_pad_sleep_regwen_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008803 .clk_i (clk_i),
8804 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008805
8806 // from register interface
8807 .we (mio_pad_sleep_regwen_28_we),
8808 .wd (mio_pad_sleep_regwen_28_wd),
8809
8810 // from internal hardware
8811 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008812 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008813
8814 // to internal hardware
8815 .qe (),
8816 .q (),
8817
8818 // to register interface (read)
8819 .qs (mio_pad_sleep_regwen_28_qs)
8820 );
8821
8822 // Subregister 29 of Multireg mio_pad_sleep_regwen
8823 // R[mio_pad_sleep_regwen_29]: V(False)
8824
8825 prim_subreg #(
8826 .DW (1),
8827 .SWACCESS("W0C"),
8828 .RESVAL (1'h1)
8829 ) u_mio_pad_sleep_regwen_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008830 .clk_i (clk_i),
8831 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008832
8833 // from register interface
8834 .we (mio_pad_sleep_regwen_29_we),
8835 .wd (mio_pad_sleep_regwen_29_wd),
8836
8837 // from internal hardware
8838 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008839 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008840
8841 // to internal hardware
8842 .qe (),
8843 .q (),
8844
8845 // to register interface (read)
8846 .qs (mio_pad_sleep_regwen_29_qs)
8847 );
8848
8849 // Subregister 30 of Multireg mio_pad_sleep_regwen
8850 // R[mio_pad_sleep_regwen_30]: V(False)
8851
8852 prim_subreg #(
8853 .DW (1),
8854 .SWACCESS("W0C"),
8855 .RESVAL (1'h1)
8856 ) u_mio_pad_sleep_regwen_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008857 .clk_i (clk_i),
8858 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008859
8860 // from register interface
8861 .we (mio_pad_sleep_regwen_30_we),
8862 .wd (mio_pad_sleep_regwen_30_wd),
8863
8864 // from internal hardware
8865 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008866 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008867
8868 // to internal hardware
8869 .qe (),
8870 .q (),
8871
8872 // to register interface (read)
8873 .qs (mio_pad_sleep_regwen_30_qs)
8874 );
8875
8876 // Subregister 31 of Multireg mio_pad_sleep_regwen
8877 // R[mio_pad_sleep_regwen_31]: V(False)
8878
8879 prim_subreg #(
8880 .DW (1),
8881 .SWACCESS("W0C"),
8882 .RESVAL (1'h1)
8883 ) u_mio_pad_sleep_regwen_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008884 .clk_i (clk_i),
8885 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008886
8887 // from register interface
8888 .we (mio_pad_sleep_regwen_31_we),
8889 .wd (mio_pad_sleep_regwen_31_wd),
8890
8891 // from internal hardware
8892 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008893 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008894
8895 // to internal hardware
8896 .qe (),
8897 .q (),
8898
8899 // to register interface (read)
8900 .qs (mio_pad_sleep_regwen_31_qs)
8901 );
8902
8903
8904
8905 // Subregister 0 of Multireg mio_pad_sleep_en
8906 // R[mio_pad_sleep_en_0]: V(False)
8907
8908 prim_subreg #(
8909 .DW (1),
8910 .SWACCESS("RW"),
8911 .RESVAL (1'h0)
8912 ) u_mio_pad_sleep_en_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008913 .clk_i (clk_i),
8914 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008915
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008916 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008917 .we (mio_pad_sleep_en_0_we & mio_pad_sleep_regwen_0_qs),
8918 .wd (mio_pad_sleep_en_0_wd),
8919
8920 // from internal hardware
8921 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008922 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008923
8924 // to internal hardware
8925 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008926 .q (reg2hw.mio_pad_sleep_en[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008927
8928 // to register interface (read)
8929 .qs (mio_pad_sleep_en_0_qs)
8930 );
8931
8932 // Subregister 1 of Multireg mio_pad_sleep_en
8933 // R[mio_pad_sleep_en_1]: V(False)
8934
8935 prim_subreg #(
8936 .DW (1),
8937 .SWACCESS("RW"),
8938 .RESVAL (1'h0)
8939 ) u_mio_pad_sleep_en_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008940 .clk_i (clk_i),
8941 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008942
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008943 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008944 .we (mio_pad_sleep_en_1_we & mio_pad_sleep_regwen_1_qs),
8945 .wd (mio_pad_sleep_en_1_wd),
8946
8947 // from internal hardware
8948 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008949 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008950
8951 // to internal hardware
8952 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008953 .q (reg2hw.mio_pad_sleep_en[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008954
8955 // to register interface (read)
8956 .qs (mio_pad_sleep_en_1_qs)
8957 );
8958
8959 // Subregister 2 of Multireg mio_pad_sleep_en
8960 // R[mio_pad_sleep_en_2]: V(False)
8961
8962 prim_subreg #(
8963 .DW (1),
8964 .SWACCESS("RW"),
8965 .RESVAL (1'h0)
8966 ) u_mio_pad_sleep_en_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008967 .clk_i (clk_i),
8968 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008969
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008970 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008971 .we (mio_pad_sleep_en_2_we & mio_pad_sleep_regwen_2_qs),
8972 .wd (mio_pad_sleep_en_2_wd),
8973
8974 // from internal hardware
8975 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008976 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008977
8978 // to internal hardware
8979 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008980 .q (reg2hw.mio_pad_sleep_en[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008981
8982 // to register interface (read)
8983 .qs (mio_pad_sleep_en_2_qs)
8984 );
8985
8986 // Subregister 3 of Multireg mio_pad_sleep_en
8987 // R[mio_pad_sleep_en_3]: V(False)
8988
8989 prim_subreg #(
8990 .DW (1),
8991 .SWACCESS("RW"),
8992 .RESVAL (1'h0)
8993 ) u_mio_pad_sleep_en_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008994 .clk_i (clk_i),
8995 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008996
Rupert Swarbrick359c1262021-05-28 16:03:57 +01008997 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08008998 .we (mio_pad_sleep_en_3_we & mio_pad_sleep_regwen_3_qs),
8999 .wd (mio_pad_sleep_en_3_wd),
9000
9001 // from internal hardware
9002 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009003 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009004
9005 // to internal hardware
9006 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009007 .q (reg2hw.mio_pad_sleep_en[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009008
9009 // to register interface (read)
9010 .qs (mio_pad_sleep_en_3_qs)
9011 );
9012
9013 // Subregister 4 of Multireg mio_pad_sleep_en
9014 // R[mio_pad_sleep_en_4]: V(False)
9015
9016 prim_subreg #(
9017 .DW (1),
9018 .SWACCESS("RW"),
9019 .RESVAL (1'h0)
9020 ) u_mio_pad_sleep_en_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009021 .clk_i (clk_i),
9022 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009023
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009024 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009025 .we (mio_pad_sleep_en_4_we & mio_pad_sleep_regwen_4_qs),
9026 .wd (mio_pad_sleep_en_4_wd),
9027
9028 // from internal hardware
9029 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009030 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009031
9032 // to internal hardware
9033 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009034 .q (reg2hw.mio_pad_sleep_en[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009035
9036 // to register interface (read)
9037 .qs (mio_pad_sleep_en_4_qs)
9038 );
9039
9040 // Subregister 5 of Multireg mio_pad_sleep_en
9041 // R[mio_pad_sleep_en_5]: V(False)
9042
9043 prim_subreg #(
9044 .DW (1),
9045 .SWACCESS("RW"),
9046 .RESVAL (1'h0)
9047 ) u_mio_pad_sleep_en_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009048 .clk_i (clk_i),
9049 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009050
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009051 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009052 .we (mio_pad_sleep_en_5_we & mio_pad_sleep_regwen_5_qs),
9053 .wd (mio_pad_sleep_en_5_wd),
9054
9055 // from internal hardware
9056 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009057 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009058
9059 // to internal hardware
9060 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009061 .q (reg2hw.mio_pad_sleep_en[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009062
9063 // to register interface (read)
9064 .qs (mio_pad_sleep_en_5_qs)
9065 );
9066
9067 // Subregister 6 of Multireg mio_pad_sleep_en
9068 // R[mio_pad_sleep_en_6]: V(False)
9069
9070 prim_subreg #(
9071 .DW (1),
9072 .SWACCESS("RW"),
9073 .RESVAL (1'h0)
9074 ) u_mio_pad_sleep_en_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009075 .clk_i (clk_i),
9076 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009077
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009078 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009079 .we (mio_pad_sleep_en_6_we & mio_pad_sleep_regwen_6_qs),
9080 .wd (mio_pad_sleep_en_6_wd),
9081
9082 // from internal hardware
9083 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009084 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009085
9086 // to internal hardware
9087 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009088 .q (reg2hw.mio_pad_sleep_en[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009089
9090 // to register interface (read)
9091 .qs (mio_pad_sleep_en_6_qs)
9092 );
9093
9094 // Subregister 7 of Multireg mio_pad_sleep_en
9095 // R[mio_pad_sleep_en_7]: V(False)
9096
9097 prim_subreg #(
9098 .DW (1),
9099 .SWACCESS("RW"),
9100 .RESVAL (1'h0)
9101 ) u_mio_pad_sleep_en_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009102 .clk_i (clk_i),
9103 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009104
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009105 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009106 .we (mio_pad_sleep_en_7_we & mio_pad_sleep_regwen_7_qs),
9107 .wd (mio_pad_sleep_en_7_wd),
9108
9109 // from internal hardware
9110 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009111 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009112
9113 // to internal hardware
9114 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009115 .q (reg2hw.mio_pad_sleep_en[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009116
9117 // to register interface (read)
9118 .qs (mio_pad_sleep_en_7_qs)
9119 );
9120
9121 // Subregister 8 of Multireg mio_pad_sleep_en
9122 // R[mio_pad_sleep_en_8]: V(False)
9123
9124 prim_subreg #(
9125 .DW (1),
9126 .SWACCESS("RW"),
9127 .RESVAL (1'h0)
9128 ) u_mio_pad_sleep_en_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009129 .clk_i (clk_i),
9130 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009131
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009132 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009133 .we (mio_pad_sleep_en_8_we & mio_pad_sleep_regwen_8_qs),
9134 .wd (mio_pad_sleep_en_8_wd),
9135
9136 // from internal hardware
9137 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009138 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009139
9140 // to internal hardware
9141 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009142 .q (reg2hw.mio_pad_sleep_en[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009143
9144 // to register interface (read)
9145 .qs (mio_pad_sleep_en_8_qs)
9146 );
9147
9148 // Subregister 9 of Multireg mio_pad_sleep_en
9149 // R[mio_pad_sleep_en_9]: V(False)
9150
9151 prim_subreg #(
9152 .DW (1),
9153 .SWACCESS("RW"),
9154 .RESVAL (1'h0)
9155 ) u_mio_pad_sleep_en_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009156 .clk_i (clk_i),
9157 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009158
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009159 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009160 .we (mio_pad_sleep_en_9_we & mio_pad_sleep_regwen_9_qs),
9161 .wd (mio_pad_sleep_en_9_wd),
9162
9163 // from internal hardware
9164 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009165 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009166
9167 // to internal hardware
9168 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009169 .q (reg2hw.mio_pad_sleep_en[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009170
9171 // to register interface (read)
9172 .qs (mio_pad_sleep_en_9_qs)
9173 );
9174
9175 // Subregister 10 of Multireg mio_pad_sleep_en
9176 // R[mio_pad_sleep_en_10]: V(False)
9177
9178 prim_subreg #(
9179 .DW (1),
9180 .SWACCESS("RW"),
9181 .RESVAL (1'h0)
9182 ) u_mio_pad_sleep_en_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009183 .clk_i (clk_i),
9184 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009185
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009186 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009187 .we (mio_pad_sleep_en_10_we & mio_pad_sleep_regwen_10_qs),
9188 .wd (mio_pad_sleep_en_10_wd),
9189
9190 // from internal hardware
9191 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009192 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009193
9194 // to internal hardware
9195 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009196 .q (reg2hw.mio_pad_sleep_en[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009197
9198 // to register interface (read)
9199 .qs (mio_pad_sleep_en_10_qs)
9200 );
9201
9202 // Subregister 11 of Multireg mio_pad_sleep_en
9203 // R[mio_pad_sleep_en_11]: V(False)
9204
9205 prim_subreg #(
9206 .DW (1),
9207 .SWACCESS("RW"),
9208 .RESVAL (1'h0)
9209 ) u_mio_pad_sleep_en_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009210 .clk_i (clk_i),
9211 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009212
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009213 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009214 .we (mio_pad_sleep_en_11_we & mio_pad_sleep_regwen_11_qs),
9215 .wd (mio_pad_sleep_en_11_wd),
9216
9217 // from internal hardware
9218 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009219 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009220
9221 // to internal hardware
9222 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009223 .q (reg2hw.mio_pad_sleep_en[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009224
9225 // to register interface (read)
9226 .qs (mio_pad_sleep_en_11_qs)
9227 );
9228
9229 // Subregister 12 of Multireg mio_pad_sleep_en
9230 // R[mio_pad_sleep_en_12]: V(False)
9231
9232 prim_subreg #(
9233 .DW (1),
9234 .SWACCESS("RW"),
9235 .RESVAL (1'h0)
9236 ) u_mio_pad_sleep_en_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009237 .clk_i (clk_i),
9238 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009239
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009240 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009241 .we (mio_pad_sleep_en_12_we & mio_pad_sleep_regwen_12_qs),
9242 .wd (mio_pad_sleep_en_12_wd),
9243
9244 // from internal hardware
9245 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009246 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009247
9248 // to internal hardware
9249 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009250 .q (reg2hw.mio_pad_sleep_en[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009251
9252 // to register interface (read)
9253 .qs (mio_pad_sleep_en_12_qs)
9254 );
9255
9256 // Subregister 13 of Multireg mio_pad_sleep_en
9257 // R[mio_pad_sleep_en_13]: V(False)
9258
9259 prim_subreg #(
9260 .DW (1),
9261 .SWACCESS("RW"),
9262 .RESVAL (1'h0)
9263 ) u_mio_pad_sleep_en_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009264 .clk_i (clk_i),
9265 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009266
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009267 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009268 .we (mio_pad_sleep_en_13_we & mio_pad_sleep_regwen_13_qs),
9269 .wd (mio_pad_sleep_en_13_wd),
9270
9271 // from internal hardware
9272 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009273 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009274
9275 // to internal hardware
9276 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009277 .q (reg2hw.mio_pad_sleep_en[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009278
9279 // to register interface (read)
9280 .qs (mio_pad_sleep_en_13_qs)
9281 );
9282
9283 // Subregister 14 of Multireg mio_pad_sleep_en
9284 // R[mio_pad_sleep_en_14]: V(False)
9285
9286 prim_subreg #(
9287 .DW (1),
9288 .SWACCESS("RW"),
9289 .RESVAL (1'h0)
9290 ) u_mio_pad_sleep_en_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009291 .clk_i (clk_i),
9292 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009293
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009294 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009295 .we (mio_pad_sleep_en_14_we & mio_pad_sleep_regwen_14_qs),
9296 .wd (mio_pad_sleep_en_14_wd),
9297
9298 // from internal hardware
9299 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009300 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009301
9302 // to internal hardware
9303 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009304 .q (reg2hw.mio_pad_sleep_en[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009305
9306 // to register interface (read)
9307 .qs (mio_pad_sleep_en_14_qs)
9308 );
9309
9310 // Subregister 15 of Multireg mio_pad_sleep_en
9311 // R[mio_pad_sleep_en_15]: V(False)
9312
9313 prim_subreg #(
9314 .DW (1),
9315 .SWACCESS("RW"),
9316 .RESVAL (1'h0)
9317 ) u_mio_pad_sleep_en_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009318 .clk_i (clk_i),
9319 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009320
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009321 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009322 .we (mio_pad_sleep_en_15_we & mio_pad_sleep_regwen_15_qs),
9323 .wd (mio_pad_sleep_en_15_wd),
9324
9325 // from internal hardware
9326 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009327 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009328
9329 // to internal hardware
9330 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009331 .q (reg2hw.mio_pad_sleep_en[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009332
9333 // to register interface (read)
9334 .qs (mio_pad_sleep_en_15_qs)
9335 );
9336
9337 // Subregister 16 of Multireg mio_pad_sleep_en
9338 // R[mio_pad_sleep_en_16]: V(False)
9339
9340 prim_subreg #(
9341 .DW (1),
9342 .SWACCESS("RW"),
9343 .RESVAL (1'h0)
9344 ) u_mio_pad_sleep_en_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009345 .clk_i (clk_i),
9346 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009347
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009348 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009349 .we (mio_pad_sleep_en_16_we & mio_pad_sleep_regwen_16_qs),
9350 .wd (mio_pad_sleep_en_16_wd),
9351
9352 // from internal hardware
9353 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009354 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009355
9356 // to internal hardware
9357 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009358 .q (reg2hw.mio_pad_sleep_en[16].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009359
9360 // to register interface (read)
9361 .qs (mio_pad_sleep_en_16_qs)
9362 );
9363
9364 // Subregister 17 of Multireg mio_pad_sleep_en
9365 // R[mio_pad_sleep_en_17]: V(False)
9366
9367 prim_subreg #(
9368 .DW (1),
9369 .SWACCESS("RW"),
9370 .RESVAL (1'h0)
9371 ) u_mio_pad_sleep_en_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009372 .clk_i (clk_i),
9373 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009374
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009375 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009376 .we (mio_pad_sleep_en_17_we & mio_pad_sleep_regwen_17_qs),
9377 .wd (mio_pad_sleep_en_17_wd),
9378
9379 // from internal hardware
9380 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009381 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009382
9383 // to internal hardware
9384 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009385 .q (reg2hw.mio_pad_sleep_en[17].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009386
9387 // to register interface (read)
9388 .qs (mio_pad_sleep_en_17_qs)
9389 );
9390
9391 // Subregister 18 of Multireg mio_pad_sleep_en
9392 // R[mio_pad_sleep_en_18]: V(False)
9393
9394 prim_subreg #(
9395 .DW (1),
9396 .SWACCESS("RW"),
9397 .RESVAL (1'h0)
9398 ) u_mio_pad_sleep_en_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009399 .clk_i (clk_i),
9400 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009401
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009402 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009403 .we (mio_pad_sleep_en_18_we & mio_pad_sleep_regwen_18_qs),
9404 .wd (mio_pad_sleep_en_18_wd),
9405
9406 // from internal hardware
9407 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009408 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009409
9410 // to internal hardware
9411 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009412 .q (reg2hw.mio_pad_sleep_en[18].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009413
9414 // to register interface (read)
9415 .qs (mio_pad_sleep_en_18_qs)
9416 );
9417
9418 // Subregister 19 of Multireg mio_pad_sleep_en
9419 // R[mio_pad_sleep_en_19]: V(False)
9420
9421 prim_subreg #(
9422 .DW (1),
9423 .SWACCESS("RW"),
9424 .RESVAL (1'h0)
9425 ) u_mio_pad_sleep_en_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009426 .clk_i (clk_i),
9427 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009428
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009429 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009430 .we (mio_pad_sleep_en_19_we & mio_pad_sleep_regwen_19_qs),
9431 .wd (mio_pad_sleep_en_19_wd),
9432
9433 // from internal hardware
9434 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009435 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009436
9437 // to internal hardware
9438 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009439 .q (reg2hw.mio_pad_sleep_en[19].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009440
9441 // to register interface (read)
9442 .qs (mio_pad_sleep_en_19_qs)
9443 );
9444
9445 // Subregister 20 of Multireg mio_pad_sleep_en
9446 // R[mio_pad_sleep_en_20]: V(False)
9447
9448 prim_subreg #(
9449 .DW (1),
9450 .SWACCESS("RW"),
9451 .RESVAL (1'h0)
9452 ) u_mio_pad_sleep_en_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009453 .clk_i (clk_i),
9454 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009455
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009456 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009457 .we (mio_pad_sleep_en_20_we & mio_pad_sleep_regwen_20_qs),
9458 .wd (mio_pad_sleep_en_20_wd),
9459
9460 // from internal hardware
9461 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009462 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009463
9464 // to internal hardware
9465 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009466 .q (reg2hw.mio_pad_sleep_en[20].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009467
9468 // to register interface (read)
9469 .qs (mio_pad_sleep_en_20_qs)
9470 );
9471
9472 // Subregister 21 of Multireg mio_pad_sleep_en
9473 // R[mio_pad_sleep_en_21]: V(False)
9474
9475 prim_subreg #(
9476 .DW (1),
9477 .SWACCESS("RW"),
9478 .RESVAL (1'h0)
9479 ) u_mio_pad_sleep_en_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009480 .clk_i (clk_i),
9481 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009482
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009483 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009484 .we (mio_pad_sleep_en_21_we & mio_pad_sleep_regwen_21_qs),
9485 .wd (mio_pad_sleep_en_21_wd),
9486
9487 // from internal hardware
9488 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009489 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009490
9491 // to internal hardware
9492 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009493 .q (reg2hw.mio_pad_sleep_en[21].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009494
9495 // to register interface (read)
9496 .qs (mio_pad_sleep_en_21_qs)
9497 );
9498
9499 // Subregister 22 of Multireg mio_pad_sleep_en
9500 // R[mio_pad_sleep_en_22]: V(False)
9501
9502 prim_subreg #(
9503 .DW (1),
9504 .SWACCESS("RW"),
9505 .RESVAL (1'h0)
9506 ) u_mio_pad_sleep_en_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009507 .clk_i (clk_i),
9508 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009509
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009510 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009511 .we (mio_pad_sleep_en_22_we & mio_pad_sleep_regwen_22_qs),
9512 .wd (mio_pad_sleep_en_22_wd),
9513
9514 // from internal hardware
9515 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009516 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009517
9518 // to internal hardware
9519 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009520 .q (reg2hw.mio_pad_sleep_en[22].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009521
9522 // to register interface (read)
9523 .qs (mio_pad_sleep_en_22_qs)
9524 );
9525
9526 // Subregister 23 of Multireg mio_pad_sleep_en
9527 // R[mio_pad_sleep_en_23]: V(False)
9528
9529 prim_subreg #(
9530 .DW (1),
9531 .SWACCESS("RW"),
9532 .RESVAL (1'h0)
9533 ) u_mio_pad_sleep_en_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009534 .clk_i (clk_i),
9535 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009536
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009537 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009538 .we (mio_pad_sleep_en_23_we & mio_pad_sleep_regwen_23_qs),
9539 .wd (mio_pad_sleep_en_23_wd),
9540
9541 // from internal hardware
9542 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009543 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009544
9545 // to internal hardware
9546 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009547 .q (reg2hw.mio_pad_sleep_en[23].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009548
9549 // to register interface (read)
9550 .qs (mio_pad_sleep_en_23_qs)
9551 );
9552
9553 // Subregister 24 of Multireg mio_pad_sleep_en
9554 // R[mio_pad_sleep_en_24]: V(False)
9555
9556 prim_subreg #(
9557 .DW (1),
9558 .SWACCESS("RW"),
9559 .RESVAL (1'h0)
9560 ) u_mio_pad_sleep_en_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009561 .clk_i (clk_i),
9562 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009563
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009564 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009565 .we (mio_pad_sleep_en_24_we & mio_pad_sleep_regwen_24_qs),
9566 .wd (mio_pad_sleep_en_24_wd),
9567
9568 // from internal hardware
9569 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009570 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009571
9572 // to internal hardware
9573 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009574 .q (reg2hw.mio_pad_sleep_en[24].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009575
9576 // to register interface (read)
9577 .qs (mio_pad_sleep_en_24_qs)
9578 );
9579
9580 // Subregister 25 of Multireg mio_pad_sleep_en
9581 // R[mio_pad_sleep_en_25]: V(False)
9582
9583 prim_subreg #(
9584 .DW (1),
9585 .SWACCESS("RW"),
9586 .RESVAL (1'h0)
9587 ) u_mio_pad_sleep_en_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009588 .clk_i (clk_i),
9589 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009590
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009591 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009592 .we (mio_pad_sleep_en_25_we & mio_pad_sleep_regwen_25_qs),
9593 .wd (mio_pad_sleep_en_25_wd),
9594
9595 // from internal hardware
9596 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009597 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009598
9599 // to internal hardware
9600 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009601 .q (reg2hw.mio_pad_sleep_en[25].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009602
9603 // to register interface (read)
9604 .qs (mio_pad_sleep_en_25_qs)
9605 );
9606
9607 // Subregister 26 of Multireg mio_pad_sleep_en
9608 // R[mio_pad_sleep_en_26]: V(False)
9609
9610 prim_subreg #(
9611 .DW (1),
9612 .SWACCESS("RW"),
9613 .RESVAL (1'h0)
9614 ) u_mio_pad_sleep_en_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009615 .clk_i (clk_i),
9616 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009617
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009618 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009619 .we (mio_pad_sleep_en_26_we & mio_pad_sleep_regwen_26_qs),
9620 .wd (mio_pad_sleep_en_26_wd),
9621
9622 // from internal hardware
9623 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009624 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009625
9626 // to internal hardware
9627 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009628 .q (reg2hw.mio_pad_sleep_en[26].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009629
9630 // to register interface (read)
9631 .qs (mio_pad_sleep_en_26_qs)
9632 );
9633
9634 // Subregister 27 of Multireg mio_pad_sleep_en
9635 // R[mio_pad_sleep_en_27]: V(False)
9636
9637 prim_subreg #(
9638 .DW (1),
9639 .SWACCESS("RW"),
9640 .RESVAL (1'h0)
9641 ) u_mio_pad_sleep_en_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009642 .clk_i (clk_i),
9643 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009644
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009645 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009646 .we (mio_pad_sleep_en_27_we & mio_pad_sleep_regwen_27_qs),
9647 .wd (mio_pad_sleep_en_27_wd),
9648
9649 // from internal hardware
9650 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009651 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009652
9653 // to internal hardware
9654 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009655 .q (reg2hw.mio_pad_sleep_en[27].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009656
9657 // to register interface (read)
9658 .qs (mio_pad_sleep_en_27_qs)
9659 );
9660
9661 // Subregister 28 of Multireg mio_pad_sleep_en
9662 // R[mio_pad_sleep_en_28]: V(False)
9663
9664 prim_subreg #(
9665 .DW (1),
9666 .SWACCESS("RW"),
9667 .RESVAL (1'h0)
9668 ) u_mio_pad_sleep_en_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009669 .clk_i (clk_i),
9670 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009671
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009672 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009673 .we (mio_pad_sleep_en_28_we & mio_pad_sleep_regwen_28_qs),
9674 .wd (mio_pad_sleep_en_28_wd),
9675
9676 // from internal hardware
9677 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009678 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009679
9680 // to internal hardware
9681 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009682 .q (reg2hw.mio_pad_sleep_en[28].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009683
9684 // to register interface (read)
9685 .qs (mio_pad_sleep_en_28_qs)
9686 );
9687
9688 // Subregister 29 of Multireg mio_pad_sleep_en
9689 // R[mio_pad_sleep_en_29]: V(False)
9690
9691 prim_subreg #(
9692 .DW (1),
9693 .SWACCESS("RW"),
9694 .RESVAL (1'h0)
9695 ) u_mio_pad_sleep_en_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009696 .clk_i (clk_i),
9697 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009698
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009699 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009700 .we (mio_pad_sleep_en_29_we & mio_pad_sleep_regwen_29_qs),
9701 .wd (mio_pad_sleep_en_29_wd),
9702
9703 // from internal hardware
9704 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009705 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009706
9707 // to internal hardware
9708 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009709 .q (reg2hw.mio_pad_sleep_en[29].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009710
9711 // to register interface (read)
9712 .qs (mio_pad_sleep_en_29_qs)
9713 );
9714
9715 // Subregister 30 of Multireg mio_pad_sleep_en
9716 // R[mio_pad_sleep_en_30]: V(False)
9717
9718 prim_subreg #(
9719 .DW (1),
9720 .SWACCESS("RW"),
9721 .RESVAL (1'h0)
9722 ) u_mio_pad_sleep_en_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009723 .clk_i (clk_i),
9724 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009725
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009726 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009727 .we (mio_pad_sleep_en_30_we & mio_pad_sleep_regwen_30_qs),
9728 .wd (mio_pad_sleep_en_30_wd),
9729
9730 // from internal hardware
9731 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009732 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009733
9734 // to internal hardware
9735 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009736 .q (reg2hw.mio_pad_sleep_en[30].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009737
9738 // to register interface (read)
9739 .qs (mio_pad_sleep_en_30_qs)
9740 );
9741
9742 // Subregister 31 of Multireg mio_pad_sleep_en
9743 // R[mio_pad_sleep_en_31]: V(False)
9744
9745 prim_subreg #(
9746 .DW (1),
9747 .SWACCESS("RW"),
9748 .RESVAL (1'h0)
9749 ) u_mio_pad_sleep_en_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009750 .clk_i (clk_i),
9751 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009752
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009753 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009754 .we (mio_pad_sleep_en_31_we & mio_pad_sleep_regwen_31_qs),
9755 .wd (mio_pad_sleep_en_31_wd),
9756
9757 // from internal hardware
9758 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009759 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009760
9761 // to internal hardware
9762 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009763 .q (reg2hw.mio_pad_sleep_en[31].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009764
9765 // to register interface (read)
9766 .qs (mio_pad_sleep_en_31_qs)
9767 );
9768
9769
9770
9771 // Subregister 0 of Multireg mio_pad_sleep_mode
9772 // R[mio_pad_sleep_mode_0]: V(False)
9773
9774 prim_subreg #(
9775 .DW (2),
9776 .SWACCESS("RW"),
9777 .RESVAL (2'h2)
9778 ) u_mio_pad_sleep_mode_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009779 .clk_i (clk_i),
9780 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009781
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009782 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009783 .we (mio_pad_sleep_mode_0_we & mio_pad_sleep_regwen_0_qs),
9784 .wd (mio_pad_sleep_mode_0_wd),
9785
9786 // from internal hardware
9787 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009788 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009789
9790 // to internal hardware
9791 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009792 .q (reg2hw.mio_pad_sleep_mode[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009793
9794 // to register interface (read)
9795 .qs (mio_pad_sleep_mode_0_qs)
9796 );
9797
9798 // Subregister 1 of Multireg mio_pad_sleep_mode
9799 // R[mio_pad_sleep_mode_1]: V(False)
9800
9801 prim_subreg #(
9802 .DW (2),
9803 .SWACCESS("RW"),
9804 .RESVAL (2'h2)
9805 ) u_mio_pad_sleep_mode_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009806 .clk_i (clk_i),
9807 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009808
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009809 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009810 .we (mio_pad_sleep_mode_1_we & mio_pad_sleep_regwen_1_qs),
9811 .wd (mio_pad_sleep_mode_1_wd),
9812
9813 // from internal hardware
9814 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009815 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009816
9817 // to internal hardware
9818 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009819 .q (reg2hw.mio_pad_sleep_mode[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009820
9821 // to register interface (read)
9822 .qs (mio_pad_sleep_mode_1_qs)
9823 );
9824
9825 // Subregister 2 of Multireg mio_pad_sleep_mode
9826 // R[mio_pad_sleep_mode_2]: V(False)
9827
9828 prim_subreg #(
9829 .DW (2),
9830 .SWACCESS("RW"),
9831 .RESVAL (2'h2)
9832 ) u_mio_pad_sleep_mode_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009833 .clk_i (clk_i),
9834 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009835
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009836 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009837 .we (mio_pad_sleep_mode_2_we & mio_pad_sleep_regwen_2_qs),
9838 .wd (mio_pad_sleep_mode_2_wd),
9839
9840 // from internal hardware
9841 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009842 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009843
9844 // to internal hardware
9845 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009846 .q (reg2hw.mio_pad_sleep_mode[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009847
9848 // to register interface (read)
9849 .qs (mio_pad_sleep_mode_2_qs)
9850 );
9851
9852 // Subregister 3 of Multireg mio_pad_sleep_mode
9853 // R[mio_pad_sleep_mode_3]: V(False)
9854
9855 prim_subreg #(
9856 .DW (2),
9857 .SWACCESS("RW"),
9858 .RESVAL (2'h2)
9859 ) u_mio_pad_sleep_mode_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009860 .clk_i (clk_i),
9861 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009862
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009863 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009864 .we (mio_pad_sleep_mode_3_we & mio_pad_sleep_regwen_3_qs),
9865 .wd (mio_pad_sleep_mode_3_wd),
9866
9867 // from internal hardware
9868 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009869 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009870
9871 // to internal hardware
9872 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009873 .q (reg2hw.mio_pad_sleep_mode[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009874
9875 // to register interface (read)
9876 .qs (mio_pad_sleep_mode_3_qs)
9877 );
9878
9879 // Subregister 4 of Multireg mio_pad_sleep_mode
9880 // R[mio_pad_sleep_mode_4]: V(False)
9881
9882 prim_subreg #(
9883 .DW (2),
9884 .SWACCESS("RW"),
9885 .RESVAL (2'h2)
9886 ) u_mio_pad_sleep_mode_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009887 .clk_i (clk_i),
9888 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009889
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009890 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009891 .we (mio_pad_sleep_mode_4_we & mio_pad_sleep_regwen_4_qs),
9892 .wd (mio_pad_sleep_mode_4_wd),
9893
9894 // from internal hardware
9895 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009896 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009897
9898 // to internal hardware
9899 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009900 .q (reg2hw.mio_pad_sleep_mode[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009901
9902 // to register interface (read)
9903 .qs (mio_pad_sleep_mode_4_qs)
9904 );
9905
9906 // Subregister 5 of Multireg mio_pad_sleep_mode
9907 // R[mio_pad_sleep_mode_5]: V(False)
9908
9909 prim_subreg #(
9910 .DW (2),
9911 .SWACCESS("RW"),
9912 .RESVAL (2'h2)
9913 ) u_mio_pad_sleep_mode_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009914 .clk_i (clk_i),
9915 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009916
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009917 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009918 .we (mio_pad_sleep_mode_5_we & mio_pad_sleep_regwen_5_qs),
9919 .wd (mio_pad_sleep_mode_5_wd),
9920
9921 // from internal hardware
9922 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009923 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009924
9925 // to internal hardware
9926 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009927 .q (reg2hw.mio_pad_sleep_mode[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009928
9929 // to register interface (read)
9930 .qs (mio_pad_sleep_mode_5_qs)
9931 );
9932
9933 // Subregister 6 of Multireg mio_pad_sleep_mode
9934 // R[mio_pad_sleep_mode_6]: V(False)
9935
9936 prim_subreg #(
9937 .DW (2),
9938 .SWACCESS("RW"),
9939 .RESVAL (2'h2)
9940 ) u_mio_pad_sleep_mode_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009941 .clk_i (clk_i),
9942 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009943
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009944 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009945 .we (mio_pad_sleep_mode_6_we & mio_pad_sleep_regwen_6_qs),
9946 .wd (mio_pad_sleep_mode_6_wd),
9947
9948 // from internal hardware
9949 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009950 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009951
9952 // to internal hardware
9953 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009954 .q (reg2hw.mio_pad_sleep_mode[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009955
9956 // to register interface (read)
9957 .qs (mio_pad_sleep_mode_6_qs)
9958 );
9959
9960 // Subregister 7 of Multireg mio_pad_sleep_mode
9961 // R[mio_pad_sleep_mode_7]: V(False)
9962
9963 prim_subreg #(
9964 .DW (2),
9965 .SWACCESS("RW"),
9966 .RESVAL (2'h2)
9967 ) u_mio_pad_sleep_mode_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009968 .clk_i (clk_i),
9969 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009970
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009971 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009972 .we (mio_pad_sleep_mode_7_we & mio_pad_sleep_regwen_7_qs),
9973 .wd (mio_pad_sleep_mode_7_wd),
9974
9975 // from internal hardware
9976 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009977 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009978
9979 // to internal hardware
9980 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009981 .q (reg2hw.mio_pad_sleep_mode[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009982
9983 // to register interface (read)
9984 .qs (mio_pad_sleep_mode_7_qs)
9985 );
9986
9987 // Subregister 8 of Multireg mio_pad_sleep_mode
9988 // R[mio_pad_sleep_mode_8]: V(False)
9989
9990 prim_subreg #(
9991 .DW (2),
9992 .SWACCESS("RW"),
9993 .RESVAL (2'h2)
9994 ) u_mio_pad_sleep_mode_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009995 .clk_i (clk_i),
9996 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009997
Rupert Swarbrick359c1262021-05-28 16:03:57 +01009998 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08009999 .we (mio_pad_sleep_mode_8_we & mio_pad_sleep_regwen_8_qs),
10000 .wd (mio_pad_sleep_mode_8_wd),
10001
10002 // from internal hardware
10003 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010004 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010005
10006 // to internal hardware
10007 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010008 .q (reg2hw.mio_pad_sleep_mode[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010009
10010 // to register interface (read)
10011 .qs (mio_pad_sleep_mode_8_qs)
10012 );
10013
10014 // Subregister 9 of Multireg mio_pad_sleep_mode
10015 // R[mio_pad_sleep_mode_9]: V(False)
10016
10017 prim_subreg #(
10018 .DW (2),
10019 .SWACCESS("RW"),
10020 .RESVAL (2'h2)
10021 ) u_mio_pad_sleep_mode_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010022 .clk_i (clk_i),
10023 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010024
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010025 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010026 .we (mio_pad_sleep_mode_9_we & mio_pad_sleep_regwen_9_qs),
10027 .wd (mio_pad_sleep_mode_9_wd),
10028
10029 // from internal hardware
10030 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010031 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010032
10033 // to internal hardware
10034 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010035 .q (reg2hw.mio_pad_sleep_mode[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010036
10037 // to register interface (read)
10038 .qs (mio_pad_sleep_mode_9_qs)
10039 );
10040
10041 // Subregister 10 of Multireg mio_pad_sleep_mode
10042 // R[mio_pad_sleep_mode_10]: V(False)
10043
10044 prim_subreg #(
10045 .DW (2),
10046 .SWACCESS("RW"),
10047 .RESVAL (2'h2)
10048 ) u_mio_pad_sleep_mode_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010049 .clk_i (clk_i),
10050 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010051
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010052 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010053 .we (mio_pad_sleep_mode_10_we & mio_pad_sleep_regwen_10_qs),
10054 .wd (mio_pad_sleep_mode_10_wd),
10055
10056 // from internal hardware
10057 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010058 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010059
10060 // to internal hardware
10061 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010062 .q (reg2hw.mio_pad_sleep_mode[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010063
10064 // to register interface (read)
10065 .qs (mio_pad_sleep_mode_10_qs)
10066 );
10067
10068 // Subregister 11 of Multireg mio_pad_sleep_mode
10069 // R[mio_pad_sleep_mode_11]: V(False)
10070
10071 prim_subreg #(
10072 .DW (2),
10073 .SWACCESS("RW"),
10074 .RESVAL (2'h2)
10075 ) u_mio_pad_sleep_mode_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010076 .clk_i (clk_i),
10077 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010078
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010079 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010080 .we (mio_pad_sleep_mode_11_we & mio_pad_sleep_regwen_11_qs),
10081 .wd (mio_pad_sleep_mode_11_wd),
10082
10083 // from internal hardware
10084 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010085 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010086
10087 // to internal hardware
10088 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010089 .q (reg2hw.mio_pad_sleep_mode[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010090
10091 // to register interface (read)
10092 .qs (mio_pad_sleep_mode_11_qs)
10093 );
10094
10095 // Subregister 12 of Multireg mio_pad_sleep_mode
10096 // R[mio_pad_sleep_mode_12]: V(False)
10097
10098 prim_subreg #(
10099 .DW (2),
10100 .SWACCESS("RW"),
10101 .RESVAL (2'h2)
10102 ) u_mio_pad_sleep_mode_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010103 .clk_i (clk_i),
10104 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010105
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010106 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010107 .we (mio_pad_sleep_mode_12_we & mio_pad_sleep_regwen_12_qs),
10108 .wd (mio_pad_sleep_mode_12_wd),
10109
10110 // from internal hardware
10111 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010112 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010113
10114 // to internal hardware
10115 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010116 .q (reg2hw.mio_pad_sleep_mode[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010117
10118 // to register interface (read)
10119 .qs (mio_pad_sleep_mode_12_qs)
10120 );
10121
10122 // Subregister 13 of Multireg mio_pad_sleep_mode
10123 // R[mio_pad_sleep_mode_13]: V(False)
10124
10125 prim_subreg #(
10126 .DW (2),
10127 .SWACCESS("RW"),
10128 .RESVAL (2'h2)
10129 ) u_mio_pad_sleep_mode_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010130 .clk_i (clk_i),
10131 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010132
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010133 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010134 .we (mio_pad_sleep_mode_13_we & mio_pad_sleep_regwen_13_qs),
10135 .wd (mio_pad_sleep_mode_13_wd),
10136
10137 // from internal hardware
10138 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010139 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010140
10141 // to internal hardware
10142 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010143 .q (reg2hw.mio_pad_sleep_mode[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010144
10145 // to register interface (read)
10146 .qs (mio_pad_sleep_mode_13_qs)
10147 );
10148
10149 // Subregister 14 of Multireg mio_pad_sleep_mode
10150 // R[mio_pad_sleep_mode_14]: V(False)
10151
10152 prim_subreg #(
10153 .DW (2),
10154 .SWACCESS("RW"),
10155 .RESVAL (2'h2)
10156 ) u_mio_pad_sleep_mode_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010157 .clk_i (clk_i),
10158 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010159
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010160 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010161 .we (mio_pad_sleep_mode_14_we & mio_pad_sleep_regwen_14_qs),
10162 .wd (mio_pad_sleep_mode_14_wd),
10163
10164 // from internal hardware
10165 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010166 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010167
10168 // to internal hardware
10169 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010170 .q (reg2hw.mio_pad_sleep_mode[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010171
10172 // to register interface (read)
10173 .qs (mio_pad_sleep_mode_14_qs)
10174 );
10175
10176 // Subregister 15 of Multireg mio_pad_sleep_mode
10177 // R[mio_pad_sleep_mode_15]: V(False)
10178
10179 prim_subreg #(
10180 .DW (2),
10181 .SWACCESS("RW"),
10182 .RESVAL (2'h2)
10183 ) u_mio_pad_sleep_mode_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010184 .clk_i (clk_i),
10185 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010186
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010187 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010188 .we (mio_pad_sleep_mode_15_we & mio_pad_sleep_regwen_15_qs),
10189 .wd (mio_pad_sleep_mode_15_wd),
10190
10191 // from internal hardware
10192 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010193 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010194
10195 // to internal hardware
10196 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010197 .q (reg2hw.mio_pad_sleep_mode[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010198
10199 // to register interface (read)
10200 .qs (mio_pad_sleep_mode_15_qs)
10201 );
10202
10203 // Subregister 16 of Multireg mio_pad_sleep_mode
10204 // R[mio_pad_sleep_mode_16]: V(False)
10205
10206 prim_subreg #(
10207 .DW (2),
10208 .SWACCESS("RW"),
10209 .RESVAL (2'h2)
10210 ) u_mio_pad_sleep_mode_16 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010211 .clk_i (clk_i),
10212 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010213
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010214 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010215 .we (mio_pad_sleep_mode_16_we & mio_pad_sleep_regwen_16_qs),
10216 .wd (mio_pad_sleep_mode_16_wd),
10217
10218 // from internal hardware
10219 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010220 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010221
10222 // to internal hardware
10223 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010224 .q (reg2hw.mio_pad_sleep_mode[16].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010225
10226 // to register interface (read)
10227 .qs (mio_pad_sleep_mode_16_qs)
10228 );
10229
10230 // Subregister 17 of Multireg mio_pad_sleep_mode
10231 // R[mio_pad_sleep_mode_17]: V(False)
10232
10233 prim_subreg #(
10234 .DW (2),
10235 .SWACCESS("RW"),
10236 .RESVAL (2'h2)
10237 ) u_mio_pad_sleep_mode_17 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010238 .clk_i (clk_i),
10239 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010240
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010241 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010242 .we (mio_pad_sleep_mode_17_we & mio_pad_sleep_regwen_17_qs),
10243 .wd (mio_pad_sleep_mode_17_wd),
10244
10245 // from internal hardware
10246 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010247 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010248
10249 // to internal hardware
10250 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010251 .q (reg2hw.mio_pad_sleep_mode[17].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010252
10253 // to register interface (read)
10254 .qs (mio_pad_sleep_mode_17_qs)
10255 );
10256
10257 // Subregister 18 of Multireg mio_pad_sleep_mode
10258 // R[mio_pad_sleep_mode_18]: V(False)
10259
10260 prim_subreg #(
10261 .DW (2),
10262 .SWACCESS("RW"),
10263 .RESVAL (2'h2)
10264 ) u_mio_pad_sleep_mode_18 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010265 .clk_i (clk_i),
10266 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010267
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010268 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010269 .we (mio_pad_sleep_mode_18_we & mio_pad_sleep_regwen_18_qs),
10270 .wd (mio_pad_sleep_mode_18_wd),
10271
10272 // from internal hardware
10273 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010274 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010275
10276 // to internal hardware
10277 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010278 .q (reg2hw.mio_pad_sleep_mode[18].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010279
10280 // to register interface (read)
10281 .qs (mio_pad_sleep_mode_18_qs)
10282 );
10283
10284 // Subregister 19 of Multireg mio_pad_sleep_mode
10285 // R[mio_pad_sleep_mode_19]: V(False)
10286
10287 prim_subreg #(
10288 .DW (2),
10289 .SWACCESS("RW"),
10290 .RESVAL (2'h2)
10291 ) u_mio_pad_sleep_mode_19 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010292 .clk_i (clk_i),
10293 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010294
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010295 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010296 .we (mio_pad_sleep_mode_19_we & mio_pad_sleep_regwen_19_qs),
10297 .wd (mio_pad_sleep_mode_19_wd),
10298
10299 // from internal hardware
10300 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010301 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010302
10303 // to internal hardware
10304 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010305 .q (reg2hw.mio_pad_sleep_mode[19].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010306
10307 // to register interface (read)
10308 .qs (mio_pad_sleep_mode_19_qs)
10309 );
10310
10311 // Subregister 20 of Multireg mio_pad_sleep_mode
10312 // R[mio_pad_sleep_mode_20]: V(False)
10313
10314 prim_subreg #(
10315 .DW (2),
10316 .SWACCESS("RW"),
10317 .RESVAL (2'h2)
10318 ) u_mio_pad_sleep_mode_20 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010319 .clk_i (clk_i),
10320 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010321
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010322 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010323 .we (mio_pad_sleep_mode_20_we & mio_pad_sleep_regwen_20_qs),
10324 .wd (mio_pad_sleep_mode_20_wd),
10325
10326 // from internal hardware
10327 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010328 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010329
10330 // to internal hardware
10331 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010332 .q (reg2hw.mio_pad_sleep_mode[20].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010333
10334 // to register interface (read)
10335 .qs (mio_pad_sleep_mode_20_qs)
10336 );
10337
10338 // Subregister 21 of Multireg mio_pad_sleep_mode
10339 // R[mio_pad_sleep_mode_21]: V(False)
10340
10341 prim_subreg #(
10342 .DW (2),
10343 .SWACCESS("RW"),
10344 .RESVAL (2'h2)
10345 ) u_mio_pad_sleep_mode_21 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010346 .clk_i (clk_i),
10347 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010348
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010349 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010350 .we (mio_pad_sleep_mode_21_we & mio_pad_sleep_regwen_21_qs),
10351 .wd (mio_pad_sleep_mode_21_wd),
10352
10353 // from internal hardware
10354 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010355 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010356
10357 // to internal hardware
10358 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010359 .q (reg2hw.mio_pad_sleep_mode[21].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010360
10361 // to register interface (read)
10362 .qs (mio_pad_sleep_mode_21_qs)
10363 );
10364
10365 // Subregister 22 of Multireg mio_pad_sleep_mode
10366 // R[mio_pad_sleep_mode_22]: V(False)
10367
10368 prim_subreg #(
10369 .DW (2),
10370 .SWACCESS("RW"),
10371 .RESVAL (2'h2)
10372 ) u_mio_pad_sleep_mode_22 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010373 .clk_i (clk_i),
10374 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010375
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010376 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010377 .we (mio_pad_sleep_mode_22_we & mio_pad_sleep_regwen_22_qs),
10378 .wd (mio_pad_sleep_mode_22_wd),
10379
10380 // from internal hardware
10381 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010382 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010383
10384 // to internal hardware
10385 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010386 .q (reg2hw.mio_pad_sleep_mode[22].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010387
10388 // to register interface (read)
10389 .qs (mio_pad_sleep_mode_22_qs)
10390 );
10391
10392 // Subregister 23 of Multireg mio_pad_sleep_mode
10393 // R[mio_pad_sleep_mode_23]: V(False)
10394
10395 prim_subreg #(
10396 .DW (2),
10397 .SWACCESS("RW"),
10398 .RESVAL (2'h2)
10399 ) u_mio_pad_sleep_mode_23 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010400 .clk_i (clk_i),
10401 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010402
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010403 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010404 .we (mio_pad_sleep_mode_23_we & mio_pad_sleep_regwen_23_qs),
10405 .wd (mio_pad_sleep_mode_23_wd),
10406
10407 // from internal hardware
10408 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010409 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010410
10411 // to internal hardware
10412 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010413 .q (reg2hw.mio_pad_sleep_mode[23].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010414
10415 // to register interface (read)
10416 .qs (mio_pad_sleep_mode_23_qs)
10417 );
10418
10419 // Subregister 24 of Multireg mio_pad_sleep_mode
10420 // R[mio_pad_sleep_mode_24]: V(False)
10421
10422 prim_subreg #(
10423 .DW (2),
10424 .SWACCESS("RW"),
10425 .RESVAL (2'h2)
10426 ) u_mio_pad_sleep_mode_24 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010427 .clk_i (clk_i),
10428 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010429
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010430 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010431 .we (mio_pad_sleep_mode_24_we & mio_pad_sleep_regwen_24_qs),
10432 .wd (mio_pad_sleep_mode_24_wd),
10433
10434 // from internal hardware
10435 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010436 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010437
10438 // to internal hardware
10439 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010440 .q (reg2hw.mio_pad_sleep_mode[24].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010441
10442 // to register interface (read)
10443 .qs (mio_pad_sleep_mode_24_qs)
10444 );
10445
10446 // Subregister 25 of Multireg mio_pad_sleep_mode
10447 // R[mio_pad_sleep_mode_25]: V(False)
10448
10449 prim_subreg #(
10450 .DW (2),
10451 .SWACCESS("RW"),
10452 .RESVAL (2'h2)
10453 ) u_mio_pad_sleep_mode_25 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010454 .clk_i (clk_i),
10455 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010456
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010457 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010458 .we (mio_pad_sleep_mode_25_we & mio_pad_sleep_regwen_25_qs),
10459 .wd (mio_pad_sleep_mode_25_wd),
10460
10461 // from internal hardware
10462 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010463 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010464
10465 // to internal hardware
10466 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010467 .q (reg2hw.mio_pad_sleep_mode[25].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010468
10469 // to register interface (read)
10470 .qs (mio_pad_sleep_mode_25_qs)
10471 );
10472
10473 // Subregister 26 of Multireg mio_pad_sleep_mode
10474 // R[mio_pad_sleep_mode_26]: V(False)
10475
10476 prim_subreg #(
10477 .DW (2),
10478 .SWACCESS("RW"),
10479 .RESVAL (2'h2)
10480 ) u_mio_pad_sleep_mode_26 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010481 .clk_i (clk_i),
10482 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010483
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010484 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010485 .we (mio_pad_sleep_mode_26_we & mio_pad_sleep_regwen_26_qs),
10486 .wd (mio_pad_sleep_mode_26_wd),
10487
10488 // from internal hardware
10489 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010490 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010491
10492 // to internal hardware
10493 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010494 .q (reg2hw.mio_pad_sleep_mode[26].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010495
10496 // to register interface (read)
10497 .qs (mio_pad_sleep_mode_26_qs)
10498 );
10499
10500 // Subregister 27 of Multireg mio_pad_sleep_mode
10501 // R[mio_pad_sleep_mode_27]: V(False)
10502
10503 prim_subreg #(
10504 .DW (2),
10505 .SWACCESS("RW"),
10506 .RESVAL (2'h2)
10507 ) u_mio_pad_sleep_mode_27 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010508 .clk_i (clk_i),
10509 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010510
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010511 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010512 .we (mio_pad_sleep_mode_27_we & mio_pad_sleep_regwen_27_qs),
10513 .wd (mio_pad_sleep_mode_27_wd),
10514
10515 // from internal hardware
10516 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010517 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010518
10519 // to internal hardware
10520 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010521 .q (reg2hw.mio_pad_sleep_mode[27].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010522
10523 // to register interface (read)
10524 .qs (mio_pad_sleep_mode_27_qs)
10525 );
10526
10527 // Subregister 28 of Multireg mio_pad_sleep_mode
10528 // R[mio_pad_sleep_mode_28]: V(False)
10529
10530 prim_subreg #(
10531 .DW (2),
10532 .SWACCESS("RW"),
10533 .RESVAL (2'h2)
10534 ) u_mio_pad_sleep_mode_28 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010535 .clk_i (clk_i),
10536 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010537
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010538 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010539 .we (mio_pad_sleep_mode_28_we & mio_pad_sleep_regwen_28_qs),
10540 .wd (mio_pad_sleep_mode_28_wd),
10541
10542 // from internal hardware
10543 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010544 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010545
10546 // to internal hardware
10547 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010548 .q (reg2hw.mio_pad_sleep_mode[28].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010549
10550 // to register interface (read)
10551 .qs (mio_pad_sleep_mode_28_qs)
10552 );
10553
10554 // Subregister 29 of Multireg mio_pad_sleep_mode
10555 // R[mio_pad_sleep_mode_29]: V(False)
10556
10557 prim_subreg #(
10558 .DW (2),
10559 .SWACCESS("RW"),
10560 .RESVAL (2'h2)
10561 ) u_mio_pad_sleep_mode_29 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010562 .clk_i (clk_i),
10563 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010564
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010565 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010566 .we (mio_pad_sleep_mode_29_we & mio_pad_sleep_regwen_29_qs),
10567 .wd (mio_pad_sleep_mode_29_wd),
10568
10569 // from internal hardware
10570 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010571 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010572
10573 // to internal hardware
10574 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010575 .q (reg2hw.mio_pad_sleep_mode[29].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010576
10577 // to register interface (read)
10578 .qs (mio_pad_sleep_mode_29_qs)
10579 );
10580
10581 // Subregister 30 of Multireg mio_pad_sleep_mode
10582 // R[mio_pad_sleep_mode_30]: V(False)
10583
10584 prim_subreg #(
10585 .DW (2),
10586 .SWACCESS("RW"),
10587 .RESVAL (2'h2)
10588 ) u_mio_pad_sleep_mode_30 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010589 .clk_i (clk_i),
10590 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010591
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010592 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010593 .we (mio_pad_sleep_mode_30_we & mio_pad_sleep_regwen_30_qs),
10594 .wd (mio_pad_sleep_mode_30_wd),
10595
10596 // from internal hardware
10597 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010598 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010599
10600 // to internal hardware
10601 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010602 .q (reg2hw.mio_pad_sleep_mode[30].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010603
10604 // to register interface (read)
10605 .qs (mio_pad_sleep_mode_30_qs)
10606 );
10607
10608 // Subregister 31 of Multireg mio_pad_sleep_mode
10609 // R[mio_pad_sleep_mode_31]: V(False)
10610
10611 prim_subreg #(
10612 .DW (2),
10613 .SWACCESS("RW"),
10614 .RESVAL (2'h2)
10615 ) u_mio_pad_sleep_mode_31 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010616 .clk_i (clk_i),
10617 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010618
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010619 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010620 .we (mio_pad_sleep_mode_31_we & mio_pad_sleep_regwen_31_qs),
10621 .wd (mio_pad_sleep_mode_31_wd),
10622
10623 // from internal hardware
10624 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010625 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010626
10627 // to internal hardware
10628 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010629 .q (reg2hw.mio_pad_sleep_mode[31].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010630
10631 // to register interface (read)
10632 .qs (mio_pad_sleep_mode_31_qs)
10633 );
10634
10635
10636
10637 // Subregister 0 of Multireg dio_pad_sleep_status
10638 // R[dio_pad_sleep_status]: V(False)
10639
10640 // F[en_0]: 0:0
10641 prim_subreg #(
10642 .DW (1),
10643 .SWACCESS("W0C"),
10644 .RESVAL (1'h0)
10645 ) u_dio_pad_sleep_status_en_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010646 .clk_i (clk_i),
10647 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010648
10649 // from register interface
10650 .we (dio_pad_sleep_status_en_0_we),
10651 .wd (dio_pad_sleep_status_en_0_wd),
10652
10653 // from internal hardware
10654 .de (hw2reg.dio_pad_sleep_status[0].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010655 .d (hw2reg.dio_pad_sleep_status[0].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010656
10657 // to internal hardware
10658 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010659 .q (reg2hw.dio_pad_sleep_status[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010660
10661 // to register interface (read)
10662 .qs (dio_pad_sleep_status_en_0_qs)
10663 );
10664
10665
10666 // F[en_1]: 1:1
10667 prim_subreg #(
10668 .DW (1),
10669 .SWACCESS("W0C"),
10670 .RESVAL (1'h0)
10671 ) u_dio_pad_sleep_status_en_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010672 .clk_i (clk_i),
10673 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010674
10675 // from register interface
10676 .we (dio_pad_sleep_status_en_1_we),
10677 .wd (dio_pad_sleep_status_en_1_wd),
10678
10679 // from internal hardware
10680 .de (hw2reg.dio_pad_sleep_status[1].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010681 .d (hw2reg.dio_pad_sleep_status[1].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010682
10683 // to internal hardware
10684 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010685 .q (reg2hw.dio_pad_sleep_status[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010686
10687 // to register interface (read)
10688 .qs (dio_pad_sleep_status_en_1_qs)
10689 );
10690
10691
10692 // F[en_2]: 2:2
10693 prim_subreg #(
10694 .DW (1),
10695 .SWACCESS("W0C"),
10696 .RESVAL (1'h0)
10697 ) u_dio_pad_sleep_status_en_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010698 .clk_i (clk_i),
10699 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010700
10701 // from register interface
10702 .we (dio_pad_sleep_status_en_2_we),
10703 .wd (dio_pad_sleep_status_en_2_wd),
10704
10705 // from internal hardware
10706 .de (hw2reg.dio_pad_sleep_status[2].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010707 .d (hw2reg.dio_pad_sleep_status[2].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010708
10709 // to internal hardware
10710 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010711 .q (reg2hw.dio_pad_sleep_status[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010712
10713 // to register interface (read)
10714 .qs (dio_pad_sleep_status_en_2_qs)
10715 );
10716
10717
10718 // F[en_3]: 3:3
10719 prim_subreg #(
10720 .DW (1),
10721 .SWACCESS("W0C"),
10722 .RESVAL (1'h0)
10723 ) u_dio_pad_sleep_status_en_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010724 .clk_i (clk_i),
10725 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010726
10727 // from register interface
10728 .we (dio_pad_sleep_status_en_3_we),
10729 .wd (dio_pad_sleep_status_en_3_wd),
10730
10731 // from internal hardware
10732 .de (hw2reg.dio_pad_sleep_status[3].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010733 .d (hw2reg.dio_pad_sleep_status[3].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010734
10735 // to internal hardware
10736 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010737 .q (reg2hw.dio_pad_sleep_status[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010738
10739 // to register interface (read)
10740 .qs (dio_pad_sleep_status_en_3_qs)
10741 );
10742
10743
10744 // F[en_4]: 4:4
10745 prim_subreg #(
10746 .DW (1),
10747 .SWACCESS("W0C"),
10748 .RESVAL (1'h0)
10749 ) u_dio_pad_sleep_status_en_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010750 .clk_i (clk_i),
10751 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010752
10753 // from register interface
10754 .we (dio_pad_sleep_status_en_4_we),
10755 .wd (dio_pad_sleep_status_en_4_wd),
10756
10757 // from internal hardware
10758 .de (hw2reg.dio_pad_sleep_status[4].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010759 .d (hw2reg.dio_pad_sleep_status[4].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010760
10761 // to internal hardware
10762 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010763 .q (reg2hw.dio_pad_sleep_status[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010764
10765 // to register interface (read)
10766 .qs (dio_pad_sleep_status_en_4_qs)
10767 );
10768
10769
10770 // F[en_5]: 5:5
10771 prim_subreg #(
10772 .DW (1),
10773 .SWACCESS("W0C"),
10774 .RESVAL (1'h0)
10775 ) u_dio_pad_sleep_status_en_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010776 .clk_i (clk_i),
10777 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010778
10779 // from register interface
10780 .we (dio_pad_sleep_status_en_5_we),
10781 .wd (dio_pad_sleep_status_en_5_wd),
10782
10783 // from internal hardware
10784 .de (hw2reg.dio_pad_sleep_status[5].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010785 .d (hw2reg.dio_pad_sleep_status[5].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010786
10787 // to internal hardware
10788 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010789 .q (reg2hw.dio_pad_sleep_status[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010790
10791 // to register interface (read)
10792 .qs (dio_pad_sleep_status_en_5_qs)
10793 );
10794
10795
10796 // F[en_6]: 6:6
10797 prim_subreg #(
10798 .DW (1),
10799 .SWACCESS("W0C"),
10800 .RESVAL (1'h0)
10801 ) u_dio_pad_sleep_status_en_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010802 .clk_i (clk_i),
10803 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010804
10805 // from register interface
10806 .we (dio_pad_sleep_status_en_6_we),
10807 .wd (dio_pad_sleep_status_en_6_wd),
10808
10809 // from internal hardware
10810 .de (hw2reg.dio_pad_sleep_status[6].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010811 .d (hw2reg.dio_pad_sleep_status[6].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010812
10813 // to internal hardware
10814 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010815 .q (reg2hw.dio_pad_sleep_status[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010816
10817 // to register interface (read)
10818 .qs (dio_pad_sleep_status_en_6_qs)
10819 );
10820
10821
10822 // F[en_7]: 7:7
10823 prim_subreg #(
10824 .DW (1),
10825 .SWACCESS("W0C"),
10826 .RESVAL (1'h0)
10827 ) u_dio_pad_sleep_status_en_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010828 .clk_i (clk_i),
10829 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010830
10831 // from register interface
10832 .we (dio_pad_sleep_status_en_7_we),
10833 .wd (dio_pad_sleep_status_en_7_wd),
10834
10835 // from internal hardware
10836 .de (hw2reg.dio_pad_sleep_status[7].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010837 .d (hw2reg.dio_pad_sleep_status[7].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010838
10839 // to internal hardware
10840 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010841 .q (reg2hw.dio_pad_sleep_status[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010842
10843 // to register interface (read)
10844 .qs (dio_pad_sleep_status_en_7_qs)
10845 );
10846
10847
10848 // F[en_8]: 8:8
10849 prim_subreg #(
10850 .DW (1),
10851 .SWACCESS("W0C"),
10852 .RESVAL (1'h0)
10853 ) u_dio_pad_sleep_status_en_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010854 .clk_i (clk_i),
10855 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010856
10857 // from register interface
10858 .we (dio_pad_sleep_status_en_8_we),
10859 .wd (dio_pad_sleep_status_en_8_wd),
10860
10861 // from internal hardware
10862 .de (hw2reg.dio_pad_sleep_status[8].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010863 .d (hw2reg.dio_pad_sleep_status[8].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010864
10865 // to internal hardware
10866 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010867 .q (reg2hw.dio_pad_sleep_status[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010868
10869 // to register interface (read)
10870 .qs (dio_pad_sleep_status_en_8_qs)
10871 );
10872
10873
10874 // F[en_9]: 9:9
10875 prim_subreg #(
10876 .DW (1),
10877 .SWACCESS("W0C"),
10878 .RESVAL (1'h0)
10879 ) u_dio_pad_sleep_status_en_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010880 .clk_i (clk_i),
10881 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010882
10883 // from register interface
10884 .we (dio_pad_sleep_status_en_9_we),
10885 .wd (dio_pad_sleep_status_en_9_wd),
10886
10887 // from internal hardware
10888 .de (hw2reg.dio_pad_sleep_status[9].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010889 .d (hw2reg.dio_pad_sleep_status[9].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010890
10891 // to internal hardware
10892 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010893 .q (reg2hw.dio_pad_sleep_status[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010894
10895 // to register interface (read)
10896 .qs (dio_pad_sleep_status_en_9_qs)
10897 );
10898
10899
10900 // F[en_10]: 10:10
10901 prim_subreg #(
10902 .DW (1),
10903 .SWACCESS("W0C"),
10904 .RESVAL (1'h0)
10905 ) u_dio_pad_sleep_status_en_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010906 .clk_i (clk_i),
10907 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010908
10909 // from register interface
10910 .we (dio_pad_sleep_status_en_10_we),
10911 .wd (dio_pad_sleep_status_en_10_wd),
10912
10913 // from internal hardware
10914 .de (hw2reg.dio_pad_sleep_status[10].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010915 .d (hw2reg.dio_pad_sleep_status[10].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010916
10917 // to internal hardware
10918 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010919 .q (reg2hw.dio_pad_sleep_status[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010920
10921 // to register interface (read)
10922 .qs (dio_pad_sleep_status_en_10_qs)
10923 );
10924
10925
10926 // F[en_11]: 11:11
10927 prim_subreg #(
10928 .DW (1),
10929 .SWACCESS("W0C"),
10930 .RESVAL (1'h0)
10931 ) u_dio_pad_sleep_status_en_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010932 .clk_i (clk_i),
10933 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010934
10935 // from register interface
10936 .we (dio_pad_sleep_status_en_11_we),
10937 .wd (dio_pad_sleep_status_en_11_wd),
10938
10939 // from internal hardware
10940 .de (hw2reg.dio_pad_sleep_status[11].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010941 .d (hw2reg.dio_pad_sleep_status[11].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010942
10943 // to internal hardware
10944 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010945 .q (reg2hw.dio_pad_sleep_status[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010946
10947 // to register interface (read)
10948 .qs (dio_pad_sleep_status_en_11_qs)
10949 );
10950
10951
10952 // F[en_12]: 12:12
10953 prim_subreg #(
10954 .DW (1),
10955 .SWACCESS("W0C"),
10956 .RESVAL (1'h0)
10957 ) u_dio_pad_sleep_status_en_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010958 .clk_i (clk_i),
10959 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010960
10961 // from register interface
10962 .we (dio_pad_sleep_status_en_12_we),
10963 .wd (dio_pad_sleep_status_en_12_wd),
10964
10965 // from internal hardware
10966 .de (hw2reg.dio_pad_sleep_status[12].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010967 .d (hw2reg.dio_pad_sleep_status[12].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010968
10969 // to internal hardware
10970 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010971 .q (reg2hw.dio_pad_sleep_status[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010972
10973 // to register interface (read)
10974 .qs (dio_pad_sleep_status_en_12_qs)
10975 );
10976
10977
10978 // F[en_13]: 13:13
10979 prim_subreg #(
10980 .DW (1),
10981 .SWACCESS("W0C"),
10982 .RESVAL (1'h0)
10983 ) u_dio_pad_sleep_status_en_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010984 .clk_i (clk_i),
10985 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010986
10987 // from register interface
10988 .we (dio_pad_sleep_status_en_13_we),
10989 .wd (dio_pad_sleep_status_en_13_wd),
10990
10991 // from internal hardware
10992 .de (hw2reg.dio_pad_sleep_status[13].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010993 .d (hw2reg.dio_pad_sleep_status[13].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010994
10995 // to internal hardware
10996 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010010997 .q (reg2hw.dio_pad_sleep_status[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080010998
10999 // to register interface (read)
11000 .qs (dio_pad_sleep_status_en_13_qs)
11001 );
11002
11003
11004 // F[en_14]: 14:14
11005 prim_subreg #(
11006 .DW (1),
11007 .SWACCESS("W0C"),
11008 .RESVAL (1'h0)
11009 ) u_dio_pad_sleep_status_en_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011010 .clk_i (clk_i),
11011 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011012
11013 // from register interface
11014 .we (dio_pad_sleep_status_en_14_we),
11015 .wd (dio_pad_sleep_status_en_14_wd),
11016
11017 // from internal hardware
11018 .de (hw2reg.dio_pad_sleep_status[14].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011019 .d (hw2reg.dio_pad_sleep_status[14].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011020
11021 // to internal hardware
11022 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011023 .q (reg2hw.dio_pad_sleep_status[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011024
11025 // to register interface (read)
11026 .qs (dio_pad_sleep_status_en_14_qs)
11027 );
11028
11029
11030 // F[en_15]: 15:15
11031 prim_subreg #(
11032 .DW (1),
11033 .SWACCESS("W0C"),
11034 .RESVAL (1'h0)
11035 ) u_dio_pad_sleep_status_en_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011036 .clk_i (clk_i),
11037 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011038
11039 // from register interface
11040 .we (dio_pad_sleep_status_en_15_we),
11041 .wd (dio_pad_sleep_status_en_15_wd),
11042
11043 // from internal hardware
11044 .de (hw2reg.dio_pad_sleep_status[15].de),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011045 .d (hw2reg.dio_pad_sleep_status[15].d),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011046
11047 // to internal hardware
11048 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011049 .q (reg2hw.dio_pad_sleep_status[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011050
11051 // to register interface (read)
11052 .qs (dio_pad_sleep_status_en_15_qs)
11053 );
11054
11055
11056
11057
11058 // Subregister 0 of Multireg dio_pad_sleep_regwen
11059 // R[dio_pad_sleep_regwen_0]: V(False)
11060
11061 prim_subreg #(
11062 .DW (1),
11063 .SWACCESS("W0C"),
11064 .RESVAL (1'h1)
11065 ) u_dio_pad_sleep_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011066 .clk_i (clk_i),
11067 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011068
11069 // from register interface
11070 .we (dio_pad_sleep_regwen_0_we),
11071 .wd (dio_pad_sleep_regwen_0_wd),
11072
11073 // from internal hardware
11074 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011075 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011076
11077 // to internal hardware
11078 .qe (),
11079 .q (),
11080
11081 // to register interface (read)
11082 .qs (dio_pad_sleep_regwen_0_qs)
11083 );
11084
11085 // Subregister 1 of Multireg dio_pad_sleep_regwen
11086 // R[dio_pad_sleep_regwen_1]: V(False)
11087
11088 prim_subreg #(
11089 .DW (1),
11090 .SWACCESS("W0C"),
11091 .RESVAL (1'h1)
11092 ) u_dio_pad_sleep_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011093 .clk_i (clk_i),
11094 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011095
11096 // from register interface
11097 .we (dio_pad_sleep_regwen_1_we),
11098 .wd (dio_pad_sleep_regwen_1_wd),
11099
11100 // from internal hardware
11101 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011102 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011103
11104 // to internal hardware
11105 .qe (),
11106 .q (),
11107
11108 // to register interface (read)
11109 .qs (dio_pad_sleep_regwen_1_qs)
11110 );
11111
11112 // Subregister 2 of Multireg dio_pad_sleep_regwen
11113 // R[dio_pad_sleep_regwen_2]: V(False)
11114
11115 prim_subreg #(
11116 .DW (1),
11117 .SWACCESS("W0C"),
11118 .RESVAL (1'h1)
11119 ) u_dio_pad_sleep_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011120 .clk_i (clk_i),
11121 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011122
11123 // from register interface
11124 .we (dio_pad_sleep_regwen_2_we),
11125 .wd (dio_pad_sleep_regwen_2_wd),
11126
11127 // from internal hardware
11128 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011129 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011130
11131 // to internal hardware
11132 .qe (),
11133 .q (),
11134
11135 // to register interface (read)
11136 .qs (dio_pad_sleep_regwen_2_qs)
11137 );
11138
11139 // Subregister 3 of Multireg dio_pad_sleep_regwen
11140 // R[dio_pad_sleep_regwen_3]: V(False)
11141
11142 prim_subreg #(
11143 .DW (1),
11144 .SWACCESS("W0C"),
11145 .RESVAL (1'h1)
11146 ) u_dio_pad_sleep_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011147 .clk_i (clk_i),
11148 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011149
11150 // from register interface
11151 .we (dio_pad_sleep_regwen_3_we),
11152 .wd (dio_pad_sleep_regwen_3_wd),
11153
11154 // from internal hardware
11155 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011156 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011157
11158 // to internal hardware
11159 .qe (),
11160 .q (),
11161
11162 // to register interface (read)
11163 .qs (dio_pad_sleep_regwen_3_qs)
11164 );
11165
11166 // Subregister 4 of Multireg dio_pad_sleep_regwen
11167 // R[dio_pad_sleep_regwen_4]: V(False)
11168
11169 prim_subreg #(
11170 .DW (1),
11171 .SWACCESS("W0C"),
11172 .RESVAL (1'h1)
11173 ) u_dio_pad_sleep_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011174 .clk_i (clk_i),
11175 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011176
11177 // from register interface
11178 .we (dio_pad_sleep_regwen_4_we),
11179 .wd (dio_pad_sleep_regwen_4_wd),
11180
11181 // from internal hardware
11182 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011183 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011184
11185 // to internal hardware
11186 .qe (),
11187 .q (),
11188
11189 // to register interface (read)
11190 .qs (dio_pad_sleep_regwen_4_qs)
11191 );
11192
11193 // Subregister 5 of Multireg dio_pad_sleep_regwen
11194 // R[dio_pad_sleep_regwen_5]: V(False)
11195
11196 prim_subreg #(
11197 .DW (1),
11198 .SWACCESS("W0C"),
11199 .RESVAL (1'h1)
11200 ) u_dio_pad_sleep_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011201 .clk_i (clk_i),
11202 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011203
11204 // from register interface
11205 .we (dio_pad_sleep_regwen_5_we),
11206 .wd (dio_pad_sleep_regwen_5_wd),
11207
11208 // from internal hardware
11209 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011210 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011211
11212 // to internal hardware
11213 .qe (),
11214 .q (),
11215
11216 // to register interface (read)
11217 .qs (dio_pad_sleep_regwen_5_qs)
11218 );
11219
11220 // Subregister 6 of Multireg dio_pad_sleep_regwen
11221 // R[dio_pad_sleep_regwen_6]: V(False)
11222
11223 prim_subreg #(
11224 .DW (1),
11225 .SWACCESS("W0C"),
11226 .RESVAL (1'h1)
11227 ) u_dio_pad_sleep_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011228 .clk_i (clk_i),
11229 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011230
11231 // from register interface
11232 .we (dio_pad_sleep_regwen_6_we),
11233 .wd (dio_pad_sleep_regwen_6_wd),
11234
11235 // from internal hardware
11236 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011237 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011238
11239 // to internal hardware
11240 .qe (),
11241 .q (),
11242
11243 // to register interface (read)
11244 .qs (dio_pad_sleep_regwen_6_qs)
11245 );
11246
11247 // Subregister 7 of Multireg dio_pad_sleep_regwen
11248 // R[dio_pad_sleep_regwen_7]: V(False)
11249
11250 prim_subreg #(
11251 .DW (1),
11252 .SWACCESS("W0C"),
11253 .RESVAL (1'h1)
11254 ) u_dio_pad_sleep_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011255 .clk_i (clk_i),
11256 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011257
11258 // from register interface
11259 .we (dio_pad_sleep_regwen_7_we),
11260 .wd (dio_pad_sleep_regwen_7_wd),
11261
11262 // from internal hardware
11263 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011264 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011265
11266 // to internal hardware
11267 .qe (),
11268 .q (),
11269
11270 // to register interface (read)
11271 .qs (dio_pad_sleep_regwen_7_qs)
11272 );
11273
11274 // Subregister 8 of Multireg dio_pad_sleep_regwen
11275 // R[dio_pad_sleep_regwen_8]: V(False)
11276
11277 prim_subreg #(
11278 .DW (1),
11279 .SWACCESS("W0C"),
11280 .RESVAL (1'h1)
11281 ) u_dio_pad_sleep_regwen_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011282 .clk_i (clk_i),
11283 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011284
11285 // from register interface
11286 .we (dio_pad_sleep_regwen_8_we),
11287 .wd (dio_pad_sleep_regwen_8_wd),
11288
11289 // from internal hardware
11290 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011291 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011292
11293 // to internal hardware
11294 .qe (),
11295 .q (),
11296
11297 // to register interface (read)
11298 .qs (dio_pad_sleep_regwen_8_qs)
11299 );
11300
11301 // Subregister 9 of Multireg dio_pad_sleep_regwen
11302 // R[dio_pad_sleep_regwen_9]: V(False)
11303
11304 prim_subreg #(
11305 .DW (1),
11306 .SWACCESS("W0C"),
11307 .RESVAL (1'h1)
11308 ) u_dio_pad_sleep_regwen_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011309 .clk_i (clk_i),
11310 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011311
11312 // from register interface
11313 .we (dio_pad_sleep_regwen_9_we),
11314 .wd (dio_pad_sleep_regwen_9_wd),
11315
11316 // from internal hardware
11317 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011318 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011319
11320 // to internal hardware
11321 .qe (),
11322 .q (),
11323
11324 // to register interface (read)
11325 .qs (dio_pad_sleep_regwen_9_qs)
11326 );
11327
11328 // Subregister 10 of Multireg dio_pad_sleep_regwen
11329 // R[dio_pad_sleep_regwen_10]: V(False)
11330
11331 prim_subreg #(
11332 .DW (1),
11333 .SWACCESS("W0C"),
11334 .RESVAL (1'h1)
11335 ) u_dio_pad_sleep_regwen_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011336 .clk_i (clk_i),
11337 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011338
11339 // from register interface
11340 .we (dio_pad_sleep_regwen_10_we),
11341 .wd (dio_pad_sleep_regwen_10_wd),
11342
11343 // from internal hardware
11344 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011345 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011346
11347 // to internal hardware
11348 .qe (),
11349 .q (),
11350
11351 // to register interface (read)
11352 .qs (dio_pad_sleep_regwen_10_qs)
11353 );
11354
11355 // Subregister 11 of Multireg dio_pad_sleep_regwen
11356 // R[dio_pad_sleep_regwen_11]: V(False)
11357
11358 prim_subreg #(
11359 .DW (1),
11360 .SWACCESS("W0C"),
11361 .RESVAL (1'h1)
11362 ) u_dio_pad_sleep_regwen_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011363 .clk_i (clk_i),
11364 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011365
11366 // from register interface
11367 .we (dio_pad_sleep_regwen_11_we),
11368 .wd (dio_pad_sleep_regwen_11_wd),
11369
11370 // from internal hardware
11371 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011372 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011373
11374 // to internal hardware
11375 .qe (),
11376 .q (),
11377
11378 // to register interface (read)
11379 .qs (dio_pad_sleep_regwen_11_qs)
11380 );
11381
11382 // Subregister 12 of Multireg dio_pad_sleep_regwen
11383 // R[dio_pad_sleep_regwen_12]: V(False)
11384
11385 prim_subreg #(
11386 .DW (1),
11387 .SWACCESS("W0C"),
11388 .RESVAL (1'h1)
11389 ) u_dio_pad_sleep_regwen_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011390 .clk_i (clk_i),
11391 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011392
11393 // from register interface
11394 .we (dio_pad_sleep_regwen_12_we),
11395 .wd (dio_pad_sleep_regwen_12_wd),
11396
11397 // from internal hardware
11398 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011399 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011400
11401 // to internal hardware
11402 .qe (),
11403 .q (),
11404
11405 // to register interface (read)
11406 .qs (dio_pad_sleep_regwen_12_qs)
11407 );
11408
11409 // Subregister 13 of Multireg dio_pad_sleep_regwen
11410 // R[dio_pad_sleep_regwen_13]: V(False)
11411
11412 prim_subreg #(
11413 .DW (1),
11414 .SWACCESS("W0C"),
11415 .RESVAL (1'h1)
11416 ) u_dio_pad_sleep_regwen_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011417 .clk_i (clk_i),
11418 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011419
11420 // from register interface
11421 .we (dio_pad_sleep_regwen_13_we),
11422 .wd (dio_pad_sleep_regwen_13_wd),
11423
11424 // from internal hardware
11425 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011426 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011427
11428 // to internal hardware
11429 .qe (),
11430 .q (),
11431
11432 // to register interface (read)
11433 .qs (dio_pad_sleep_regwen_13_qs)
11434 );
11435
11436 // Subregister 14 of Multireg dio_pad_sleep_regwen
11437 // R[dio_pad_sleep_regwen_14]: V(False)
11438
11439 prim_subreg #(
11440 .DW (1),
11441 .SWACCESS("W0C"),
11442 .RESVAL (1'h1)
11443 ) u_dio_pad_sleep_regwen_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011444 .clk_i (clk_i),
11445 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011446
11447 // from register interface
11448 .we (dio_pad_sleep_regwen_14_we),
11449 .wd (dio_pad_sleep_regwen_14_wd),
11450
11451 // from internal hardware
11452 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011453 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011454
11455 // to internal hardware
11456 .qe (),
11457 .q (),
11458
11459 // to register interface (read)
11460 .qs (dio_pad_sleep_regwen_14_qs)
11461 );
11462
11463 // Subregister 15 of Multireg dio_pad_sleep_regwen
11464 // R[dio_pad_sleep_regwen_15]: V(False)
11465
11466 prim_subreg #(
11467 .DW (1),
11468 .SWACCESS("W0C"),
11469 .RESVAL (1'h1)
11470 ) u_dio_pad_sleep_regwen_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011471 .clk_i (clk_i),
11472 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011473
11474 // from register interface
11475 .we (dio_pad_sleep_regwen_15_we),
11476 .wd (dio_pad_sleep_regwen_15_wd),
11477
11478 // from internal hardware
11479 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011480 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011481
11482 // to internal hardware
11483 .qe (),
11484 .q (),
11485
11486 // to register interface (read)
11487 .qs (dio_pad_sleep_regwen_15_qs)
11488 );
11489
11490
11491
11492 // Subregister 0 of Multireg dio_pad_sleep_en
11493 // R[dio_pad_sleep_en_0]: V(False)
11494
11495 prim_subreg #(
11496 .DW (1),
11497 .SWACCESS("RW"),
11498 .RESVAL (1'h0)
11499 ) u_dio_pad_sleep_en_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011500 .clk_i (clk_i),
11501 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011502
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011503 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011504 .we (dio_pad_sleep_en_0_we & dio_pad_sleep_regwen_0_qs),
11505 .wd (dio_pad_sleep_en_0_wd),
11506
11507 // from internal hardware
11508 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011509 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011510
11511 // to internal hardware
11512 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011513 .q (reg2hw.dio_pad_sleep_en[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011514
11515 // to register interface (read)
11516 .qs (dio_pad_sleep_en_0_qs)
11517 );
11518
11519 // Subregister 1 of Multireg dio_pad_sleep_en
11520 // R[dio_pad_sleep_en_1]: V(False)
11521
11522 prim_subreg #(
11523 .DW (1),
11524 .SWACCESS("RW"),
11525 .RESVAL (1'h0)
11526 ) u_dio_pad_sleep_en_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011527 .clk_i (clk_i),
11528 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011529
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011530 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011531 .we (dio_pad_sleep_en_1_we & dio_pad_sleep_regwen_1_qs),
11532 .wd (dio_pad_sleep_en_1_wd),
11533
11534 // from internal hardware
11535 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011536 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011537
11538 // to internal hardware
11539 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011540 .q (reg2hw.dio_pad_sleep_en[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011541
11542 // to register interface (read)
11543 .qs (dio_pad_sleep_en_1_qs)
11544 );
11545
11546 // Subregister 2 of Multireg dio_pad_sleep_en
11547 // R[dio_pad_sleep_en_2]: V(False)
11548
11549 prim_subreg #(
11550 .DW (1),
11551 .SWACCESS("RW"),
11552 .RESVAL (1'h0)
11553 ) u_dio_pad_sleep_en_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011554 .clk_i (clk_i),
11555 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011556
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011557 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011558 .we (dio_pad_sleep_en_2_we & dio_pad_sleep_regwen_2_qs),
11559 .wd (dio_pad_sleep_en_2_wd),
11560
11561 // from internal hardware
11562 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011563 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011564
11565 // to internal hardware
11566 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011567 .q (reg2hw.dio_pad_sleep_en[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011568
11569 // to register interface (read)
11570 .qs (dio_pad_sleep_en_2_qs)
11571 );
11572
11573 // Subregister 3 of Multireg dio_pad_sleep_en
11574 // R[dio_pad_sleep_en_3]: V(False)
11575
11576 prim_subreg #(
11577 .DW (1),
11578 .SWACCESS("RW"),
11579 .RESVAL (1'h0)
11580 ) u_dio_pad_sleep_en_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011581 .clk_i (clk_i),
11582 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011583
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011584 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011585 .we (dio_pad_sleep_en_3_we & dio_pad_sleep_regwen_3_qs),
11586 .wd (dio_pad_sleep_en_3_wd),
11587
11588 // from internal hardware
11589 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011590 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011591
11592 // to internal hardware
11593 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011594 .q (reg2hw.dio_pad_sleep_en[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011595
11596 // to register interface (read)
11597 .qs (dio_pad_sleep_en_3_qs)
11598 );
11599
11600 // Subregister 4 of Multireg dio_pad_sleep_en
11601 // R[dio_pad_sleep_en_4]: V(False)
11602
11603 prim_subreg #(
11604 .DW (1),
11605 .SWACCESS("RW"),
11606 .RESVAL (1'h0)
11607 ) u_dio_pad_sleep_en_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011608 .clk_i (clk_i),
11609 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011610
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011611 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011612 .we (dio_pad_sleep_en_4_we & dio_pad_sleep_regwen_4_qs),
11613 .wd (dio_pad_sleep_en_4_wd),
11614
11615 // from internal hardware
11616 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011617 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011618
11619 // to internal hardware
11620 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011621 .q (reg2hw.dio_pad_sleep_en[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011622
11623 // to register interface (read)
11624 .qs (dio_pad_sleep_en_4_qs)
11625 );
11626
11627 // Subregister 5 of Multireg dio_pad_sleep_en
11628 // R[dio_pad_sleep_en_5]: V(False)
11629
11630 prim_subreg #(
11631 .DW (1),
11632 .SWACCESS("RW"),
11633 .RESVAL (1'h0)
11634 ) u_dio_pad_sleep_en_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011635 .clk_i (clk_i),
11636 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011637
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011638 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011639 .we (dio_pad_sleep_en_5_we & dio_pad_sleep_regwen_5_qs),
11640 .wd (dio_pad_sleep_en_5_wd),
11641
11642 // from internal hardware
11643 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011644 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011645
11646 // to internal hardware
11647 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011648 .q (reg2hw.dio_pad_sleep_en[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011649
11650 // to register interface (read)
11651 .qs (dio_pad_sleep_en_5_qs)
11652 );
11653
11654 // Subregister 6 of Multireg dio_pad_sleep_en
11655 // R[dio_pad_sleep_en_6]: V(False)
11656
11657 prim_subreg #(
11658 .DW (1),
11659 .SWACCESS("RW"),
11660 .RESVAL (1'h0)
11661 ) u_dio_pad_sleep_en_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011662 .clk_i (clk_i),
11663 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011664
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011665 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011666 .we (dio_pad_sleep_en_6_we & dio_pad_sleep_regwen_6_qs),
11667 .wd (dio_pad_sleep_en_6_wd),
11668
11669 // from internal hardware
11670 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011671 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011672
11673 // to internal hardware
11674 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011675 .q (reg2hw.dio_pad_sleep_en[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011676
11677 // to register interface (read)
11678 .qs (dio_pad_sleep_en_6_qs)
11679 );
11680
11681 // Subregister 7 of Multireg dio_pad_sleep_en
11682 // R[dio_pad_sleep_en_7]: V(False)
11683
11684 prim_subreg #(
11685 .DW (1),
11686 .SWACCESS("RW"),
11687 .RESVAL (1'h0)
11688 ) u_dio_pad_sleep_en_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011689 .clk_i (clk_i),
11690 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011691
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011692 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011693 .we (dio_pad_sleep_en_7_we & dio_pad_sleep_regwen_7_qs),
11694 .wd (dio_pad_sleep_en_7_wd),
11695
11696 // from internal hardware
11697 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011698 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011699
11700 // to internal hardware
11701 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011702 .q (reg2hw.dio_pad_sleep_en[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011703
11704 // to register interface (read)
11705 .qs (dio_pad_sleep_en_7_qs)
11706 );
11707
11708 // Subregister 8 of Multireg dio_pad_sleep_en
11709 // R[dio_pad_sleep_en_8]: V(False)
11710
11711 prim_subreg #(
11712 .DW (1),
11713 .SWACCESS("RW"),
11714 .RESVAL (1'h0)
11715 ) u_dio_pad_sleep_en_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011716 .clk_i (clk_i),
11717 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011718
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011719 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011720 .we (dio_pad_sleep_en_8_we & dio_pad_sleep_regwen_8_qs),
11721 .wd (dio_pad_sleep_en_8_wd),
11722
11723 // from internal hardware
11724 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011725 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011726
11727 // to internal hardware
11728 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011729 .q (reg2hw.dio_pad_sleep_en[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011730
11731 // to register interface (read)
11732 .qs (dio_pad_sleep_en_8_qs)
11733 );
11734
11735 // Subregister 9 of Multireg dio_pad_sleep_en
11736 // R[dio_pad_sleep_en_9]: V(False)
11737
11738 prim_subreg #(
11739 .DW (1),
11740 .SWACCESS("RW"),
11741 .RESVAL (1'h0)
11742 ) u_dio_pad_sleep_en_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011743 .clk_i (clk_i),
11744 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011745
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011746 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011747 .we (dio_pad_sleep_en_9_we & dio_pad_sleep_regwen_9_qs),
11748 .wd (dio_pad_sleep_en_9_wd),
11749
11750 // from internal hardware
11751 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011752 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011753
11754 // to internal hardware
11755 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011756 .q (reg2hw.dio_pad_sleep_en[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011757
11758 // to register interface (read)
11759 .qs (dio_pad_sleep_en_9_qs)
11760 );
11761
11762 // Subregister 10 of Multireg dio_pad_sleep_en
11763 // R[dio_pad_sleep_en_10]: V(False)
11764
11765 prim_subreg #(
11766 .DW (1),
11767 .SWACCESS("RW"),
11768 .RESVAL (1'h0)
11769 ) u_dio_pad_sleep_en_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011770 .clk_i (clk_i),
11771 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011772
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011773 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011774 .we (dio_pad_sleep_en_10_we & dio_pad_sleep_regwen_10_qs),
11775 .wd (dio_pad_sleep_en_10_wd),
11776
11777 // from internal hardware
11778 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011779 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011780
11781 // to internal hardware
11782 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011783 .q (reg2hw.dio_pad_sleep_en[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011784
11785 // to register interface (read)
11786 .qs (dio_pad_sleep_en_10_qs)
11787 );
11788
11789 // Subregister 11 of Multireg dio_pad_sleep_en
11790 // R[dio_pad_sleep_en_11]: V(False)
11791
11792 prim_subreg #(
11793 .DW (1),
11794 .SWACCESS("RW"),
11795 .RESVAL (1'h0)
11796 ) u_dio_pad_sleep_en_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011797 .clk_i (clk_i),
11798 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011799
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011800 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011801 .we (dio_pad_sleep_en_11_we & dio_pad_sleep_regwen_11_qs),
11802 .wd (dio_pad_sleep_en_11_wd),
11803
11804 // from internal hardware
11805 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011806 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011807
11808 // to internal hardware
11809 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011810 .q (reg2hw.dio_pad_sleep_en[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011811
11812 // to register interface (read)
11813 .qs (dio_pad_sleep_en_11_qs)
11814 );
11815
11816 // Subregister 12 of Multireg dio_pad_sleep_en
11817 // R[dio_pad_sleep_en_12]: V(False)
11818
11819 prim_subreg #(
11820 .DW (1),
11821 .SWACCESS("RW"),
11822 .RESVAL (1'h0)
11823 ) u_dio_pad_sleep_en_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011824 .clk_i (clk_i),
11825 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011826
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011827 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011828 .we (dio_pad_sleep_en_12_we & dio_pad_sleep_regwen_12_qs),
11829 .wd (dio_pad_sleep_en_12_wd),
11830
11831 // from internal hardware
11832 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011833 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011834
11835 // to internal hardware
11836 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011837 .q (reg2hw.dio_pad_sleep_en[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011838
11839 // to register interface (read)
11840 .qs (dio_pad_sleep_en_12_qs)
11841 );
11842
11843 // Subregister 13 of Multireg dio_pad_sleep_en
11844 // R[dio_pad_sleep_en_13]: V(False)
11845
11846 prim_subreg #(
11847 .DW (1),
11848 .SWACCESS("RW"),
11849 .RESVAL (1'h0)
11850 ) u_dio_pad_sleep_en_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011851 .clk_i (clk_i),
11852 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011853
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011854 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011855 .we (dio_pad_sleep_en_13_we & dio_pad_sleep_regwen_13_qs),
11856 .wd (dio_pad_sleep_en_13_wd),
11857
11858 // from internal hardware
11859 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011860 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011861
11862 // to internal hardware
11863 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011864 .q (reg2hw.dio_pad_sleep_en[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011865
11866 // to register interface (read)
11867 .qs (dio_pad_sleep_en_13_qs)
11868 );
11869
11870 // Subregister 14 of Multireg dio_pad_sleep_en
11871 // R[dio_pad_sleep_en_14]: V(False)
11872
11873 prim_subreg #(
11874 .DW (1),
11875 .SWACCESS("RW"),
11876 .RESVAL (1'h0)
11877 ) u_dio_pad_sleep_en_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011878 .clk_i (clk_i),
11879 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011880
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011881 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011882 .we (dio_pad_sleep_en_14_we & dio_pad_sleep_regwen_14_qs),
11883 .wd (dio_pad_sleep_en_14_wd),
11884
11885 // from internal hardware
11886 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011887 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011888
11889 // to internal hardware
11890 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011891 .q (reg2hw.dio_pad_sleep_en[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011892
11893 // to register interface (read)
11894 .qs (dio_pad_sleep_en_14_qs)
11895 );
11896
11897 // Subregister 15 of Multireg dio_pad_sleep_en
11898 // R[dio_pad_sleep_en_15]: V(False)
11899
11900 prim_subreg #(
11901 .DW (1),
11902 .SWACCESS("RW"),
11903 .RESVAL (1'h0)
11904 ) u_dio_pad_sleep_en_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011905 .clk_i (clk_i),
11906 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011907
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011908 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011909 .we (dio_pad_sleep_en_15_we & dio_pad_sleep_regwen_15_qs),
11910 .wd (dio_pad_sleep_en_15_wd),
11911
11912 // from internal hardware
11913 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011914 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011915
11916 // to internal hardware
11917 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011918 .q (reg2hw.dio_pad_sleep_en[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011919
11920 // to register interface (read)
11921 .qs (dio_pad_sleep_en_15_qs)
11922 );
11923
11924
11925
11926 // Subregister 0 of Multireg dio_pad_sleep_mode
11927 // R[dio_pad_sleep_mode_0]: V(False)
11928
11929 prim_subreg #(
11930 .DW (2),
11931 .SWACCESS("RW"),
11932 .RESVAL (2'h2)
11933 ) u_dio_pad_sleep_mode_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011934 .clk_i (clk_i),
11935 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011936
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011937 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011938 .we (dio_pad_sleep_mode_0_we & dio_pad_sleep_regwen_0_qs),
11939 .wd (dio_pad_sleep_mode_0_wd),
11940
11941 // from internal hardware
11942 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011943 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011944
11945 // to internal hardware
11946 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011947 .q (reg2hw.dio_pad_sleep_mode[0].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011948
11949 // to register interface (read)
11950 .qs (dio_pad_sleep_mode_0_qs)
11951 );
11952
11953 // Subregister 1 of Multireg dio_pad_sleep_mode
11954 // R[dio_pad_sleep_mode_1]: V(False)
11955
11956 prim_subreg #(
11957 .DW (2),
11958 .SWACCESS("RW"),
11959 .RESVAL (2'h2)
11960 ) u_dio_pad_sleep_mode_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011961 .clk_i (clk_i),
11962 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011963
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011964 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011965 .we (dio_pad_sleep_mode_1_we & dio_pad_sleep_regwen_1_qs),
11966 .wd (dio_pad_sleep_mode_1_wd),
11967
11968 // from internal hardware
11969 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011970 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011971
11972 // to internal hardware
11973 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011974 .q (reg2hw.dio_pad_sleep_mode[1].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011975
11976 // to register interface (read)
11977 .qs (dio_pad_sleep_mode_1_qs)
11978 );
11979
11980 // Subregister 2 of Multireg dio_pad_sleep_mode
11981 // R[dio_pad_sleep_mode_2]: V(False)
11982
11983 prim_subreg #(
11984 .DW (2),
11985 .SWACCESS("RW"),
11986 .RESVAL (2'h2)
11987 ) u_dio_pad_sleep_mode_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011988 .clk_i (clk_i),
11989 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011990
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011991 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011992 .we (dio_pad_sleep_mode_2_we & dio_pad_sleep_regwen_2_qs),
11993 .wd (dio_pad_sleep_mode_2_wd),
11994
11995 // from internal hardware
11996 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010011997 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080011998
11999 // to internal hardware
12000 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012001 .q (reg2hw.dio_pad_sleep_mode[2].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012002
12003 // to register interface (read)
12004 .qs (dio_pad_sleep_mode_2_qs)
12005 );
12006
12007 // Subregister 3 of Multireg dio_pad_sleep_mode
12008 // R[dio_pad_sleep_mode_3]: V(False)
12009
12010 prim_subreg #(
12011 .DW (2),
12012 .SWACCESS("RW"),
12013 .RESVAL (2'h2)
12014 ) u_dio_pad_sleep_mode_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012015 .clk_i (clk_i),
12016 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012017
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012018 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012019 .we (dio_pad_sleep_mode_3_we & dio_pad_sleep_regwen_3_qs),
12020 .wd (dio_pad_sleep_mode_3_wd),
12021
12022 // from internal hardware
12023 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012024 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012025
12026 // to internal hardware
12027 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012028 .q (reg2hw.dio_pad_sleep_mode[3].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012029
12030 // to register interface (read)
12031 .qs (dio_pad_sleep_mode_3_qs)
12032 );
12033
12034 // Subregister 4 of Multireg dio_pad_sleep_mode
12035 // R[dio_pad_sleep_mode_4]: V(False)
12036
12037 prim_subreg #(
12038 .DW (2),
12039 .SWACCESS("RW"),
12040 .RESVAL (2'h2)
12041 ) u_dio_pad_sleep_mode_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012042 .clk_i (clk_i),
12043 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012044
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012045 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012046 .we (dio_pad_sleep_mode_4_we & dio_pad_sleep_regwen_4_qs),
12047 .wd (dio_pad_sleep_mode_4_wd),
12048
12049 // from internal hardware
12050 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012051 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012052
12053 // to internal hardware
12054 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012055 .q (reg2hw.dio_pad_sleep_mode[4].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012056
12057 // to register interface (read)
12058 .qs (dio_pad_sleep_mode_4_qs)
12059 );
12060
12061 // Subregister 5 of Multireg dio_pad_sleep_mode
12062 // R[dio_pad_sleep_mode_5]: V(False)
12063
12064 prim_subreg #(
12065 .DW (2),
12066 .SWACCESS("RW"),
12067 .RESVAL (2'h2)
12068 ) u_dio_pad_sleep_mode_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012069 .clk_i (clk_i),
12070 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012071
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012072 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012073 .we (dio_pad_sleep_mode_5_we & dio_pad_sleep_regwen_5_qs),
12074 .wd (dio_pad_sleep_mode_5_wd),
12075
12076 // from internal hardware
12077 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012078 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012079
12080 // to internal hardware
12081 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012082 .q (reg2hw.dio_pad_sleep_mode[5].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012083
12084 // to register interface (read)
12085 .qs (dio_pad_sleep_mode_5_qs)
12086 );
12087
12088 // Subregister 6 of Multireg dio_pad_sleep_mode
12089 // R[dio_pad_sleep_mode_6]: V(False)
12090
12091 prim_subreg #(
12092 .DW (2),
12093 .SWACCESS("RW"),
12094 .RESVAL (2'h2)
12095 ) u_dio_pad_sleep_mode_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012096 .clk_i (clk_i),
12097 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012098
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012099 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012100 .we (dio_pad_sleep_mode_6_we & dio_pad_sleep_regwen_6_qs),
12101 .wd (dio_pad_sleep_mode_6_wd),
12102
12103 // from internal hardware
12104 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012105 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012106
12107 // to internal hardware
12108 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012109 .q (reg2hw.dio_pad_sleep_mode[6].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012110
12111 // to register interface (read)
12112 .qs (dio_pad_sleep_mode_6_qs)
12113 );
12114
12115 // Subregister 7 of Multireg dio_pad_sleep_mode
12116 // R[dio_pad_sleep_mode_7]: V(False)
12117
12118 prim_subreg #(
12119 .DW (2),
12120 .SWACCESS("RW"),
12121 .RESVAL (2'h2)
12122 ) u_dio_pad_sleep_mode_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012123 .clk_i (clk_i),
12124 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012125
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012126 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012127 .we (dio_pad_sleep_mode_7_we & dio_pad_sleep_regwen_7_qs),
12128 .wd (dio_pad_sleep_mode_7_wd),
12129
12130 // from internal hardware
12131 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012132 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012133
12134 // to internal hardware
12135 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012136 .q (reg2hw.dio_pad_sleep_mode[7].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012137
12138 // to register interface (read)
12139 .qs (dio_pad_sleep_mode_7_qs)
12140 );
12141
12142 // Subregister 8 of Multireg dio_pad_sleep_mode
12143 // R[dio_pad_sleep_mode_8]: V(False)
12144
12145 prim_subreg #(
12146 .DW (2),
12147 .SWACCESS("RW"),
12148 .RESVAL (2'h2)
12149 ) u_dio_pad_sleep_mode_8 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012150 .clk_i (clk_i),
12151 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012152
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012153 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012154 .we (dio_pad_sleep_mode_8_we & dio_pad_sleep_regwen_8_qs),
12155 .wd (dio_pad_sleep_mode_8_wd),
12156
12157 // from internal hardware
12158 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012159 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012160
12161 // to internal hardware
12162 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012163 .q (reg2hw.dio_pad_sleep_mode[8].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012164
12165 // to register interface (read)
12166 .qs (dio_pad_sleep_mode_8_qs)
12167 );
12168
12169 // Subregister 9 of Multireg dio_pad_sleep_mode
12170 // R[dio_pad_sleep_mode_9]: V(False)
12171
12172 prim_subreg #(
12173 .DW (2),
12174 .SWACCESS("RW"),
12175 .RESVAL (2'h2)
12176 ) u_dio_pad_sleep_mode_9 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012177 .clk_i (clk_i),
12178 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012179
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012180 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012181 .we (dio_pad_sleep_mode_9_we & dio_pad_sleep_regwen_9_qs),
12182 .wd (dio_pad_sleep_mode_9_wd),
12183
12184 // from internal hardware
12185 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012186 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012187
12188 // to internal hardware
12189 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012190 .q (reg2hw.dio_pad_sleep_mode[9].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012191
12192 // to register interface (read)
12193 .qs (dio_pad_sleep_mode_9_qs)
12194 );
12195
12196 // Subregister 10 of Multireg dio_pad_sleep_mode
12197 // R[dio_pad_sleep_mode_10]: V(False)
12198
12199 prim_subreg #(
12200 .DW (2),
12201 .SWACCESS("RW"),
12202 .RESVAL (2'h2)
12203 ) u_dio_pad_sleep_mode_10 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012204 .clk_i (clk_i),
12205 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012206
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012207 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012208 .we (dio_pad_sleep_mode_10_we & dio_pad_sleep_regwen_10_qs),
12209 .wd (dio_pad_sleep_mode_10_wd),
12210
12211 // from internal hardware
12212 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012213 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012214
12215 // to internal hardware
12216 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012217 .q (reg2hw.dio_pad_sleep_mode[10].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012218
12219 // to register interface (read)
12220 .qs (dio_pad_sleep_mode_10_qs)
12221 );
12222
12223 // Subregister 11 of Multireg dio_pad_sleep_mode
12224 // R[dio_pad_sleep_mode_11]: V(False)
12225
12226 prim_subreg #(
12227 .DW (2),
12228 .SWACCESS("RW"),
12229 .RESVAL (2'h2)
12230 ) u_dio_pad_sleep_mode_11 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012231 .clk_i (clk_i),
12232 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012233
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012234 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012235 .we (dio_pad_sleep_mode_11_we & dio_pad_sleep_regwen_11_qs),
12236 .wd (dio_pad_sleep_mode_11_wd),
12237
12238 // from internal hardware
12239 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012240 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012241
12242 // to internal hardware
12243 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012244 .q (reg2hw.dio_pad_sleep_mode[11].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012245
12246 // to register interface (read)
12247 .qs (dio_pad_sleep_mode_11_qs)
12248 );
12249
12250 // Subregister 12 of Multireg dio_pad_sleep_mode
12251 // R[dio_pad_sleep_mode_12]: V(False)
12252
12253 prim_subreg #(
12254 .DW (2),
12255 .SWACCESS("RW"),
12256 .RESVAL (2'h2)
12257 ) u_dio_pad_sleep_mode_12 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012258 .clk_i (clk_i),
12259 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012260
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012261 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012262 .we (dio_pad_sleep_mode_12_we & dio_pad_sleep_regwen_12_qs),
12263 .wd (dio_pad_sleep_mode_12_wd),
12264
12265 // from internal hardware
12266 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012267 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012268
12269 // to internal hardware
12270 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012271 .q (reg2hw.dio_pad_sleep_mode[12].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012272
12273 // to register interface (read)
12274 .qs (dio_pad_sleep_mode_12_qs)
12275 );
12276
12277 // Subregister 13 of Multireg dio_pad_sleep_mode
12278 // R[dio_pad_sleep_mode_13]: V(False)
12279
12280 prim_subreg #(
12281 .DW (2),
12282 .SWACCESS("RW"),
12283 .RESVAL (2'h2)
12284 ) u_dio_pad_sleep_mode_13 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012285 .clk_i (clk_i),
12286 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012287
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012288 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012289 .we (dio_pad_sleep_mode_13_we & dio_pad_sleep_regwen_13_qs),
12290 .wd (dio_pad_sleep_mode_13_wd),
12291
12292 // from internal hardware
12293 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012294 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012295
12296 // to internal hardware
12297 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012298 .q (reg2hw.dio_pad_sleep_mode[13].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012299
12300 // to register interface (read)
12301 .qs (dio_pad_sleep_mode_13_qs)
12302 );
12303
12304 // Subregister 14 of Multireg dio_pad_sleep_mode
12305 // R[dio_pad_sleep_mode_14]: V(False)
12306
12307 prim_subreg #(
12308 .DW (2),
12309 .SWACCESS("RW"),
12310 .RESVAL (2'h2)
12311 ) u_dio_pad_sleep_mode_14 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012312 .clk_i (clk_i),
12313 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012314
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012315 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012316 .we (dio_pad_sleep_mode_14_we & dio_pad_sleep_regwen_14_qs),
12317 .wd (dio_pad_sleep_mode_14_wd),
12318
12319 // from internal hardware
12320 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012321 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012322
12323 // to internal hardware
12324 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012325 .q (reg2hw.dio_pad_sleep_mode[14].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012326
12327 // to register interface (read)
12328 .qs (dio_pad_sleep_mode_14_qs)
12329 );
12330
12331 // Subregister 15 of Multireg dio_pad_sleep_mode
12332 // R[dio_pad_sleep_mode_15]: V(False)
12333
12334 prim_subreg #(
12335 .DW (2),
12336 .SWACCESS("RW"),
12337 .RESVAL (2'h2)
12338 ) u_dio_pad_sleep_mode_15 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012339 .clk_i (clk_i),
12340 .rst_ni (rst_ni),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012341
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012342 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012343 .we (dio_pad_sleep_mode_15_we & dio_pad_sleep_regwen_15_qs),
12344 .wd (dio_pad_sleep_mode_15_wd),
12345
12346 // from internal hardware
12347 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012348 .d ('0),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012349
12350 // to internal hardware
12351 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012352 .q (reg2hw.dio_pad_sleep_mode[15].q),
Michael Schaffner43ce8d52021-02-10 17:04:57 -080012353
12354 // to register interface (read)
12355 .qs (dio_pad_sleep_mode_15_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012356 );
12357
12358
12359
Michael Schaffner06fdb112021-02-10 16:52:56 -080012360 // Subregister 0 of Multireg wkup_detector_regwen
12361 // R[wkup_detector_regwen_0]: V(False)
12362
12363 prim_subreg #(
12364 .DW (1),
12365 .SWACCESS("W0C"),
12366 .RESVAL (1'h1)
12367 ) u_wkup_detector_regwen_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012368 .clk_i (clk_i),
12369 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012370
12371 // from register interface
12372 .we (wkup_detector_regwen_0_we),
12373 .wd (wkup_detector_regwen_0_wd),
12374
12375 // from internal hardware
12376 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012377 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012378
12379 // to internal hardware
12380 .qe (),
12381 .q (),
12382
12383 // to register interface (read)
12384 .qs (wkup_detector_regwen_0_qs)
12385 );
12386
12387 // Subregister 1 of Multireg wkup_detector_regwen
12388 // R[wkup_detector_regwen_1]: V(False)
12389
12390 prim_subreg #(
12391 .DW (1),
12392 .SWACCESS("W0C"),
12393 .RESVAL (1'h1)
12394 ) u_wkup_detector_regwen_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012395 .clk_i (clk_i),
12396 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012397
12398 // from register interface
12399 .we (wkup_detector_regwen_1_we),
12400 .wd (wkup_detector_regwen_1_wd),
12401
12402 // from internal hardware
12403 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012404 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012405
12406 // to internal hardware
12407 .qe (),
12408 .q (),
12409
12410 // to register interface (read)
12411 .qs (wkup_detector_regwen_1_qs)
12412 );
12413
12414 // Subregister 2 of Multireg wkup_detector_regwen
12415 // R[wkup_detector_regwen_2]: V(False)
12416
12417 prim_subreg #(
12418 .DW (1),
12419 .SWACCESS("W0C"),
12420 .RESVAL (1'h1)
12421 ) u_wkup_detector_regwen_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012422 .clk_i (clk_i),
12423 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012424
12425 // from register interface
12426 .we (wkup_detector_regwen_2_we),
12427 .wd (wkup_detector_regwen_2_wd),
12428
12429 // from internal hardware
12430 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012431 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012432
12433 // to internal hardware
12434 .qe (),
12435 .q (),
12436
12437 // to register interface (read)
12438 .qs (wkup_detector_regwen_2_qs)
12439 );
12440
12441 // Subregister 3 of Multireg wkup_detector_regwen
12442 // R[wkup_detector_regwen_3]: V(False)
12443
12444 prim_subreg #(
12445 .DW (1),
12446 .SWACCESS("W0C"),
12447 .RESVAL (1'h1)
12448 ) u_wkup_detector_regwen_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012449 .clk_i (clk_i),
12450 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012451
12452 // from register interface
12453 .we (wkup_detector_regwen_3_we),
12454 .wd (wkup_detector_regwen_3_wd),
12455
12456 // from internal hardware
12457 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012458 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012459
12460 // to internal hardware
12461 .qe (),
12462 .q (),
12463
12464 // to register interface (read)
12465 .qs (wkup_detector_regwen_3_qs)
12466 );
12467
12468 // Subregister 4 of Multireg wkup_detector_regwen
12469 // R[wkup_detector_regwen_4]: V(False)
12470
12471 prim_subreg #(
12472 .DW (1),
12473 .SWACCESS("W0C"),
12474 .RESVAL (1'h1)
12475 ) u_wkup_detector_regwen_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012476 .clk_i (clk_i),
12477 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012478
12479 // from register interface
12480 .we (wkup_detector_regwen_4_we),
12481 .wd (wkup_detector_regwen_4_wd),
12482
12483 // from internal hardware
12484 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012485 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012486
12487 // to internal hardware
12488 .qe (),
12489 .q (),
12490
12491 // to register interface (read)
12492 .qs (wkup_detector_regwen_4_qs)
12493 );
12494
12495 // Subregister 5 of Multireg wkup_detector_regwen
12496 // R[wkup_detector_regwen_5]: V(False)
12497
12498 prim_subreg #(
12499 .DW (1),
12500 .SWACCESS("W0C"),
12501 .RESVAL (1'h1)
12502 ) u_wkup_detector_regwen_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012503 .clk_i (clk_i),
12504 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012505
12506 // from register interface
12507 .we (wkup_detector_regwen_5_we),
12508 .wd (wkup_detector_regwen_5_wd),
12509
12510 // from internal hardware
12511 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012512 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012513
12514 // to internal hardware
12515 .qe (),
12516 .q (),
12517
12518 // to register interface (read)
12519 .qs (wkup_detector_regwen_5_qs)
12520 );
12521
12522 // Subregister 6 of Multireg wkup_detector_regwen
12523 // R[wkup_detector_regwen_6]: V(False)
12524
12525 prim_subreg #(
12526 .DW (1),
12527 .SWACCESS("W0C"),
12528 .RESVAL (1'h1)
12529 ) u_wkup_detector_regwen_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012530 .clk_i (clk_i),
12531 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012532
12533 // from register interface
12534 .we (wkup_detector_regwen_6_we),
12535 .wd (wkup_detector_regwen_6_wd),
12536
12537 // from internal hardware
12538 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012539 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012540
12541 // to internal hardware
12542 .qe (),
12543 .q (),
12544
12545 // to register interface (read)
12546 .qs (wkup_detector_regwen_6_qs)
12547 );
12548
12549 // Subregister 7 of Multireg wkup_detector_regwen
12550 // R[wkup_detector_regwen_7]: V(False)
12551
12552 prim_subreg #(
12553 .DW (1),
12554 .SWACCESS("W0C"),
12555 .RESVAL (1'h1)
12556 ) u_wkup_detector_regwen_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012557 .clk_i (clk_i),
12558 .rst_ni (rst_ni),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012559
12560 // from register interface
12561 .we (wkup_detector_regwen_7_we),
12562 .wd (wkup_detector_regwen_7_wd),
12563
12564 // from internal hardware
12565 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012566 .d ('0),
Michael Schaffner06fdb112021-02-10 16:52:56 -080012567
12568 // to internal hardware
12569 .qe (),
12570 .q (),
12571
12572 // to register interface (read)
12573 .qs (wkup_detector_regwen_7_qs)
12574 );
12575
12576
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012577
12578 // Subregister 0 of Multireg wkup_detector_en
Michael Schaffner06fdb112021-02-10 16:52:56 -080012579 // R[wkup_detector_en_0]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012580
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012581 prim_subreg #(
12582 .DW (1),
12583 .SWACCESS("RW"),
12584 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012585 ) u_wkup_detector_en_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012586 .clk_i (clk_i),
12587 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012588
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012589 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012590 .we (wkup_detector_en_0_we & wkup_detector_regwen_0_qs),
12591 .wd (wkup_detector_en_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012592
12593 // from internal hardware
12594 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012595 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012596
12597 // to internal hardware
12598 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012599 .q (reg2hw.wkup_detector_en[0].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012600
12601 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012602 .qs (wkup_detector_en_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012603 );
12604
Michael Schaffner06fdb112021-02-10 16:52:56 -080012605 // Subregister 1 of Multireg wkup_detector_en
12606 // R[wkup_detector_en_1]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012607
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012608 prim_subreg #(
12609 .DW (1),
12610 .SWACCESS("RW"),
12611 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012612 ) u_wkup_detector_en_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012613 .clk_i (clk_i),
12614 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012615
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012616 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012617 .we (wkup_detector_en_1_we & wkup_detector_regwen_1_qs),
12618 .wd (wkup_detector_en_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012619
12620 // from internal hardware
12621 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012622 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012623
12624 // to internal hardware
12625 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012626 .q (reg2hw.wkup_detector_en[1].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012627
12628 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012629 .qs (wkup_detector_en_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012630 );
12631
Michael Schaffner06fdb112021-02-10 16:52:56 -080012632 // Subregister 2 of Multireg wkup_detector_en
12633 // R[wkup_detector_en_2]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012634
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012635 prim_subreg #(
12636 .DW (1),
12637 .SWACCESS("RW"),
12638 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012639 ) u_wkup_detector_en_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012640 .clk_i (clk_i),
12641 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012642
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012643 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012644 .we (wkup_detector_en_2_we & wkup_detector_regwen_2_qs),
12645 .wd (wkup_detector_en_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012646
12647 // from internal hardware
12648 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012649 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012650
12651 // to internal hardware
12652 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012653 .q (reg2hw.wkup_detector_en[2].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012654
12655 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012656 .qs (wkup_detector_en_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012657 );
12658
Michael Schaffner06fdb112021-02-10 16:52:56 -080012659 // Subregister 3 of Multireg wkup_detector_en
12660 // R[wkup_detector_en_3]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012661
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012662 prim_subreg #(
12663 .DW (1),
12664 .SWACCESS("RW"),
12665 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012666 ) u_wkup_detector_en_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012667 .clk_i (clk_i),
12668 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012669
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012670 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012671 .we (wkup_detector_en_3_we & wkup_detector_regwen_3_qs),
12672 .wd (wkup_detector_en_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012673
12674 // from internal hardware
12675 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012676 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012677
12678 // to internal hardware
12679 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012680 .q (reg2hw.wkup_detector_en[3].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012681
12682 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012683 .qs (wkup_detector_en_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012684 );
12685
Michael Schaffner06fdb112021-02-10 16:52:56 -080012686 // Subregister 4 of Multireg wkup_detector_en
12687 // R[wkup_detector_en_4]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012688
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012689 prim_subreg #(
12690 .DW (1),
12691 .SWACCESS("RW"),
12692 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012693 ) u_wkup_detector_en_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012694 .clk_i (clk_i),
12695 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012696
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012697 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012698 .we (wkup_detector_en_4_we & wkup_detector_regwen_4_qs),
12699 .wd (wkup_detector_en_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012700
12701 // from internal hardware
12702 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012703 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012704
12705 // to internal hardware
12706 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012707 .q (reg2hw.wkup_detector_en[4].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012708
12709 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012710 .qs (wkup_detector_en_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012711 );
12712
Michael Schaffner06fdb112021-02-10 16:52:56 -080012713 // Subregister 5 of Multireg wkup_detector_en
12714 // R[wkup_detector_en_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012715
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012716 prim_subreg #(
12717 .DW (1),
12718 .SWACCESS("RW"),
12719 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012720 ) u_wkup_detector_en_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012721 .clk_i (clk_i),
12722 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012723
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012724 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012725 .we (wkup_detector_en_5_we & wkup_detector_regwen_5_qs),
12726 .wd (wkup_detector_en_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012727
12728 // from internal hardware
12729 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012730 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012731
12732 // to internal hardware
12733 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012734 .q (reg2hw.wkup_detector_en[5].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012735
12736 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012737 .qs (wkup_detector_en_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012738 );
12739
Michael Schaffner06fdb112021-02-10 16:52:56 -080012740 // Subregister 6 of Multireg wkup_detector_en
12741 // R[wkup_detector_en_6]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012742
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012743 prim_subreg #(
12744 .DW (1),
12745 .SWACCESS("RW"),
12746 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012747 ) u_wkup_detector_en_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012748 .clk_i (clk_i),
12749 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012750
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012751 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012752 .we (wkup_detector_en_6_we & wkup_detector_regwen_6_qs),
12753 .wd (wkup_detector_en_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012754
12755 // from internal hardware
12756 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012757 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012758
12759 // to internal hardware
12760 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012761 .q (reg2hw.wkup_detector_en[6].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012762
12763 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012764 .qs (wkup_detector_en_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012765 );
12766
Michael Schaffner06fdb112021-02-10 16:52:56 -080012767 // Subregister 7 of Multireg wkup_detector_en
12768 // R[wkup_detector_en_7]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012769
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012770 prim_subreg #(
12771 .DW (1),
12772 .SWACCESS("RW"),
12773 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012774 ) u_wkup_detector_en_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012775 .clk_i (clk_i),
12776 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012777
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012778 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012779 .we (wkup_detector_en_7_we & wkup_detector_regwen_7_qs),
12780 .wd (wkup_detector_en_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012781
12782 // from internal hardware
12783 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012784 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012785
12786 // to internal hardware
12787 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012788 .q (reg2hw.wkup_detector_en[7].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012789
12790 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012791 .qs (wkup_detector_en_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012792 );
12793
12794
12795
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012796 // Subregister 0 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012797 // R[wkup_detector_0]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012798
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012799 // F[mode_0]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012800 prim_subreg #(
12801 .DW (3),
12802 .SWACCESS("RW"),
12803 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012804 ) u_wkup_detector_0_mode_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012805 .clk_i (clk_i),
12806 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012807
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012808 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012809 .we (wkup_detector_0_mode_0_we & wkup_detector_regwen_0_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012810 .wd (wkup_detector_0_mode_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012811
12812 // from internal hardware
12813 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012814 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012815
12816 // to internal hardware
12817 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012818 .q (reg2hw.wkup_detector[0].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012819
12820 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012821 .qs (wkup_detector_0_mode_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012822 );
12823
12824
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012825 // F[filter_0]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012826 prim_subreg #(
12827 .DW (1),
12828 .SWACCESS("RW"),
12829 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012830 ) u_wkup_detector_0_filter_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012831 .clk_i (clk_i),
12832 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012833
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012834 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012835 .we (wkup_detector_0_filter_0_we & wkup_detector_regwen_0_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012836 .wd (wkup_detector_0_filter_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012837
12838 // from internal hardware
12839 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012840 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012841
12842 // to internal hardware
12843 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012844 .q (reg2hw.wkup_detector[0].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012845
12846 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012847 .qs (wkup_detector_0_filter_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012848 );
12849
12850
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012851 // F[miodio_0]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012852 prim_subreg #(
12853 .DW (1),
12854 .SWACCESS("RW"),
12855 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012856 ) u_wkup_detector_0_miodio_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012857 .clk_i (clk_i),
12858 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012859
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012860 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012861 .we (wkup_detector_0_miodio_0_we & wkup_detector_regwen_0_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012862 .wd (wkup_detector_0_miodio_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012863
12864 // from internal hardware
12865 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012866 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012867
12868 // to internal hardware
12869 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012870 .q (reg2hw.wkup_detector[0].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012871
12872 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012873 .qs (wkup_detector_0_miodio_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012874 );
12875
12876
12877 // Subregister 1 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012878 // R[wkup_detector_1]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012879
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012880 // F[mode_1]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012881 prim_subreg #(
12882 .DW (3),
12883 .SWACCESS("RW"),
12884 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012885 ) u_wkup_detector_1_mode_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012886 .clk_i (clk_i),
12887 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012888
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012889 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012890 .we (wkup_detector_1_mode_1_we & wkup_detector_regwen_1_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012891 .wd (wkup_detector_1_mode_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012892
12893 // from internal hardware
12894 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012895 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012896
12897 // to internal hardware
12898 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012899 .q (reg2hw.wkup_detector[1].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012900
12901 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012902 .qs (wkup_detector_1_mode_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012903 );
12904
12905
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012906 // F[filter_1]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012907 prim_subreg #(
12908 .DW (1),
12909 .SWACCESS("RW"),
12910 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012911 ) u_wkup_detector_1_filter_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012912 .clk_i (clk_i),
12913 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012914
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012915 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012916 .we (wkup_detector_1_filter_1_we & wkup_detector_regwen_1_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012917 .wd (wkup_detector_1_filter_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012918
12919 // from internal hardware
12920 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012921 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012922
12923 // to internal hardware
12924 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012925 .q (reg2hw.wkup_detector[1].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012926
12927 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012928 .qs (wkup_detector_1_filter_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012929 );
12930
12931
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012932 // F[miodio_1]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012933 prim_subreg #(
12934 .DW (1),
12935 .SWACCESS("RW"),
12936 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012937 ) u_wkup_detector_1_miodio_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012938 .clk_i (clk_i),
12939 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012940
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012941 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012942 .we (wkup_detector_1_miodio_1_we & wkup_detector_regwen_1_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012943 .wd (wkup_detector_1_miodio_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012944
12945 // from internal hardware
12946 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012947 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012948
12949 // to internal hardware
12950 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012951 .q (reg2hw.wkup_detector[1].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012952
12953 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012954 .qs (wkup_detector_1_miodio_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012955 );
12956
12957
12958 // Subregister 2 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012959 // R[wkup_detector_2]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012960
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012961 // F[mode_2]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012962 prim_subreg #(
12963 .DW (3),
12964 .SWACCESS("RW"),
12965 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012966 ) u_wkup_detector_2_mode_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012967 .clk_i (clk_i),
12968 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012969
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012970 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012971 .we (wkup_detector_2_mode_2_we & wkup_detector_regwen_2_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012972 .wd (wkup_detector_2_mode_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012973
12974 // from internal hardware
12975 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012976 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012977
12978 // to internal hardware
12979 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012980 .q (reg2hw.wkup_detector[2].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012981
12982 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012983 .qs (wkup_detector_2_mode_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012984 );
12985
12986
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012987 // F[filter_2]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012988 prim_subreg #(
12989 .DW (1),
12990 .SWACCESS("RW"),
12991 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012992 ) u_wkup_detector_2_filter_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012993 .clk_i (clk_i),
12994 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012995
Rupert Swarbrick359c1262021-05-28 16:03:57 +010012996 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080012997 .we (wkup_detector_2_filter_2_we & wkup_detector_regwen_2_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012998 .wd (wkup_detector_2_filter_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012999
13000 // from internal hardware
13001 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013002 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013003
13004 // to internal hardware
13005 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013006 .q (reg2hw.wkup_detector[2].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013007
13008 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013009 .qs (wkup_detector_2_filter_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013010 );
13011
13012
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013013 // F[miodio_2]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013014 prim_subreg #(
13015 .DW (1),
13016 .SWACCESS("RW"),
13017 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013018 ) u_wkup_detector_2_miodio_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013019 .clk_i (clk_i),
13020 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013021
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013022 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013023 .we (wkup_detector_2_miodio_2_we & wkup_detector_regwen_2_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013024 .wd (wkup_detector_2_miodio_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013025
13026 // from internal hardware
13027 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013028 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013029
13030 // to internal hardware
13031 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013032 .q (reg2hw.wkup_detector[2].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013033
13034 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013035 .qs (wkup_detector_2_miodio_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013036 );
13037
13038
13039 // Subregister 3 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013040 // R[wkup_detector_3]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013041
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013042 // F[mode_3]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013043 prim_subreg #(
13044 .DW (3),
13045 .SWACCESS("RW"),
13046 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013047 ) u_wkup_detector_3_mode_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013048 .clk_i (clk_i),
13049 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013050
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013051 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013052 .we (wkup_detector_3_mode_3_we & wkup_detector_regwen_3_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013053 .wd (wkup_detector_3_mode_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013054
13055 // from internal hardware
13056 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013057 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013058
13059 // to internal hardware
13060 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013061 .q (reg2hw.wkup_detector[3].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013062
13063 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013064 .qs (wkup_detector_3_mode_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013065 );
13066
13067
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013068 // F[filter_3]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013069 prim_subreg #(
13070 .DW (1),
13071 .SWACCESS("RW"),
13072 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013073 ) u_wkup_detector_3_filter_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013074 .clk_i (clk_i),
13075 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013076
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013077 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013078 .we (wkup_detector_3_filter_3_we & wkup_detector_regwen_3_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013079 .wd (wkup_detector_3_filter_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013080
13081 // from internal hardware
13082 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013083 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013084
13085 // to internal hardware
13086 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013087 .q (reg2hw.wkup_detector[3].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013088
13089 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013090 .qs (wkup_detector_3_filter_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013091 );
13092
13093
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013094 // F[miodio_3]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013095 prim_subreg #(
13096 .DW (1),
13097 .SWACCESS("RW"),
13098 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013099 ) u_wkup_detector_3_miodio_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013100 .clk_i (clk_i),
13101 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013102
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013103 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013104 .we (wkup_detector_3_miodio_3_we & wkup_detector_regwen_3_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013105 .wd (wkup_detector_3_miodio_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013106
13107 // from internal hardware
13108 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013109 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013110
13111 // to internal hardware
13112 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013113 .q (reg2hw.wkup_detector[3].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013114
13115 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013116 .qs (wkup_detector_3_miodio_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013117 );
13118
13119
13120 // Subregister 4 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013121 // R[wkup_detector_4]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013122
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013123 // F[mode_4]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013124 prim_subreg #(
13125 .DW (3),
13126 .SWACCESS("RW"),
13127 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013128 ) u_wkup_detector_4_mode_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013129 .clk_i (clk_i),
13130 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013131
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013132 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013133 .we (wkup_detector_4_mode_4_we & wkup_detector_regwen_4_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013134 .wd (wkup_detector_4_mode_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013135
13136 // from internal hardware
13137 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013138 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013139
13140 // to internal hardware
13141 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013142 .q (reg2hw.wkup_detector[4].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013143
13144 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013145 .qs (wkup_detector_4_mode_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013146 );
13147
13148
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013149 // F[filter_4]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013150 prim_subreg #(
13151 .DW (1),
13152 .SWACCESS("RW"),
13153 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013154 ) u_wkup_detector_4_filter_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013155 .clk_i (clk_i),
13156 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013157
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013158 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013159 .we (wkup_detector_4_filter_4_we & wkup_detector_regwen_4_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013160 .wd (wkup_detector_4_filter_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013161
13162 // from internal hardware
13163 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013164 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013165
13166 // to internal hardware
13167 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013168 .q (reg2hw.wkup_detector[4].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013169
13170 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013171 .qs (wkup_detector_4_filter_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013172 );
13173
13174
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013175 // F[miodio_4]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013176 prim_subreg #(
13177 .DW (1),
13178 .SWACCESS("RW"),
13179 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013180 ) u_wkup_detector_4_miodio_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013181 .clk_i (clk_i),
13182 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013183
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013184 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013185 .we (wkup_detector_4_miodio_4_we & wkup_detector_regwen_4_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013186 .wd (wkup_detector_4_miodio_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013187
13188 // from internal hardware
13189 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013190 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013191
13192 // to internal hardware
13193 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013194 .q (reg2hw.wkup_detector[4].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013195
13196 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013197 .qs (wkup_detector_4_miodio_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013198 );
13199
13200
13201 // Subregister 5 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013202 // R[wkup_detector_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013203
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013204 // F[mode_5]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013205 prim_subreg #(
13206 .DW (3),
13207 .SWACCESS("RW"),
13208 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013209 ) u_wkup_detector_5_mode_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013210 .clk_i (clk_i),
13211 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013212
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013213 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013214 .we (wkup_detector_5_mode_5_we & wkup_detector_regwen_5_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013215 .wd (wkup_detector_5_mode_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013216
13217 // from internal hardware
13218 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013219 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013220
13221 // to internal hardware
13222 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013223 .q (reg2hw.wkup_detector[5].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013224
13225 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013226 .qs (wkup_detector_5_mode_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013227 );
13228
13229
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013230 // F[filter_5]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013231 prim_subreg #(
13232 .DW (1),
13233 .SWACCESS("RW"),
13234 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013235 ) u_wkup_detector_5_filter_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013236 .clk_i (clk_i),
13237 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013238
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013239 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013240 .we (wkup_detector_5_filter_5_we & wkup_detector_regwen_5_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013241 .wd (wkup_detector_5_filter_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013242
13243 // from internal hardware
13244 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013245 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013246
13247 // to internal hardware
13248 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013249 .q (reg2hw.wkup_detector[5].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013250
13251 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013252 .qs (wkup_detector_5_filter_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013253 );
13254
13255
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013256 // F[miodio_5]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013257 prim_subreg #(
13258 .DW (1),
13259 .SWACCESS("RW"),
13260 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013261 ) u_wkup_detector_5_miodio_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013262 .clk_i (clk_i),
13263 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013264
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013265 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013266 .we (wkup_detector_5_miodio_5_we & wkup_detector_regwen_5_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013267 .wd (wkup_detector_5_miodio_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013268
13269 // from internal hardware
13270 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013271 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013272
13273 // to internal hardware
13274 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013275 .q (reg2hw.wkup_detector[5].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013276
13277 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013278 .qs (wkup_detector_5_miodio_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013279 );
13280
13281
13282 // Subregister 6 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013283 // R[wkup_detector_6]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013284
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013285 // F[mode_6]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013286 prim_subreg #(
13287 .DW (3),
13288 .SWACCESS("RW"),
13289 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013290 ) u_wkup_detector_6_mode_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013291 .clk_i (clk_i),
13292 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013293
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013294 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013295 .we (wkup_detector_6_mode_6_we & wkup_detector_regwen_6_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013296 .wd (wkup_detector_6_mode_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013297
13298 // from internal hardware
13299 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013300 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013301
13302 // to internal hardware
13303 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013304 .q (reg2hw.wkup_detector[6].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013305
13306 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013307 .qs (wkup_detector_6_mode_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013308 );
13309
13310
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013311 // F[filter_6]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013312 prim_subreg #(
13313 .DW (1),
13314 .SWACCESS("RW"),
13315 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013316 ) u_wkup_detector_6_filter_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013317 .clk_i (clk_i),
13318 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013319
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013320 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013321 .we (wkup_detector_6_filter_6_we & wkup_detector_regwen_6_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013322 .wd (wkup_detector_6_filter_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013323
13324 // from internal hardware
13325 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013326 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013327
13328 // to internal hardware
13329 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013330 .q (reg2hw.wkup_detector[6].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013331
13332 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013333 .qs (wkup_detector_6_filter_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013334 );
13335
13336
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013337 // F[miodio_6]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013338 prim_subreg #(
13339 .DW (1),
13340 .SWACCESS("RW"),
13341 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013342 ) u_wkup_detector_6_miodio_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013343 .clk_i (clk_i),
13344 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013345
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013346 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013347 .we (wkup_detector_6_miodio_6_we & wkup_detector_regwen_6_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013348 .wd (wkup_detector_6_miodio_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013349
13350 // from internal hardware
13351 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013352 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013353
13354 // to internal hardware
13355 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013356 .q (reg2hw.wkup_detector[6].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013357
13358 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013359 .qs (wkup_detector_6_miodio_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013360 );
13361
13362
13363 // Subregister 7 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013364 // R[wkup_detector_7]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013365
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013366 // F[mode_7]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013367 prim_subreg #(
13368 .DW (3),
13369 .SWACCESS("RW"),
13370 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013371 ) u_wkup_detector_7_mode_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013372 .clk_i (clk_i),
13373 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013374
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013375 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013376 .we (wkup_detector_7_mode_7_we & wkup_detector_regwen_7_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013377 .wd (wkup_detector_7_mode_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013378
13379 // from internal hardware
13380 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013381 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013382
13383 // to internal hardware
13384 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013385 .q (reg2hw.wkup_detector[7].mode.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013386
13387 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013388 .qs (wkup_detector_7_mode_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013389 );
13390
13391
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013392 // F[filter_7]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013393 prim_subreg #(
13394 .DW (1),
13395 .SWACCESS("RW"),
13396 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013397 ) u_wkup_detector_7_filter_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013398 .clk_i (clk_i),
13399 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013400
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013401 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013402 .we (wkup_detector_7_filter_7_we & wkup_detector_regwen_7_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013403 .wd (wkup_detector_7_filter_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013404
13405 // from internal hardware
13406 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013407 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013408
13409 // to internal hardware
13410 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013411 .q (reg2hw.wkup_detector[7].filter.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013412
13413 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013414 .qs (wkup_detector_7_filter_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013415 );
13416
13417
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013418 // F[miodio_7]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013419 prim_subreg #(
13420 .DW (1),
13421 .SWACCESS("RW"),
13422 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013423 ) u_wkup_detector_7_miodio_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013424 .clk_i (clk_i),
13425 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013426
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013427 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013428 .we (wkup_detector_7_miodio_7_we & wkup_detector_regwen_7_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013429 .wd (wkup_detector_7_miodio_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013430
13431 // from internal hardware
13432 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013433 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013434
13435 // to internal hardware
13436 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013437 .q (reg2hw.wkup_detector[7].miodio.q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013438
13439 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013440 .qs (wkup_detector_7_miodio_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013441 );
13442
13443
13444
13445
13446 // Subregister 0 of Multireg wkup_detector_cnt_th
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013447 // R[wkup_detector_cnt_th_0]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013448
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013449 prim_subreg #(
13450 .DW (8),
13451 .SWACCESS("RW"),
13452 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013453 ) u_wkup_detector_cnt_th_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013454 .clk_i (clk_i),
13455 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013456
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013457 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013458 .we (wkup_detector_cnt_th_0_we & wkup_detector_regwen_0_qs),
13459 .wd (wkup_detector_cnt_th_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013460
13461 // from internal hardware
13462 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013463 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013464
13465 // to internal hardware
13466 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013467 .q (reg2hw.wkup_detector_cnt_th[0].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013468
13469 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013470 .qs (wkup_detector_cnt_th_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013471 );
13472
Michael Schaffner06fdb112021-02-10 16:52:56 -080013473 // Subregister 1 of Multireg wkup_detector_cnt_th
13474 // R[wkup_detector_cnt_th_1]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013475
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013476 prim_subreg #(
13477 .DW (8),
13478 .SWACCESS("RW"),
13479 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013480 ) u_wkup_detector_cnt_th_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013481 .clk_i (clk_i),
13482 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013483
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013484 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013485 .we (wkup_detector_cnt_th_1_we & wkup_detector_regwen_1_qs),
13486 .wd (wkup_detector_cnt_th_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013487
13488 // from internal hardware
13489 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013490 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013491
13492 // to internal hardware
13493 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013494 .q (reg2hw.wkup_detector_cnt_th[1].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013495
13496 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013497 .qs (wkup_detector_cnt_th_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013498 );
13499
Michael Schaffner06fdb112021-02-10 16:52:56 -080013500 // Subregister 2 of Multireg wkup_detector_cnt_th
13501 // R[wkup_detector_cnt_th_2]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013502
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013503 prim_subreg #(
13504 .DW (8),
13505 .SWACCESS("RW"),
13506 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013507 ) u_wkup_detector_cnt_th_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013508 .clk_i (clk_i),
13509 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013510
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013511 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013512 .we (wkup_detector_cnt_th_2_we & wkup_detector_regwen_2_qs),
13513 .wd (wkup_detector_cnt_th_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013514
13515 // from internal hardware
13516 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013517 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013518
13519 // to internal hardware
13520 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013521 .q (reg2hw.wkup_detector_cnt_th[2].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013522
13523 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013524 .qs (wkup_detector_cnt_th_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013525 );
13526
Michael Schaffner06fdb112021-02-10 16:52:56 -080013527 // Subregister 3 of Multireg wkup_detector_cnt_th
13528 // R[wkup_detector_cnt_th_3]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013529
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013530 prim_subreg #(
13531 .DW (8),
13532 .SWACCESS("RW"),
13533 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013534 ) u_wkup_detector_cnt_th_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013535 .clk_i (clk_i),
13536 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013537
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013538 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013539 .we (wkup_detector_cnt_th_3_we & wkup_detector_regwen_3_qs),
13540 .wd (wkup_detector_cnt_th_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013541
13542 // from internal hardware
13543 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013544 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013545
13546 // to internal hardware
13547 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013548 .q (reg2hw.wkup_detector_cnt_th[3].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013549
13550 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013551 .qs (wkup_detector_cnt_th_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013552 );
13553
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013554 // Subregister 4 of Multireg wkup_detector_cnt_th
Michael Schaffner06fdb112021-02-10 16:52:56 -080013555 // R[wkup_detector_cnt_th_4]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013556
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013557 prim_subreg #(
13558 .DW (8),
13559 .SWACCESS("RW"),
13560 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013561 ) u_wkup_detector_cnt_th_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013562 .clk_i (clk_i),
13563 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013564
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013565 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013566 .we (wkup_detector_cnt_th_4_we & wkup_detector_regwen_4_qs),
13567 .wd (wkup_detector_cnt_th_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013568
13569 // from internal hardware
13570 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013571 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013572
13573 // to internal hardware
13574 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013575 .q (reg2hw.wkup_detector_cnt_th[4].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013576
13577 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013578 .qs (wkup_detector_cnt_th_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013579 );
13580
Michael Schaffner06fdb112021-02-10 16:52:56 -080013581 // Subregister 5 of Multireg wkup_detector_cnt_th
13582 // R[wkup_detector_cnt_th_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013583
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013584 prim_subreg #(
13585 .DW (8),
13586 .SWACCESS("RW"),
13587 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013588 ) u_wkup_detector_cnt_th_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013589 .clk_i (clk_i),
13590 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013591
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013592 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013593 .we (wkup_detector_cnt_th_5_we & wkup_detector_regwen_5_qs),
13594 .wd (wkup_detector_cnt_th_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013595
13596 // from internal hardware
13597 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013598 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013599
13600 // to internal hardware
13601 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013602 .q (reg2hw.wkup_detector_cnt_th[5].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013603
13604 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013605 .qs (wkup_detector_cnt_th_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013606 );
13607
Michael Schaffner06fdb112021-02-10 16:52:56 -080013608 // Subregister 6 of Multireg wkup_detector_cnt_th
13609 // R[wkup_detector_cnt_th_6]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013610
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013611 prim_subreg #(
13612 .DW (8),
13613 .SWACCESS("RW"),
13614 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013615 ) u_wkup_detector_cnt_th_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013616 .clk_i (clk_i),
13617 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013618
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013619 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013620 .we (wkup_detector_cnt_th_6_we & wkup_detector_regwen_6_qs),
13621 .wd (wkup_detector_cnt_th_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013622
13623 // from internal hardware
13624 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013625 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013626
13627 // to internal hardware
13628 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013629 .q (reg2hw.wkup_detector_cnt_th[6].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013630
13631 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013632 .qs (wkup_detector_cnt_th_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013633 );
13634
Michael Schaffner06fdb112021-02-10 16:52:56 -080013635 // Subregister 7 of Multireg wkup_detector_cnt_th
13636 // R[wkup_detector_cnt_th_7]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013637
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013638 prim_subreg #(
13639 .DW (8),
13640 .SWACCESS("RW"),
13641 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013642 ) u_wkup_detector_cnt_th_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013643 .clk_i (clk_i),
13644 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013645
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013646 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013647 .we (wkup_detector_cnt_th_7_we & wkup_detector_regwen_7_qs),
13648 .wd (wkup_detector_cnt_th_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013649
13650 // from internal hardware
13651 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013652 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013653
13654 // to internal hardware
13655 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013656 .q (reg2hw.wkup_detector_cnt_th[7].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013657
13658 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013659 .qs (wkup_detector_cnt_th_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013660 );
13661
13662
13663
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013664 // Subregister 0 of Multireg wkup_detector_padsel
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013665 // R[wkup_detector_padsel_0]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013666
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013667 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013668 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013669 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013670 .RESVAL (6'h0)
13671 ) u_wkup_detector_padsel_0 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013672 .clk_i (clk_i),
13673 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013674
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013675 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013676 .we (wkup_detector_padsel_0_we & wkup_detector_regwen_0_qs),
13677 .wd (wkup_detector_padsel_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013678
13679 // from internal hardware
13680 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013681 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013682
13683 // to internal hardware
13684 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013685 .q (reg2hw.wkup_detector_padsel[0].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013686
13687 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013688 .qs (wkup_detector_padsel_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013689 );
13690
Michael Schaffner06fdb112021-02-10 16:52:56 -080013691 // Subregister 1 of Multireg wkup_detector_padsel
13692 // R[wkup_detector_padsel_1]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013693
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013694 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013695 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013696 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013697 .RESVAL (6'h0)
13698 ) u_wkup_detector_padsel_1 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013699 .clk_i (clk_i),
13700 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013701
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013702 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013703 .we (wkup_detector_padsel_1_we & wkup_detector_regwen_1_qs),
13704 .wd (wkup_detector_padsel_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013705
13706 // from internal hardware
13707 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013708 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013709
13710 // to internal hardware
13711 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013712 .q (reg2hw.wkup_detector_padsel[1].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013713
13714 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013715 .qs (wkup_detector_padsel_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013716 );
13717
Michael Schaffner06fdb112021-02-10 16:52:56 -080013718 // Subregister 2 of Multireg wkup_detector_padsel
13719 // R[wkup_detector_padsel_2]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013720
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013721 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013722 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013723 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013724 .RESVAL (6'h0)
13725 ) u_wkup_detector_padsel_2 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013726 .clk_i (clk_i),
13727 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013728
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013729 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013730 .we (wkup_detector_padsel_2_we & wkup_detector_regwen_2_qs),
13731 .wd (wkup_detector_padsel_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013732
13733 // from internal hardware
13734 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013735 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013736
13737 // to internal hardware
13738 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013739 .q (reg2hw.wkup_detector_padsel[2].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013740
13741 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013742 .qs (wkup_detector_padsel_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013743 );
13744
Michael Schaffner06fdb112021-02-10 16:52:56 -080013745 // Subregister 3 of Multireg wkup_detector_padsel
13746 // R[wkup_detector_padsel_3]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013747
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013748 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013749 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013750 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013751 .RESVAL (6'h0)
13752 ) u_wkup_detector_padsel_3 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013753 .clk_i (clk_i),
13754 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013755
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013756 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013757 .we (wkup_detector_padsel_3_we & wkup_detector_regwen_3_qs),
13758 .wd (wkup_detector_padsel_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013759
13760 // from internal hardware
13761 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013762 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013763
13764 // to internal hardware
13765 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013766 .q (reg2hw.wkup_detector_padsel[3].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013767
13768 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013769 .qs (wkup_detector_padsel_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013770 );
13771
Michael Schaffner06fdb112021-02-10 16:52:56 -080013772 // Subregister 4 of Multireg wkup_detector_padsel
13773 // R[wkup_detector_padsel_4]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013774
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013775 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013776 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013777 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013778 .RESVAL (6'h0)
13779 ) u_wkup_detector_padsel_4 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013780 .clk_i (clk_i),
13781 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013782
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013783 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013784 .we (wkup_detector_padsel_4_we & wkup_detector_regwen_4_qs),
13785 .wd (wkup_detector_padsel_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013786
13787 // from internal hardware
13788 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013789 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013790
13791 // to internal hardware
13792 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013793 .q (reg2hw.wkup_detector_padsel[4].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013794
13795 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013796 .qs (wkup_detector_padsel_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013797 );
13798
Michael Schaffner06fdb112021-02-10 16:52:56 -080013799 // Subregister 5 of Multireg wkup_detector_padsel
13800 // R[wkup_detector_padsel_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013801
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013802 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013803 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013804 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013805 .RESVAL (6'h0)
13806 ) u_wkup_detector_padsel_5 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013807 .clk_i (clk_i),
13808 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013809
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013810 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013811 .we (wkup_detector_padsel_5_we & wkup_detector_regwen_5_qs),
13812 .wd (wkup_detector_padsel_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013813
13814 // from internal hardware
13815 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013816 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013817
13818 // to internal hardware
13819 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013820 .q (reg2hw.wkup_detector_padsel[5].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013821
13822 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013823 .qs (wkup_detector_padsel_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013824 );
13825
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013826 // Subregister 6 of Multireg wkup_detector_padsel
Michael Schaffner06fdb112021-02-10 16:52:56 -080013827 // R[wkup_detector_padsel_6]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013828
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013829 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013830 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013831 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013832 .RESVAL (6'h0)
13833 ) u_wkup_detector_padsel_6 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013834 .clk_i (clk_i),
13835 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013836
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013837 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013838 .we (wkup_detector_padsel_6_we & wkup_detector_regwen_6_qs),
13839 .wd (wkup_detector_padsel_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013840
13841 // from internal hardware
13842 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013843 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013844
13845 // to internal hardware
13846 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013847 .q (reg2hw.wkup_detector_padsel[6].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013848
13849 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013850 .qs (wkup_detector_padsel_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013851 );
13852
Michael Schaffner06fdb112021-02-10 16:52:56 -080013853 // Subregister 7 of Multireg wkup_detector_padsel
13854 // R[wkup_detector_padsel_7]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013855
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013856 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013857 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013858 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013859 .RESVAL (6'h0)
13860 ) u_wkup_detector_padsel_7 (
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013861 .clk_i (clk_i),
13862 .rst_ni (rst_ni),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013863
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013864 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -080013865 .we (wkup_detector_padsel_7_we & wkup_detector_regwen_7_qs),
13866 .wd (wkup_detector_padsel_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013867
13868 // from internal hardware
13869 .de (1'b0),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013870 .d ('0),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013871
13872 // to internal hardware
13873 .qe (),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013874 .q (reg2hw.wkup_detector_padsel[7].q),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013875
13876 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013877 .qs (wkup_detector_padsel_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013878 );
13879
13880
13881
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013882 // Subregister 0 of Multireg wkup_cause
13883 // R[wkup_cause]: V(True)
13884
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013885 // F[cause_0]: 0:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013886 prim_subreg_ext #(
13887 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013888 ) u_wkup_cause_cause_0 (
13889 .re (wkup_cause_cause_0_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013890 .we (wkup_cause_cause_0_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013891 .wd (wkup_cause_cause_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013892 .d (hw2reg.wkup_cause[0].d),
13893 .qre (),
13894 .qe (reg2hw.wkup_cause[0].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013895 .q (reg2hw.wkup_cause[0].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013896 .qs (wkup_cause_cause_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013897 );
13898
13899
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013900 // F[cause_1]: 1:1
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013901 prim_subreg_ext #(
13902 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013903 ) u_wkup_cause_cause_1 (
13904 .re (wkup_cause_cause_1_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013905 .we (wkup_cause_cause_1_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013906 .wd (wkup_cause_cause_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013907 .d (hw2reg.wkup_cause[1].d),
13908 .qre (),
13909 .qe (reg2hw.wkup_cause[1].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013910 .q (reg2hw.wkup_cause[1].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013911 .qs (wkup_cause_cause_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013912 );
13913
13914
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013915 // F[cause_2]: 2:2
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013916 prim_subreg_ext #(
13917 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013918 ) u_wkup_cause_cause_2 (
13919 .re (wkup_cause_cause_2_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013920 .we (wkup_cause_cause_2_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013921 .wd (wkup_cause_cause_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013922 .d (hw2reg.wkup_cause[2].d),
13923 .qre (),
13924 .qe (reg2hw.wkup_cause[2].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013925 .q (reg2hw.wkup_cause[2].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013926 .qs (wkup_cause_cause_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013927 );
13928
13929
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013930 // F[cause_3]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013931 prim_subreg_ext #(
13932 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013933 ) u_wkup_cause_cause_3 (
13934 .re (wkup_cause_cause_3_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013935 .we (wkup_cause_cause_3_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013936 .wd (wkup_cause_cause_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013937 .d (hw2reg.wkup_cause[3].d),
13938 .qre (),
13939 .qe (reg2hw.wkup_cause[3].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013940 .q (reg2hw.wkup_cause[3].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013941 .qs (wkup_cause_cause_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013942 );
13943
13944
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013945 // F[cause_4]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013946 prim_subreg_ext #(
13947 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013948 ) u_wkup_cause_cause_4 (
13949 .re (wkup_cause_cause_4_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013950 .we (wkup_cause_cause_4_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013951 .wd (wkup_cause_cause_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013952 .d (hw2reg.wkup_cause[4].d),
13953 .qre (),
13954 .qe (reg2hw.wkup_cause[4].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013955 .q (reg2hw.wkup_cause[4].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013956 .qs (wkup_cause_cause_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013957 );
13958
13959
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013960 // F[cause_5]: 5:5
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013961 prim_subreg_ext #(
13962 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013963 ) u_wkup_cause_cause_5 (
13964 .re (wkup_cause_cause_5_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013965 .we (wkup_cause_cause_5_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013966 .wd (wkup_cause_cause_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013967 .d (hw2reg.wkup_cause[5].d),
13968 .qre (),
13969 .qe (reg2hw.wkup_cause[5].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013970 .q (reg2hw.wkup_cause[5].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013971 .qs (wkup_cause_cause_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013972 );
13973
13974
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013975 // F[cause_6]: 6:6
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013976 prim_subreg_ext #(
13977 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013978 ) u_wkup_cause_cause_6 (
13979 .re (wkup_cause_cause_6_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013980 .we (wkup_cause_cause_6_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013981 .wd (wkup_cause_cause_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013982 .d (hw2reg.wkup_cause[6].d),
13983 .qre (),
13984 .qe (reg2hw.wkup_cause[6].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010013985 .q (reg2hw.wkup_cause[6].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013986 .qs (wkup_cause_cause_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013987 );
13988
13989
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013990 // F[cause_7]: 7:7
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013991 prim_subreg_ext #(
13992 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013993 ) u_wkup_cause_cause_7 (
13994 .re (wkup_cause_cause_7_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013995 .we (wkup_cause_cause_7_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013996 .wd (wkup_cause_cause_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013997 .d (hw2reg.wkup_cause[7].d),
13998 .qre (),
13999 .qe (reg2hw.wkup_cause[7].qe),
Rupert Swarbrick359c1262021-05-28 16:03:57 +010014000 .q (reg2hw.wkup_cause[7].q),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020014001 .qs (wkup_cause_cause_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070014002 );
14003
14004
14005
14006
14007
Michael Schaffner43ce8d52021-02-10 17:04:57 -080014008 logic [412:0] addr_hit;
Michael Schaffner51c61442019-10-01 15:49:10 -070014009 always_comb begin
14010 addr_hit = '0;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014011 addr_hit[ 0] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_0_OFFSET);
14012 addr_hit[ 1] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_1_OFFSET);
14013 addr_hit[ 2] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_2_OFFSET);
14014 addr_hit[ 3] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_3_OFFSET);
14015 addr_hit[ 4] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_4_OFFSET);
14016 addr_hit[ 5] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_5_OFFSET);
14017 addr_hit[ 6] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_6_OFFSET);
14018 addr_hit[ 7] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_7_OFFSET);
14019 addr_hit[ 8] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_8_OFFSET);
14020 addr_hit[ 9] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_9_OFFSET);
14021 addr_hit[ 10] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_10_OFFSET);
14022 addr_hit[ 11] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_11_OFFSET);
14023 addr_hit[ 12] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_12_OFFSET);
14024 addr_hit[ 13] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_13_OFFSET);
14025 addr_hit[ 14] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_14_OFFSET);
14026 addr_hit[ 15] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_15_OFFSET);
14027 addr_hit[ 16] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_16_OFFSET);
14028 addr_hit[ 17] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_17_OFFSET);
14029 addr_hit[ 18] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_18_OFFSET);
14030 addr_hit[ 19] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_19_OFFSET);
14031 addr_hit[ 20] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_20_OFFSET);
14032 addr_hit[ 21] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_21_OFFSET);
14033 addr_hit[ 22] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_22_OFFSET);
14034 addr_hit[ 23] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_23_OFFSET);
14035 addr_hit[ 24] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_24_OFFSET);
14036 addr_hit[ 25] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_25_OFFSET);
14037 addr_hit[ 26] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_26_OFFSET);
14038 addr_hit[ 27] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_27_OFFSET);
14039 addr_hit[ 28] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_28_OFFSET);
14040 addr_hit[ 29] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_29_OFFSET);
14041 addr_hit[ 30] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_30_OFFSET);
14042 addr_hit[ 31] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_31_OFFSET);
14043 addr_hit[ 32] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_32_OFFSET);
14044 addr_hit[ 33] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_0_OFFSET);
14045 addr_hit[ 34] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_1_OFFSET);
14046 addr_hit[ 35] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_2_OFFSET);
14047 addr_hit[ 36] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_3_OFFSET);
14048 addr_hit[ 37] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_4_OFFSET);
14049 addr_hit[ 38] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_5_OFFSET);
14050 addr_hit[ 39] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_6_OFFSET);
14051 addr_hit[ 40] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_7_OFFSET);
14052 addr_hit[ 41] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_8_OFFSET);
14053 addr_hit[ 42] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_9_OFFSET);
14054 addr_hit[ 43] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_10_OFFSET);
14055 addr_hit[ 44] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_11_OFFSET);
14056 addr_hit[ 45] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_12_OFFSET);
14057 addr_hit[ 46] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_13_OFFSET);
14058 addr_hit[ 47] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_14_OFFSET);
14059 addr_hit[ 48] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_15_OFFSET);
14060 addr_hit[ 49] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_16_OFFSET);
14061 addr_hit[ 50] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_17_OFFSET);
14062 addr_hit[ 51] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_18_OFFSET);
14063 addr_hit[ 52] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_19_OFFSET);
14064 addr_hit[ 53] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_20_OFFSET);
14065 addr_hit[ 54] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_21_OFFSET);
14066 addr_hit[ 55] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_22_OFFSET);
14067 addr_hit[ 56] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_23_OFFSET);
14068 addr_hit[ 57] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_24_OFFSET);
14069 addr_hit[ 58] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_25_OFFSET);
14070 addr_hit[ 59] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_26_OFFSET);
14071 addr_hit[ 60] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_27_OFFSET);
14072 addr_hit[ 61] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_28_OFFSET);
14073 addr_hit[ 62] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_29_OFFSET);
14074 addr_hit[ 63] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_30_OFFSET);
14075 addr_hit[ 64] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_31_OFFSET);
14076 addr_hit[ 65] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_32_OFFSET);
14077 addr_hit[ 66] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_0_OFFSET);
14078 addr_hit[ 67] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_1_OFFSET);
14079 addr_hit[ 68] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_2_OFFSET);
14080 addr_hit[ 69] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_3_OFFSET);
14081 addr_hit[ 70] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_4_OFFSET);
14082 addr_hit[ 71] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_5_OFFSET);
14083 addr_hit[ 72] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_6_OFFSET);
14084 addr_hit[ 73] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_7_OFFSET);
14085 addr_hit[ 74] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_8_OFFSET);
14086 addr_hit[ 75] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_9_OFFSET);
14087 addr_hit[ 76] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_10_OFFSET);
14088 addr_hit[ 77] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_11_OFFSET);
14089 addr_hit[ 78] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_12_OFFSET);
14090 addr_hit[ 79] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_13_OFFSET);
14091 addr_hit[ 80] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_14_OFFSET);
14092 addr_hit[ 81] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_15_OFFSET);
14093 addr_hit[ 82] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_16_OFFSET);
14094 addr_hit[ 83] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_17_OFFSET);
14095 addr_hit[ 84] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_18_OFFSET);
14096 addr_hit[ 85] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_19_OFFSET);
14097 addr_hit[ 86] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_20_OFFSET);
14098 addr_hit[ 87] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_21_OFFSET);
14099 addr_hit[ 88] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_22_OFFSET);
14100 addr_hit[ 89] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_23_OFFSET);
14101 addr_hit[ 90] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_24_OFFSET);
14102 addr_hit[ 91] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_25_OFFSET);
14103 addr_hit[ 92] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_26_OFFSET);
14104 addr_hit[ 93] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_27_OFFSET);
14105 addr_hit[ 94] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_28_OFFSET);
14106 addr_hit[ 95] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_29_OFFSET);
14107 addr_hit[ 96] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_30_OFFSET);
14108 addr_hit[ 97] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_31_OFFSET);
14109 addr_hit[ 98] = (reg_addr == PINMUX_MIO_OUTSEL_0_OFFSET);
14110 addr_hit[ 99] = (reg_addr == PINMUX_MIO_OUTSEL_1_OFFSET);
14111 addr_hit[100] = (reg_addr == PINMUX_MIO_OUTSEL_2_OFFSET);
14112 addr_hit[101] = (reg_addr == PINMUX_MIO_OUTSEL_3_OFFSET);
14113 addr_hit[102] = (reg_addr == PINMUX_MIO_OUTSEL_4_OFFSET);
14114 addr_hit[103] = (reg_addr == PINMUX_MIO_OUTSEL_5_OFFSET);
14115 addr_hit[104] = (reg_addr == PINMUX_MIO_OUTSEL_6_OFFSET);
14116 addr_hit[105] = (reg_addr == PINMUX_MIO_OUTSEL_7_OFFSET);
14117 addr_hit[106] = (reg_addr == PINMUX_MIO_OUTSEL_8_OFFSET);
14118 addr_hit[107] = (reg_addr == PINMUX_MIO_OUTSEL_9_OFFSET);
14119 addr_hit[108] = (reg_addr == PINMUX_MIO_OUTSEL_10_OFFSET);
14120 addr_hit[109] = (reg_addr == PINMUX_MIO_OUTSEL_11_OFFSET);
14121 addr_hit[110] = (reg_addr == PINMUX_MIO_OUTSEL_12_OFFSET);
14122 addr_hit[111] = (reg_addr == PINMUX_MIO_OUTSEL_13_OFFSET);
14123 addr_hit[112] = (reg_addr == PINMUX_MIO_OUTSEL_14_OFFSET);
14124 addr_hit[113] = (reg_addr == PINMUX_MIO_OUTSEL_15_OFFSET);
14125 addr_hit[114] = (reg_addr == PINMUX_MIO_OUTSEL_16_OFFSET);
14126 addr_hit[115] = (reg_addr == PINMUX_MIO_OUTSEL_17_OFFSET);
14127 addr_hit[116] = (reg_addr == PINMUX_MIO_OUTSEL_18_OFFSET);
14128 addr_hit[117] = (reg_addr == PINMUX_MIO_OUTSEL_19_OFFSET);
14129 addr_hit[118] = (reg_addr == PINMUX_MIO_OUTSEL_20_OFFSET);
14130 addr_hit[119] = (reg_addr == PINMUX_MIO_OUTSEL_21_OFFSET);
14131 addr_hit[120] = (reg_addr == PINMUX_MIO_OUTSEL_22_OFFSET);
14132 addr_hit[121] = (reg_addr == PINMUX_MIO_OUTSEL_23_OFFSET);
14133 addr_hit[122] = (reg_addr == PINMUX_MIO_OUTSEL_24_OFFSET);
14134 addr_hit[123] = (reg_addr == PINMUX_MIO_OUTSEL_25_OFFSET);
14135 addr_hit[124] = (reg_addr == PINMUX_MIO_OUTSEL_26_OFFSET);
14136 addr_hit[125] = (reg_addr == PINMUX_MIO_OUTSEL_27_OFFSET);
14137 addr_hit[126] = (reg_addr == PINMUX_MIO_OUTSEL_28_OFFSET);
14138 addr_hit[127] = (reg_addr == PINMUX_MIO_OUTSEL_29_OFFSET);
14139 addr_hit[128] = (reg_addr == PINMUX_MIO_OUTSEL_30_OFFSET);
14140 addr_hit[129] = (reg_addr == PINMUX_MIO_OUTSEL_31_OFFSET);
Michael Schaffner43ce8d52021-02-10 17:04:57 -080014141 addr_hit[130] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_0_OFFSET);
14142 addr_hit[131] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_1_OFFSET);
14143 addr_hit[132] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_2_OFFSET);
14144 addr_hit[133] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_3_OFFSET);
14145 addr_hit[134] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_4_OFFSET);
14146 addr_hit[135] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_5_OFFSET);
14147 addr_hit[136] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_6_OFFSET);
14148 addr_hit[137] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_7_OFFSET);
14149 addr_hit[138] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_8_OFFSET);
14150 addr_hit[139] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_9_OFFSET);
14151 addr_hit[140] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_10_OFFSET);
14152 addr_hit[141] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_11_OFFSET);
14153 addr_hit[142] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_12_OFFSET);
14154 addr_hit[143] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_13_OFFSET);
14155 addr_hit[144] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_14_OFFSET);
14156 addr_hit[145] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_15_OFFSET);
14157 addr_hit[146] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_16_OFFSET);
14158 addr_hit[147] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_17_OFFSET);
14159 addr_hit[148] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_18_OFFSET);
14160 addr_hit[149] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_19_OFFSET);
14161 addr_hit[150] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_20_OFFSET);
14162 addr_hit[151] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_21_OFFSET);
14163 addr_hit[152] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_22_OFFSET);
14164 addr_hit[153] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_23_OFFSET);
14165 addr_hit[154] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_24_OFFSET);
14166 addr_hit[155] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_25_OFFSET);
14167 addr_hit[156] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_26_OFFSET);
14168 addr_hit[157] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_27_OFFSET);
14169 addr_hit[158] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_28_OFFSET);
14170 addr_hit[159] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_29_OFFSET);
14171 addr_hit[160] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_30_OFFSET);
14172 addr_hit[161] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_31_OFFSET);
14173 addr_hit[162] = (reg_addr == PINMUX_MIO_PAD_ATTR_0_OFFSET);
14174 addr_hit[163] = (reg_addr == PINMUX_MIO_PAD_ATTR_1_OFFSET);
14175 addr_hit[164] = (reg_addr == PINMUX_MIO_PAD_ATTR_2_OFFSET);
14176 addr_hit[165] = (reg_addr == PINMUX_MIO_PAD_ATTR_3_OFFSET);
14177 addr_hit[166] = (reg_addr == PINMUX_MIO_PAD_ATTR_4_OFFSET);
14178 addr_hit[167] = (reg_addr == PINMUX_MIO_PAD_ATTR_5_OFFSET);
14179 addr_hit[168] = (reg_addr == PINMUX_MIO_PAD_ATTR_6_OFFSET);
14180 addr_hit[169] = (reg_addr == PINMUX_MIO_PAD_ATTR_7_OFFSET);
14181 addr_hit[170] = (reg_addr == PINMUX_MIO_PAD_ATTR_8_OFFSET);
14182 addr_hit[171] = (reg_addr == PINMUX_MIO_PAD_ATTR_9_OFFSET);
14183 addr_hit[172] = (reg_addr == PINMUX_MIO_PAD_ATTR_10_OFFSET);
14184 addr_hit[173] = (reg_addr == PINMUX_MIO_PAD_ATTR_11_OFFSET);
14185 addr_hit[174] = (reg_addr == PINMUX_MIO_PAD_ATTR_12_OFFSET);
14186 addr_hit[175] = (reg_addr == PINMUX_MIO_PAD_ATTR_13_OFFSET);
14187 addr_hit[176] = (reg_addr == PINMUX_MIO_PAD_ATTR_14_OFFSET);
14188 addr_hit[177] = (reg_addr == PINMUX_MIO_PAD_ATTR_15_OFFSET);
14189 addr_hit[178] = (reg_addr == PINMUX_MIO_PAD_ATTR_16_OFFSET);
14190 addr_hit[179] = (reg_addr == PINMUX_MIO_PAD_ATTR_17_OFFSET);
14191 addr_hit[180] = (reg_addr == PINMUX_MIO_PAD_ATTR_18_OFFSET);
14192 addr_hit[181] = (reg_addr == PINMUX_MIO_PAD_ATTR_19_OFFSET);
14193 addr_hit[182] = (reg_addr == PINMUX_MIO_PAD_ATTR_20_OFFSET);
14194 addr_hit[183] = (reg_addr == PINMUX_MIO_PAD_ATTR_21_OFFSET);
14195 addr_hit[184] = (reg_addr == PINMUX_MIO_PAD_ATTR_22_OFFSET);
14196 addr_hit[185] = (reg_addr == PINMUX_MIO_PAD_ATTR_23_OFFSET);
14197 addr_hit[186] = (reg_addr == PINMUX_MIO_PAD_ATTR_24_OFFSET);
14198 addr_hit[187] = (reg_addr == PINMUX_MIO_PAD_ATTR_25_OFFSET);
14199 addr_hit[188] = (reg_addr == PINMUX_MIO_PAD_ATTR_26_OFFSET);
14200 addr_hit[189] = (reg_addr == PINMUX_MIO_PAD_ATTR_27_OFFSET);
14201 addr_hit[190] = (reg_addr == PINMUX_MIO_PAD_ATTR_28_OFFSET);
14202 addr_hit[191] = (reg_addr == PINMUX_MIO_PAD_ATTR_29_OFFSET);
14203 addr_hit[192] = (reg_addr == PINMUX_MIO_PAD_ATTR_30_OFFSET);
14204 addr_hit[193] = (reg_addr == PINMUX_MIO_PAD_ATTR_31_OFFSET);
14205 addr_hit[194] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_0_OFFSET);
14206 addr_hit[195] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_1_OFFSET);
14207 addr_hit[196] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_2_OFFSET);
14208 addr_hit[197] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_3_OFFSET);
14209 addr_hit[198] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_4_OFFSET);
14210 addr_hit[199] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_5_OFFSET);
14211 addr_hit[200] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_6_OFFSET);
14212 addr_hit[201] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_7_OFFSET);
14213 addr_hit[202] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_8_OFFSET);
14214 addr_hit[203] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_9_OFFSET);
14215 addr_hit[204] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_10_OFFSET);
14216 addr_hit[205] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_11_OFFSET);
14217 addr_hit[206] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_12_OFFSET);
14218 addr_hit[207] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_13_OFFSET);
14219 addr_hit[208] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_14_OFFSET);
14220 addr_hit[209] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_15_OFFSET);
14221 addr_hit[210] = (reg_addr == PINMUX_DIO_PAD_ATTR_0_OFFSET);
14222 addr_hit[211] = (reg_addr == PINMUX_DIO_PAD_ATTR_1_OFFSET);
14223 addr_hit[212] = (reg_addr == PINMUX_DIO_PAD_ATTR_2_OFFSET);
14224 addr_hit[213] = (reg_addr == PINMUX_DIO_PAD_ATTR_3_OFFSET);
14225 addr_hit[214] = (reg_addr == PINMUX_DIO_PAD_ATTR_4_OFFSET);
14226 addr_hit[215] = (reg_addr == PINMUX_DIO_PAD_ATTR_5_OFFSET);
14227 addr_hit[216] = (reg_addr == PINMUX_DIO_PAD_ATTR_6_OFFSET);
14228 addr_hit[217] = (reg_addr == PINMUX_DIO_PAD_ATTR_7_OFFSET);
14229 addr_hit[218] = (reg_addr == PINMUX_DIO_PAD_ATTR_8_OFFSET);
14230 addr_hit[219] = (reg_addr == PINMUX_DIO_PAD_ATTR_9_OFFSET);
14231 addr_hit[220] = (reg_addr == PINMUX_DIO_PAD_ATTR_10_OFFSET);
14232 addr_hit[221] = (reg_addr == PINMUX_DIO_PAD_ATTR_11_OFFSET);
14233 addr_hit[222] = (reg_addr == PINMUX_DIO_PAD_ATTR_12_OFFSET);
14234 addr_hit[223] = (reg_addr == PINMUX_DIO_PAD_ATTR_13_OFFSET);
14235 addr_hit[224] = (reg_addr == PINMUX_DIO_PAD_ATTR_14_OFFSET);
14236 addr_hit[225] = (reg_addr == PINMUX_DIO_PAD_ATTR_15_OFFSET);
14237 addr_hit[226] = (reg_addr == PINMUX_MIO_PAD_SLEEP_STATUS_OFFSET);
14238 addr_hit[227] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_0_OFFSET);
14239 addr_hit[228] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_1_OFFSET);
14240 addr_hit[229] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_2_OFFSET);
14241 addr_hit[230] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_3_OFFSET);
14242 addr_hit[231] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_4_OFFSET);
14243 addr_hit[232] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_5_OFFSET);
14244 addr_hit[233] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_6_OFFSET);
14245 addr_hit[234] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_7_OFFSET);
14246 addr_hit[235] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_8_OFFSET);
14247 addr_hit[236] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_9_OFFSET);
14248 addr_hit[237] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_10_OFFSET);
14249 addr_hit[238] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_11_OFFSET);
14250 addr_hit[239] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_12_OFFSET);
14251 addr_hit[240] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_13_OFFSET);
14252 addr_hit[241] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_14_OFFSET);
14253 addr_hit[242] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_15_OFFSET);
14254 addr_hit[243] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_16_OFFSET);
14255 addr_hit[244] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_17_OFFSET);
14256 addr_hit[245] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_18_OFFSET);
14257 addr_hit[246] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_19_OFFSET);
14258 addr_hit[247] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_20_OFFSET);
14259 addr_hit[248] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_21_OFFSET);
14260 addr_hit[249] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_22_OFFSET);
14261 addr_hit[250] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_23_OFFSET);
14262 addr_hit[251] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_24_OFFSET);
14263 addr_hit[252] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_25_OFFSET);
14264 addr_hit[253] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_26_OFFSET);
14265 addr_hit[254] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_27_OFFSET);
14266 addr_hit[255] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_28_OFFSET);
14267 addr_hit[256] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_29_OFFSET);
14268 addr_hit[257] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_30_OFFSET);
14269 addr_hit[258] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_31_OFFSET);
14270 addr_hit[259] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_0_OFFSET);
14271 addr_hit[260] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_1_OFFSET);
14272 addr_hit[261] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_2_OFFSET);
14273 addr_hit[262] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_3_OFFSET);
14274 addr_hit[263] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_4_OFFSET);
14275 addr_hit[264] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_5_OFFSET);
14276 addr_hit[265] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_6_OFFSET);
14277 addr_hit[266] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_7_OFFSET);
14278 addr_hit[267] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_8_OFFSET);
14279 addr_hit[268] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_9_OFFSET);
14280 addr_hit[269] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_10_OFFSET);
14281 addr_hit[270] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_11_OFFSET);
14282 addr_hit[271] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_12_OFFSET);
14283 addr_hit[272] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_13_OFFSET);
14284 addr_hit[273] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_14_OFFSET);
14285 addr_hit[274] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_15_OFFSET);
14286 addr_hit[275] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_16_OFFSET);
14287 addr_hit[276] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_17_OFFSET);
14288 addr_hit[277] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_18_OFFSET);
14289 addr_hit[278] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_19_OFFSET);
14290 addr_hit[279] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_20_OFFSET);
14291 addr_hit[280] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_21_OFFSET);
14292 addr_hit[281] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_22_OFFSET);
14293 addr_hit[282] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_23_OFFSET);
14294 addr_hit[283] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_24_OFFSET);
14295 addr_hit[284] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_25_OFFSET);
14296 addr_hit[285] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_26_OFFSET);
14297 addr_hit[286] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_27_OFFSET);
14298 addr_hit[287] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_28_OFFSET);
14299 addr_hit[288] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_29_OFFSET);
14300 addr_hit[289] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_30_OFFSET);
14301 addr_hit[290] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_31_OFFSET);
14302 addr_hit[291] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_0_OFFSET);
14303 addr_hit[292] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_1_OFFSET);
14304 addr_hit[293] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_2_OFFSET);
14305 addr_hit[294] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_3_OFFSET);
14306 addr_hit[295] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_4_OFFSET);
14307 addr_hit[296] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_5_OFFSET);
14308 addr_hit[297] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_6_OFFSET);
14309 addr_hit[298] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_7_OFFSET);
14310 addr_hit[299] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_8_OFFSET);
14311 addr_hit[300] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_9_OFFSET);
14312 addr_hit[301] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_10_OFFSET);
14313 addr_hit[302] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_11_OFFSET);
14314 addr_hit[303] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_12_OFFSET);
14315 addr_hit[304] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_13_OFFSET);
14316 addr_hit[305] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_14_OFFSET);
14317 addr_hit[306] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_15_OFFSET);
14318 addr_hit[307] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_16_OFFSET);
14319 addr_hit[308] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_17_OFFSET);
14320 addr_hit[309] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_18_OFFSET);
14321 addr_hit[310] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_19_OFFSET);
14322 addr_hit[311] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_20_OFFSET);
14323 addr_hit[312] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_21_OFFSET);
14324 addr_hit[313] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_22_OFFSET);
14325 addr_hit[314] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_23_OFFSET);
14326 addr_hit[315] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_24_OFFSET);
14327 addr_hit[316] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_25_OFFSET);
14328 addr_hit[317] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_26_OFFSET);
14329 addr_hit[318] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_27_OFFSET);
14330 addr_hit[319] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_28_OFFSET);
14331 addr_hit[320] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_29_OFFSET);
14332 addr_hit[321] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_30_OFFSET);
14333 addr_hit[322] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_31_OFFSET);
14334 addr_hit[323] = (reg_addr == PINMUX_DIO_PAD_SLEEP_STATUS_OFFSET);
14335 addr_hit[324] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_0_OFFSET);
14336 addr_hit[325] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_1_OFFSET);
14337 addr_hit[326] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_2_OFFSET);
14338 addr_hit[327] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_3_OFFSET);
14339 addr_hit[328] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_4_OFFSET);
14340 addr_hit[329] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_5_OFFSET);
14341 addr_hit[330] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_6_OFFSET);
14342 addr_hit[331] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_7_OFFSET);
14343 addr_hit[332] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_8_OFFSET);
14344 addr_hit[333] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_9_OFFSET);
14345 addr_hit[334] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_10_OFFSET);
14346 addr_hit[335] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_11_OFFSET);
14347 addr_hit[336] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_12_OFFSET);
14348 addr_hit[337] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_13_OFFSET);
14349 addr_hit[338] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_14_OFFSET);
14350 addr_hit[339] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_15_OFFSET);
14351 addr_hit[340] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_0_OFFSET);
14352 addr_hit[341] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_1_OFFSET);
14353 addr_hit[342] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_2_OFFSET);
14354 addr_hit[343] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_3_OFFSET);
14355 addr_hit[344] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_4_OFFSET);
14356 addr_hit[345] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_5_OFFSET);
14357 addr_hit[346] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_6_OFFSET);
14358 addr_hit[347] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_7_OFFSET);
14359 addr_hit[348] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_8_OFFSET);
14360 addr_hit[349] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_9_OFFSET);
14361 addr_hit[350] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_10_OFFSET);
14362 addr_hit[351] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_11_OFFSET);
14363 addr_hit[352] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_12_OFFSET);
14364 addr_hit[353] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_13_OFFSET);
14365 addr_hit[354] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_14_OFFSET);
14366 addr_hit[355] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_15_OFFSET);
14367 addr_hit[356] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_0_OFFSET);
14368 addr_hit[357] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_1_OFFSET);
14369 addr_hit[358] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_2_OFFSET);
14370 addr_hit[359] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_3_OFFSET);
14371 addr_hit[360] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_4_OFFSET);
14372 addr_hit[361] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_5_OFFSET);
14373 addr_hit[362] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_6_OFFSET);
14374 addr_hit[363] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_7_OFFSET);
14375 addr_hit[364] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_8_OFFSET);
14376 addr_hit[365] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_9_OFFSET);
14377 addr_hit[366] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_10_OFFSET);
14378 addr_hit[367] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_11_OFFSET);
14379 addr_hit[368] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_12_OFFSET);
14380 addr_hit[369] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_13_OFFSET);
14381 addr_hit[370] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_14_OFFSET);
14382 addr_hit[371] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_15_OFFSET);
14383 addr_hit[372] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_0_OFFSET);
14384 addr_hit[373] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_1_OFFSET);
14385 addr_hit[374] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_2_OFFSET);
14386 addr_hit[375] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_3_OFFSET);
14387 addr_hit[376] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_4_OFFSET);
14388 addr_hit[377] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_5_OFFSET);
14389 addr_hit[378] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_6_OFFSET);
14390 addr_hit[379] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_7_OFFSET);
14391 addr_hit[380] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_0_OFFSET);
14392 addr_hit[381] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_1_OFFSET);
14393 addr_hit[382] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_2_OFFSET);
14394 addr_hit[383] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_3_OFFSET);
14395 addr_hit[384] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_4_OFFSET);
14396 addr_hit[385] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_5_OFFSET);
14397 addr_hit[386] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_6_OFFSET);
14398 addr_hit[387] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_7_OFFSET);
14399 addr_hit[388] = (reg_addr == PINMUX_WKUP_DETECTOR_0_OFFSET);
14400 addr_hit[389] = (reg_addr == PINMUX_WKUP_DETECTOR_1_OFFSET);
14401 addr_hit[390] = (reg_addr == PINMUX_WKUP_DETECTOR_2_OFFSET);
14402 addr_hit[391] = (reg_addr == PINMUX_WKUP_DETECTOR_3_OFFSET);
14403 addr_hit[392] = (reg_addr == PINMUX_WKUP_DETECTOR_4_OFFSET);
14404 addr_hit[393] = (reg_addr == PINMUX_WKUP_DETECTOR_5_OFFSET);
14405 addr_hit[394] = (reg_addr == PINMUX_WKUP_DETECTOR_6_OFFSET);
14406 addr_hit[395] = (reg_addr == PINMUX_WKUP_DETECTOR_7_OFFSET);
14407 addr_hit[396] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_0_OFFSET);
14408 addr_hit[397] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_1_OFFSET);
14409 addr_hit[398] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_2_OFFSET);
14410 addr_hit[399] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_3_OFFSET);
14411 addr_hit[400] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_4_OFFSET);
14412 addr_hit[401] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_5_OFFSET);
14413 addr_hit[402] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_6_OFFSET);
14414 addr_hit[403] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_7_OFFSET);
14415 addr_hit[404] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_0_OFFSET);
14416 addr_hit[405] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_1_OFFSET);
14417 addr_hit[406] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_2_OFFSET);
14418 addr_hit[407] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_3_OFFSET);
14419 addr_hit[408] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_4_OFFSET);
14420 addr_hit[409] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_5_OFFSET);
14421 addr_hit[410] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_6_OFFSET);
14422 addr_hit[411] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_7_OFFSET);
14423 addr_hit[412] = (reg_addr == PINMUX_WKUP_CAUSE_OFFSET);
Michael Schaffner51c61442019-10-01 15:49:10 -070014424 end
14425
14426 assign addrmiss = (reg_re || reg_we) ? ~|addr_hit : 1'b0 ;
14427
14428 // Check sub-word write is permitted
14429 always_comb begin
Rupert Swarbrickce8e3932021-04-21 11:45:34 +010014430 wr_err = (reg_we &
14431 ((addr_hit[ 0] & (|(PINMUX_PERMIT[ 0] & ~reg_be))) |
14432 (addr_hit[ 1] & (|(PINMUX_PERMIT[ 1] & ~reg_be))) |
14433 (addr_hit[ 2] & (|(PINMUX_PERMIT[ 2] & ~reg_be))) |
14434 (addr_hit[ 3] & (|(PINMUX_PERMIT[ 3] & ~reg_be))) |
14435 (addr_hit[ 4] & (|(PINMUX_PERMIT[ 4] & ~reg_be))) |
14436 (addr_hit[ 5] & (|(PINMUX_PERMIT[ 5] & ~reg_be))) |
14437 (addr_hit[ 6] & (|(PINMUX_PERMIT[ 6] & ~reg_be))) |
14438 (addr_hit[ 7] & (|(PINMUX_PERMIT[ 7] & ~reg_be))) |
14439 (addr_hit[ 8] & (|(PINMUX_PERMIT[ 8] & ~reg_be))) |
14440 (addr_hit[ 9] & (|(PINMUX_PERMIT[ 9] & ~reg_be))) |
14441 (addr_hit[ 10] & (|(PINMUX_PERMIT[ 10] & ~reg_be))) |
14442 (addr_hit[ 11] & (|(PINMUX_PERMIT[ 11] & ~reg_be))) |
14443 (addr_hit[ 12] & (|(PINMUX_PERMIT[ 12] & ~reg_be))) |
14444 (addr_hit[ 13] & (|(PINMUX_PERMIT[ 13] & ~reg_be))) |
14445 (addr_hit[ 14] & (|(PINMUX_PERMIT[ 14] & ~reg_be))) |
14446 (addr_hit[ 15] & (|(PINMUX_PERMIT[ 15] & ~reg_be))) |
14447 (addr_hit[ 16] & (|(PINMUX_PERMIT[ 16] & ~reg_be))) |
14448 (addr_hit[ 17] & (|(PINMUX_PERMIT[ 17] & ~reg_be))) |
14449 (addr_hit[ 18] & (|(PINMUX_PERMIT[ 18] & ~reg_be))) |
14450 (addr_hit[ 19] & (|(PINMUX_PERMIT[ 19] & ~reg_be))) |
14451 (addr_hit[ 20] & (|(PINMUX_PERMIT[ 20] & ~reg_be))) |
14452 (addr_hit[ 21] & (|(PINMUX_PERMIT[ 21] & ~reg_be))) |
14453 (addr_hit[ 22] & (|(PINMUX_PERMIT[ 22] & ~reg_be))) |
14454 (addr_hit[ 23] & (|(PINMUX_PERMIT[ 23] & ~reg_be))) |
14455 (addr_hit[ 24] & (|(PINMUX_PERMIT[ 24] & ~reg_be))) |
14456 (addr_hit[ 25] & (|(PINMUX_PERMIT[ 25] & ~reg_be))) |
14457 (addr_hit[ 26] & (|(PINMUX_PERMIT[ 26] & ~reg_be))) |
14458 (addr_hit[ 27] & (|(PINMUX_PERMIT[ 27] & ~reg_be))) |
14459 (addr_hit[ 28] & (|(PINMUX_PERMIT[ 28] & ~reg_be))) |
14460 (addr_hit[ 29] & (|(PINMUX_PERMIT[ 29] & ~reg_be))) |
14461 (addr_hit[ 30] & (|(PINMUX_PERMIT[ 30] & ~reg_be))) |
14462 (addr_hit[ 31] & (|(PINMUX_PERMIT[ 31] & ~reg_be))) |
14463 (addr_hit[ 32] & (|(PINMUX_PERMIT[ 32] & ~reg_be))) |
14464 (addr_hit[ 33] & (|(PINMUX_PERMIT[ 33] & ~reg_be))) |
14465 (addr_hit[ 34] & (|(PINMUX_PERMIT[ 34] & ~reg_be))) |
14466 (addr_hit[ 35] & (|(PINMUX_PERMIT[ 35] & ~reg_be))) |
14467 (addr_hit[ 36] & (|(PINMUX_PERMIT[ 36] & ~reg_be))) |
14468 (addr_hit[ 37] & (|(PINMUX_PERMIT[ 37] & ~reg_be))) |
14469 (addr_hit[ 38] & (|(PINMUX_PERMIT[ 38] & ~reg_be))) |
14470 (addr_hit[ 39] & (|(PINMUX_PERMIT[ 39] & ~reg_be))) |
14471 (addr_hit[ 40] & (|(PINMUX_PERMIT[ 40] & ~reg_be))) |
14472 (addr_hit[ 41] & (|(PINMUX_PERMIT[ 41] & ~reg_be))) |
14473 (addr_hit[ 42] & (|(PINMUX_PERMIT[ 42] & ~reg_be))) |
14474 (addr_hit[ 43] & (|(PINMUX_PERMIT[ 43] & ~reg_be))) |
14475 (addr_hit[ 44] & (|(PINMUX_PERMIT[ 44] & ~reg_be))) |
14476 (addr_hit[ 45] & (|(PINMUX_PERMIT[ 45] & ~reg_be))) |
14477 (addr_hit[ 46] & (|(PINMUX_PERMIT[ 46] & ~reg_be))) |
14478 (addr_hit[ 47] & (|(PINMUX_PERMIT[ 47] & ~reg_be))) |
14479 (addr_hit[ 48] & (|(PINMUX_PERMIT[ 48] & ~reg_be))) |
14480 (addr_hit[ 49] & (|(PINMUX_PERMIT[ 49] & ~reg_be))) |
14481 (addr_hit[ 50] & (|(PINMUX_PERMIT[ 50] & ~reg_be))) |
14482 (addr_hit[ 51] & (|(PINMUX_PERMIT[ 51] & ~reg_be))) |
14483 (addr_hit[ 52] & (|(PINMUX_PERMIT[ 52] & ~reg_be))) |
14484 (addr_hit[ 53] & (|(PINMUX_PERMIT[ 53] & ~reg_be))) |
14485 (addr_hit[ 54] & (|(PINMUX_PERMIT[ 54] & ~reg_be))) |
14486 (addr_hit[ 55] & (|(PINMUX_PERMIT[ 55] & ~reg_be))) |
14487 (addr_hit[ 56] & (|(PINMUX_PERMIT[ 56] & ~reg_be))) |
14488 (addr_hit[ 57] & (|(PINMUX_PERMIT[ 57] & ~reg_be))) |
14489 (addr_hit[ 58] & (|(PINMUX_PERMIT[ 58] & ~reg_be))) |
14490 (addr_hit[ 59] & (|(PINMUX_PERMIT[ 59] & ~reg_be))) |
14491 (addr_hit[ 60] & (|(PINMUX_PERMIT[ 60] & ~reg_be))) |
14492 (addr_hit[ 61] & (|(PINMUX_PERMIT[ 61] & ~reg_be))) |
14493 (addr_hit[ 62] & (|(PINMUX_PERMIT[ 62] & ~reg_be))) |
14494 (addr_hit[ 63] & (|(PINMUX_PERMIT[ 63] & ~reg_be))) |
14495 (addr_hit[ 64] & (|(PINMUX_PERMIT[ 64] & ~reg_be))) |
14496 (addr_hit[ 65] & (|(PINMUX_PERMIT[ 65] & ~reg_be))) |
14497 (addr_hit[ 66] & (|(PINMUX_PERMIT[ 66] & ~reg_be))) |
14498 (addr_hit[ 67] & (|(PINMUX_PERMIT[ 67] & ~reg_be))) |
14499 (addr_hit[ 68] & (|(PINMUX_PERMIT[ 68] & ~reg_be))) |
14500 (addr_hit[ 69] & (|(PINMUX_PERMIT[ 69] & ~reg_be))) |
14501 (addr_hit[ 70] & (|(PINMUX_PERMIT[ 70] & ~reg_be))) |
14502 (addr_hit[ 71] & (|(PINMUX_PERMIT[ 71] & ~reg_be))) |
14503 (addr_hit[ 72] & (|(PINMUX_PERMIT[ 72] & ~reg_be))) |
14504 (addr_hit[ 73] & (|(PINMUX_PERMIT[ 73] & ~reg_be))) |
14505 (addr_hit[ 74] & (|(PINMUX_PERMIT[ 74] & ~reg_be))) |
14506 (addr_hit[ 75] & (|(PINMUX_PERMIT[ 75] & ~reg_be))) |
14507 (addr_hit[ 76] & (|(PINMUX_PERMIT[ 76] & ~reg_be))) |
14508 (addr_hit[ 77] & (|(PINMUX_PERMIT[ 77] & ~reg_be))) |
14509 (addr_hit[ 78] & (|(PINMUX_PERMIT[ 78] & ~reg_be))) |
14510 (addr_hit[ 79] & (|(PINMUX_PERMIT[ 79] & ~reg_be))) |
14511 (addr_hit[ 80] & (|(PINMUX_PERMIT[ 80] & ~reg_be))) |
14512 (addr_hit[ 81] & (|(PINMUX_PERMIT[ 81] & ~reg_be))) |
14513 (addr_hit[ 82] & (|(PINMUX_PERMIT[ 82] & ~reg_be))) |
14514 (addr_hit[ 83] & (|(PINMUX_PERMIT[ 83] & ~reg_be))) |
14515 (addr_hit[ 84] & (|(PINMUX_PERMIT[ 84] & ~reg_be))) |
14516 (addr_hit[ 85] & (|(PINMUX_PERMIT[ 85] & ~reg_be))) |
14517 (addr_hit[ 86] & (|(PINMUX_PERMIT[ 86] & ~reg_be))) |
14518 (addr_hit[ 87] & (|(PINMUX_PERMIT[ 87] & ~reg_be))) |
14519 (addr_hit[ 88] & (|(PINMUX_PERMIT[ 88] & ~reg_be))) |
14520 (addr_hit[ 89] & (|(PINMUX_PERMIT[ 89] & ~reg_be))) |
14521 (addr_hit[ 90] & (|(PINMUX_PERMIT[ 90] & ~reg_be))) |
14522 (addr_hit[ 91] & (|(PINMUX_PERMIT[ 91] & ~reg_be))) |
14523 (addr_hit[ 92] & (|(PINMUX_PERMIT[ 92] & ~reg_be))) |
14524 (addr_hit[ 93] & (|(PINMUX_PERMIT[ 93] & ~reg_be))) |
14525 (addr_hit[ 94] & (|(PINMUX_PERMIT[ 94] & ~reg_be))) |
14526 (addr_hit[ 95] & (|(PINMUX_PERMIT[ 95] & ~reg_be))) |
14527 (addr_hit[ 96] & (|(PINMUX_PERMIT[ 96] & ~reg_be))) |
14528 (addr_hit[ 97] & (|(PINMUX_PERMIT[ 97] & ~reg_be))) |
14529 (addr_hit[ 98] & (|(PINMUX_PERMIT[ 98] & ~reg_be))) |
14530 (addr_hit[ 99] & (|(PINMUX_PERMIT[ 99] & ~reg_be))) |
14531 (addr_hit[100] & (|(PINMUX_PERMIT[100] & ~reg_be))) |
14532 (addr_hit[101] & (|(PINMUX_PERMIT[101] & ~reg_be))) |
14533 (addr_hit[102] & (|(PINMUX_PERMIT[102] & ~reg_be))) |
14534 (addr_hit[103] & (|(PINMUX_PERMIT[103] & ~reg_be))) |
14535 (addr_hit[104] & (|(PINMUX_PERMIT[104] & ~reg_be))) |
14536 (addr_hit[105] & (|(PINMUX_PERMIT[105] & ~reg_be))) |
14537 (addr_hit[106] & (|(PINMUX_PERMIT[106] & ~reg_be))) |
14538 (addr_hit[107] & (|(PINMUX_PERMIT[107] & ~reg_be))) |
14539 (addr_hit[108] & (|(PINMUX_PERMIT[108] & ~reg_be))) |
14540 (addr_hit[109] & (|(PINMUX_PERMIT[109] & ~reg_be))) |
14541 (addr_hit[110] & (|(PINMUX_PERMIT[110] & ~reg_be))) |
14542 (addr_hit[111] & (|(PINMUX_PERMIT[111] & ~reg_be))) |
14543 (addr_hit[112] & (|(PINMUX_PERMIT[112] & ~reg_be))) |
14544 (addr_hit[113] & (|(PINMUX_PERMIT[113] & ~reg_be))) |
14545 (addr_hit[114] & (|(PINMUX_PERMIT[114] & ~reg_be))) |
14546 (addr_hit[115] & (|(PINMUX_PERMIT[115] & ~reg_be))) |
14547 (addr_hit[116] & (|(PINMUX_PERMIT[116] & ~reg_be))) |
14548 (addr_hit[117] & (|(PINMUX_PERMIT[117] & ~reg_be))) |
14549 (addr_hit[118] & (|(PINMUX_PERMIT[118] & ~reg_be))) |
14550 (addr_hit[119] & (|(PINMUX_PERMIT[119] & ~reg_be))) |
14551 (addr_hit[120] & (|(PINMUX_PERMIT[120] & ~reg_be))) |
14552 (addr_hit[121] & (|(PINMUX_PERMIT[121] & ~reg_be))) |
14553 (addr_hit[122] & (|(PINMUX_PERMIT[122] & ~reg_be))) |
14554 (addr_hit[123] & (|(PINMUX_PERMIT[123] & ~reg_be))) |
14555 (addr_hit[124] & (|(PINMUX_PERMIT[124] & ~reg_be))) |
14556 (addr_hit[125] & (|(PINMUX_PERMIT[125] & ~reg_be))) |
14557 (addr_hit[126] & (|(PINMUX_PERMIT[126] & ~reg_be))) |
14558 (addr_hit[127] & (|(PINMUX_PERMIT[127] & ~reg_be))) |
14559 (addr_hit[128] & (|(PINMUX_PERMIT[128] & ~reg_be))) |
14560 (addr_hit[129] & (|(PINMUX_PERMIT[129] & ~reg_be))) |
14561 (addr_hit[130] & (|(PINMUX_PERMIT[130] & ~reg_be))) |
14562 (addr_hit[131] & (|(PINMUX_PERMIT[131] & ~reg_be))) |
14563 (addr_hit[132] & (|(PINMUX_PERMIT[132] & ~reg_be))) |
14564 (addr_hit[133] & (|(PINMUX_PERMIT[133] & ~reg_be))) |
14565 (addr_hit[134] & (|(PINMUX_PERMIT[134] & ~reg_be))) |
14566 (addr_hit[135] & (|(PINMUX_PERMIT[135] & ~reg_be))) |
14567 (addr_hit[136] & (|(PINMUX_PERMIT[136] & ~reg_be))) |
14568 (addr_hit[137] & (|(PINMUX_PERMIT[137] & ~reg_be))) |
14569 (addr_hit[138] & (|(PINMUX_PERMIT[138] & ~reg_be))) |
14570 (addr_hit[139] & (|(PINMUX_PERMIT[139] & ~reg_be))) |
14571 (addr_hit[140] & (|(PINMUX_PERMIT[140] & ~reg_be))) |
14572 (addr_hit[141] & (|(PINMUX_PERMIT[141] & ~reg_be))) |
14573 (addr_hit[142] & (|(PINMUX_PERMIT[142] & ~reg_be))) |
14574 (addr_hit[143] & (|(PINMUX_PERMIT[143] & ~reg_be))) |
14575 (addr_hit[144] & (|(PINMUX_PERMIT[144] & ~reg_be))) |
14576 (addr_hit[145] & (|(PINMUX_PERMIT[145] & ~reg_be))) |
14577 (addr_hit[146] & (|(PINMUX_PERMIT[146] & ~reg_be))) |
14578 (addr_hit[147] & (|(PINMUX_PERMIT[147] & ~reg_be))) |
14579 (addr_hit[148] & (|(PINMUX_PERMIT[148] & ~reg_be))) |
14580 (addr_hit[149] & (|(PINMUX_PERMIT[149] & ~reg_be))) |
14581 (addr_hit[150] & (|(PINMUX_PERMIT[150] & ~reg_be))) |
14582 (addr_hit[151] & (|(PINMUX_PERMIT[151] & ~reg_be))) |
14583 (addr_hit[152] & (|(PINMUX_PERMIT[152] & ~reg_be))) |
14584 (addr_hit[153] & (|(PINMUX_PERMIT[153] & ~reg_be))) |
14585 (addr_hit[154] & (|(PINMUX_PERMIT[154] & ~reg_be))) |
14586 (addr_hit[155] & (|(PINMUX_PERMIT[155] & ~reg_be))) |
14587 (addr_hit[156] & (|(PINMUX_PERMIT[156] & ~reg_be))) |
14588 (addr_hit[157] & (|(PINMUX_PERMIT[157] & ~reg_be))) |
14589 (addr_hit[158] & (|(PINMUX_PERMIT[158] & ~reg_be))) |
14590 (addr_hit[159] & (|(PINMUX_PERMIT[159] & ~reg_be))) |
14591 (addr_hit[160] & (|(PINMUX_PERMIT[160] & ~reg_be))) |
14592 (addr_hit[161] & (|(PINMUX_PERMIT[161] & ~reg_be))) |
14593 (addr_hit[162] & (|(PINMUX_PERMIT[162] & ~reg_be))) |
14594 (addr_hit[163] & (|(PINMUX_PERMIT[163] & ~reg_be))) |
14595 (addr_hit[164] & (|(PINMUX_PERMIT[164] & ~reg_be))) |
14596 (addr_hit[165] & (|(PINMUX_PERMIT[165] & ~reg_be))) |
14597 (addr_hit[166] & (|(PINMUX_PERMIT[166] & ~reg_be))) |
14598 (addr_hit[167] & (|(PINMUX_PERMIT[167] & ~reg_be))) |
14599 (addr_hit[168] & (|(PINMUX_PERMIT[168] & ~reg_be))) |
14600 (addr_hit[169] & (|(PINMUX_PERMIT[169] & ~reg_be))) |
14601 (addr_hit[170] & (|(PINMUX_PERMIT[170] & ~reg_be))) |
14602 (addr_hit[171] & (|(PINMUX_PERMIT[171] & ~reg_be))) |
14603 (addr_hit[172] & (|(PINMUX_PERMIT[172] & ~reg_be))) |
14604 (addr_hit[173] & (|(PINMUX_PERMIT[173] & ~reg_be))) |
14605 (addr_hit[174] & (|(PINMUX_PERMIT[174] & ~reg_be))) |
14606 (addr_hit[175] & (|(PINMUX_PERMIT[175] & ~reg_be))) |
14607 (addr_hit[176] & (|(PINMUX_PERMIT[176] & ~reg_be))) |
14608 (addr_hit[177] & (|(PINMUX_PERMIT[177] & ~reg_be))) |
14609 (addr_hit[178] & (|(PINMUX_PERMIT[178] & ~reg_be))) |
14610 (addr_hit[179] & (|(PINMUX_PERMIT[179] & ~reg_be))) |
14611 (addr_hit[180] & (|(PINMUX_PERMIT[180] & ~reg_be))) |
14612 (addr_hit[181] & (|(PINMUX_PERMIT[181] & ~reg_be))) |
14613 (addr_hit[182] & (|(PINMUX_PERMIT[182] & ~reg_be))) |
14614 (addr_hit[183] & (|(PINMUX_PERMIT[183] & ~reg_be))) |
14615 (addr_hit[184] & (|(PINMUX_PERMIT[184] & ~reg_be))) |
14616 (addr_hit[185] & (|(PINMUX_PERMIT[185] & ~reg_be))) |
14617 (addr_hit[186] & (|(PINMUX_PERMIT[186] & ~reg_be))) |
14618 (addr_hit[187] & (|(PINMUX_PERMIT[187] & ~reg_be))) |
14619 (addr_hit[188] & (|(PINMUX_PERMIT[188] & ~reg_be))) |
14620 (addr_hit[189] & (|(PINMUX_PERMIT[189] & ~reg_be))) |
14621 (addr_hit[190] & (|(PINMUX_PERMIT[190] & ~reg_be))) |
14622 (addr_hit[191] & (|(PINMUX_PERMIT[191] & ~reg_be))) |
14623 (addr_hit[192] & (|(PINMUX_PERMIT[192] & ~reg_be))) |
14624 (addr_hit[193] & (|(PINMUX_PERMIT[193] & ~reg_be))) |
14625 (addr_hit[194] & (|(PINMUX_PERMIT[194] & ~reg_be))) |
14626 (addr_hit[195] & (|(PINMUX_PERMIT[195] & ~reg_be))) |
14627 (addr_hit[196] & (|(PINMUX_PERMIT[196] & ~reg_be))) |
14628 (addr_hit[197] & (|(PINMUX_PERMIT[197] & ~reg_be))) |
14629 (addr_hit[198] & (|(PINMUX_PERMIT[198] & ~reg_be))) |
14630 (addr_hit[199] & (|(PINMUX_PERMIT[199] & ~reg_be))) |
14631 (addr_hit[200] & (|(PINMUX_PERMIT[200] & ~reg_be))) |
14632 (addr_hit[201] & (|(PINMUX_PERMIT[201] & ~reg_be))) |
14633 (addr_hit[202] & (|(PINMUX_PERMIT[202] & ~reg_be))) |
14634 (addr_hit[203] & (|(PINMUX_PERMIT[203] & ~reg_be))) |
14635 (addr_hit[204] & (|(PINMUX_PERMIT[204] & ~reg_be))) |
14636 (addr_hit[205] & (|(PINMUX_PERMIT[205] & ~reg_be))) |
14637 (addr_hit[206] & (|(PINMUX_PERMIT[206] & ~reg_be))) |
14638 (addr_hit[207] & (|(PINMUX_PERMIT[207] & ~reg_be))) |
14639 (addr_hit[208] & (|(PINMUX_PERMIT[208] & ~reg_be))) |
14640 (addr_hit[209] & (|(PINMUX_PERMIT[209] & ~reg_be))) |
14641 (addr_hit[210] & (|(PINMUX_PERMIT[210] & ~reg_be))) |
14642 (addr_hit[211] & (|(PINMUX_PERMIT[211] & ~reg_be))) |
14643 (addr_hit[212] & (|(PINMUX_PERMIT[212] & ~reg_be))) |
14644 (addr_hit[213] & (|(PINMUX_PERMIT[213] & ~reg_be))) |
14645 (addr_hit[214] & (|(PINMUX_PERMIT[214] & ~reg_be))) |
14646 (addr_hit[215] & (|(PINMUX_PERMIT[215] & ~reg_be))) |
14647 (addr_hit[216] & (|(PINMUX_PERMIT[216] & ~reg_be))) |
14648 (addr_hit[217] & (|(PINMUX_PERMIT[217] & ~reg_be))) |
14649 (addr_hit[218] & (|(PINMUX_PERMIT[218] & ~reg_be))) |
14650 (addr_hit[219] & (|(PINMUX_PERMIT[219] & ~reg_be))) |
14651 (addr_hit[220] & (|(PINMUX_PERMIT[220] & ~reg_be))) |
14652 (addr_hit[221] & (|(PINMUX_PERMIT[221] & ~reg_be))) |
14653 (addr_hit[222] & (|(PINMUX_PERMIT[222] & ~reg_be))) |
14654 (addr_hit[223] & (|(PINMUX_PERMIT[223] & ~reg_be))) |
14655 (addr_hit[224] & (|(PINMUX_PERMIT[224] & ~reg_be))) |
14656 (addr_hit[225] & (|(PINMUX_PERMIT[225] & ~reg_be))) |
14657 (addr_hit[226] & (|(PINMUX_PERMIT[226] & ~reg_be))) |
14658 (addr_hit[227] & (|(PINMUX_PERMIT[227] & ~reg_be))) |
14659 (addr_hit[228] & (|(PINMUX_PERMIT[228] & ~reg_be))) |
14660 (addr_hit[229] & (|(PINMUX_PERMIT[229] & ~reg_be))) |
14661 (addr_hit[230] & (|(PINMUX_PERMIT[230] & ~reg_be))) |
14662 (addr_hit[231] & (|(PINMUX_PERMIT[231] & ~reg_be))) |
14663 (addr_hit[232] & (|(PINMUX_PERMIT[232] & ~reg_be))) |
14664 (addr_hit[233] & (|(PINMUX_PERMIT[233] & ~reg_be))) |
14665 (addr_hit[234] & (|(PINMUX_PERMIT[234] & ~reg_be))) |
14666 (addr_hit[235] & (|(PINMUX_PERMIT[235] & ~reg_be))) |
14667 (addr_hit[236] & (|(PINMUX_PERMIT[236] & ~reg_be))) |
14668 (addr_hit[237] & (|(PINMUX_PERMIT[237] & ~reg_be))) |
14669 (addr_hit[238] & (|(PINMUX_PERMIT[238] & ~reg_be))) |
14670 (addr_hit[239] & (|(PINMUX_PERMIT[239] & ~reg_be))) |
14671 (addr_hit[240] & (|(PINMUX_PERMIT[240] & ~reg_be))) |
14672 (addr_hit[241] & (|(PINMUX_PERMIT[241] & ~reg_be))) |
14673 (addr_hit[242] & (|(PINMUX_PERMIT[242] & ~reg_be))) |
14674 (addr_hit[243] & (|(PINMUX_PERMIT[243] & ~reg_be))) |
14675 (addr_hit[244] & (|(PINMUX_PERMIT[244] & ~reg_be))) |
14676 (addr_hit[245] & (|(PINMUX_PERMIT[245] & ~reg_be))) |
14677 (addr_hit[246] & (|(PINMUX_PERMIT[246] & ~reg_be))) |
14678 (addr_hit[247] & (|(PINMUX_PERMIT[247] & ~reg_be))) |
14679 (addr_hit[248] & (|(PINMUX_PERMIT[248] & ~reg_be))) |
14680 (addr_hit[249] & (|(PINMUX_PERMIT[249] & ~reg_be))) |
14681 (addr_hit[250] & (|(PINMUX_PERMIT[250] & ~reg_be))) |
14682 (addr_hit[251] & (|(PINMUX_PERMIT[251] & ~reg_be))) |
14683 (addr_hit[252] & (|(PINMUX_PERMIT[252] & ~reg_be))) |
14684 (addr_hit[253] & (|(PINMUX_PERMIT[253] & ~reg_be))) |
14685 (addr_hit[254] & (|(PINMUX_PERMIT[254] & ~reg_be))) |
14686 (addr_hit[255] & (|(PINMUX_PERMIT[255] & ~reg_be))) |
14687 (addr_hit[256] & (|(PINMUX_PERMIT[256] & ~reg_be))) |
14688 (addr_hit[257] & (|(PINMUX_PERMIT[257] & ~reg_be))) |
14689 (addr_hit[258] & (|(PINMUX_PERMIT[258] & ~reg_be))) |
14690 (addr_hit[259] & (|(PINMUX_PERMIT[259] & ~reg_be))) |
14691 (addr_hit[260] & (|(PINMUX_PERMIT[260] & ~reg_be))) |
14692 (addr_hit[261] & (|(PINMUX_PERMIT[261] & ~reg_be))) |
14693 (addr_hit[262] & (|(PINMUX_PERMIT[262] & ~reg_be))) |
14694 (addr_hit[263] & (|(PINMUX_PERMIT[263] & ~reg_be))) |
14695 (addr_hit[264] & (|(PINMUX_PERMIT[264] & ~reg_be))) |
14696 (addr_hit[265] & (|(PINMUX_PERMIT[265] & ~reg_be))) |
14697 (addr_hit[266] & (|(PINMUX_PERMIT[266] & ~reg_be))) |
14698 (addr_hit[267] & (|(PINMUX_PERMIT[267] & ~reg_be))) |
14699 (addr_hit[268] & (|(PINMUX_PERMIT[268] & ~reg_be))) |
14700 (addr_hit[269] & (|(PINMUX_PERMIT[269] & ~reg_be))) |
14701 (addr_hit[270] & (|(PINMUX_PERMIT[270] & ~reg_be))) |
14702 (addr_hit[271] & (|(PINMUX_PERMIT[271] & ~reg_be))) |
14703 (addr_hit[272] & (|(PINMUX_PERMIT[272] & ~reg_be))) |
14704 (addr_hit[273] & (|(PINMUX_PERMIT[273] & ~reg_be))) |
14705 (addr_hit[274] & (|(PINMUX_PERMIT[274] & ~reg_be))) |
14706 (addr_hit[275] & (|(PINMUX_PERMIT[275] & ~reg_be))) |
14707 (addr_hit[276] & (|(PINMUX_PERMIT[276] & ~reg_be))) |
14708 (addr_hit[277] & (|(PINMUX_PERMIT[277] & ~reg_be))) |
14709 (addr_hit[278] & (|(PINMUX_PERMIT[278] & ~reg_be))) |
14710 (addr_hit[279] & (|(PINMUX_PERMIT[279] & ~reg_be))) |
14711 (addr_hit[280] & (|(PINMUX_PERMIT[280] & ~reg_be))) |
14712 (addr_hit[281] & (|(PINMUX_PERMIT[281] & ~reg_be))) |
14713 (addr_hit[282] & (|(PINMUX_PERMIT[282] & ~reg_be))) |
14714 (addr_hit[283] & (|(PINMUX_PERMIT[283] & ~reg_be))) |
14715 (addr_hit[284] & (|(PINMUX_PERMIT[284] & ~reg_be))) |
14716 (addr_hit[285] & (|(PINMUX_PERMIT[285] & ~reg_be))) |
14717 (addr_hit[286] & (|(PINMUX_PERMIT[286] & ~reg_be))) |
14718 (addr_hit[287] & (|(PINMUX_PERMIT[287] & ~reg_be))) |
14719 (addr_hit[288] & (|(PINMUX_PERMIT[288] & ~reg_be))) |
14720 (addr_hit[289] & (|(PINMUX_PERMIT[289] & ~reg_be))) |
14721 (addr_hit[290] & (|(PINMUX_PERMIT[290] & ~reg_be))) |
14722 (addr_hit[291] & (|(PINMUX_PERMIT[291] & ~reg_be))) |
14723 (addr_hit[292] & (|(PINMUX_PERMIT[292] & ~reg_be))) |
14724 (addr_hit[293] & (|(PINMUX_PERMIT[293] & ~reg_be))) |
14725 (addr_hit[294] & (|(PINMUX_PERMIT[294] & ~reg_be))) |
14726 (addr_hit[295] & (|(PINMUX_PERMIT[295] & ~reg_be))) |
14727 (addr_hit[296] & (|(PINMUX_PERMIT[296] & ~reg_be))) |
14728 (addr_hit[297] & (|(PINMUX_PERMIT[297] & ~reg_be))) |
14729 (addr_hit[298] & (|(PINMUX_PERMIT[298] & ~reg_be))) |
14730 (addr_hit[299] & (|(PINMUX_PERMIT[299] & ~reg_be))) |
14731 (addr_hit[300] & (|(PINMUX_PERMIT[300] & ~reg_be))) |
14732 (addr_hit[301] & (|(PINMUX_PERMIT[301] & ~reg_be))) |
14733 (addr_hit[302] & (|(PINMUX_PERMIT[302] & ~reg_be))) |
14734 (addr_hit[303] & (|(PINMUX_PERMIT[303] & ~reg_be))) |
14735 (addr_hit[304] & (|(PINMUX_PERMIT[304] & ~reg_be))) |
14736 (addr_hit[305] & (|(PINMUX_PERMIT[305] & ~reg_be))) |
14737 (addr_hit[306] & (|(PINMUX_PERMIT[306] & ~reg_be))) |
14738 (addr_hit[307] & (|(PINMUX_PERMIT[307] & ~reg_be))) |
14739 (addr_hit[308] & (|(PINMUX_PERMIT[308] & ~reg_be))) |
14740 (addr_hit[309] & (|(PINMUX_PERMIT[309] & ~reg_be))) |
14741 (addr_hit[310] & (|(PINMUX_PERMIT[310] & ~reg_be))) |
14742 (addr_hit[311] & (|(PINMUX_PERMIT[311] & ~reg_be))) |
14743 (addr_hit[312] & (|(PINMUX_PERMIT[312] & ~reg_be))) |
14744 (addr_hit[313] & (|(PINMUX_PERMIT[313] & ~reg_be))) |
14745 (addr_hit[314] & (|(PINMUX_PERMIT[314] & ~reg_be))) |
14746 (addr_hit[315] & (|(PINMUX_PERMIT[315] & ~reg_be))) |
14747 (addr_hit[316] & (|(PINMUX_PERMIT[316] & ~reg_be))) |
14748 (addr_hit[317] & (|(PINMUX_PERMIT[317] & ~reg_be))) |
14749 (addr_hit[318] & (|(PINMUX_PERMIT[318] & ~reg_be))) |
14750 (addr_hit[319] & (|(PINMUX_PERMIT[319] & ~reg_be))) |
14751 (addr_hit[320] & (|(PINMUX_PERMIT[320] & ~reg_be))) |
14752 (addr_hit[321] & (|(PINMUX_PERMIT[321] & ~reg_be))) |
14753 (addr_hit[322] & (|(PINMUX_PERMIT[322] & ~reg_be))) |
14754 (addr_hit[323] & (|(PINMUX_PERMIT[323] & ~reg_be))) |
14755 (addr_hit[324] & (|(PINMUX_PERMIT[324] & ~reg_be))) |
14756 (addr_hit[325] & (|(PINMUX_PERMIT[325] & ~reg_be))) |
14757 (addr_hit[326] & (|(PINMUX_PERMIT[326] & ~reg_be))) |
14758 (addr_hit[327] & (|(PINMUX_PERMIT[327] & ~reg_be))) |
14759 (addr_hit[328] & (|(PINMUX_PERMIT[328] & ~reg_be))) |
14760 (addr_hit[329] & (|(PINMUX_PERMIT[329] & ~reg_be))) |
14761 (addr_hit[330] & (|(PINMUX_PERMIT[330] & ~reg_be))) |
14762 (addr_hit[331] & (|(PINMUX_PERMIT[331] & ~reg_be))) |
14763 (addr_hit[332] & (|(PINMUX_PERMIT[332] & ~reg_be))) |
14764 (addr_hit[333] & (|(PINMUX_PERMIT[333] & ~reg_be))) |
14765 (addr_hit[334] & (|(PINMUX_PERMIT[334] & ~reg_be))) |
14766 (addr_hit[335] & (|(PINMUX_PERMIT[335] & ~reg_be))) |
14767 (addr_hit[336] & (|(PINMUX_PERMIT[336] & ~reg_be))) |
14768 (addr_hit[337] & (|(PINMUX_PERMIT[337] & ~reg_be))) |
14769 (addr_hit[338] & (|(PINMUX_PERMIT[338] & ~reg_be))) |
14770 (addr_hit[339] & (|(PINMUX_PERMIT[339] & ~reg_be))) |
14771 (addr_hit[340] & (|(PINMUX_PERMIT[340] & ~reg_be))) |
14772 (addr_hit[341] & (|(PINMUX_PERMIT[341] & ~reg_be))) |
14773 (addr_hit[342] & (|(PINMUX_PERMIT[342] & ~reg_be))) |
14774 (addr_hit[343] & (|(PINMUX_PERMIT[343] & ~reg_be))) |
14775 (addr_hit[344] & (|(PINMUX_PERMIT[344] & ~reg_be))) |
14776 (addr_hit[345] & (|(PINMUX_PERMIT[345] & ~reg_be))) |
14777 (addr_hit[346] & (|(PINMUX_PERMIT[346] & ~reg_be))) |
14778 (addr_hit[347] & (|(PINMUX_PERMIT[347] & ~reg_be))) |
14779 (addr_hit[348] & (|(PINMUX_PERMIT[348] & ~reg_be))) |
14780 (addr_hit[349] & (|(PINMUX_PERMIT[349] & ~reg_be))) |
14781 (addr_hit[350] & (|(PINMUX_PERMIT[350] & ~reg_be))) |
14782 (addr_hit[351] & (|(PINMUX_PERMIT[351] & ~reg_be))) |
14783 (addr_hit[352] & (|(PINMUX_PERMIT[352] & ~reg_be))) |
14784 (addr_hit[353] & (|(PINMUX_PERMIT[353] & ~reg_be))) |
14785 (addr_hit[354] & (|(PINMUX_PERMIT[354] & ~reg_be))) |
14786 (addr_hit[355] & (|(PINMUX_PERMIT[355] & ~reg_be))) |
14787 (addr_hit[356] & (|(PINMUX_PERMIT[356] & ~reg_be))) |
14788 (addr_hit[357] & (|(PINMUX_PERMIT[357] & ~reg_be))) |
14789 (addr_hit[358] & (|(PINMUX_PERMIT[358] & ~reg_be))) |
14790 (addr_hit[359] & (|(PINMUX_PERMIT[359] & ~reg_be))) |
14791 (addr_hit[360] & (|(PINMUX_PERMIT[360] & ~reg_be))) |
14792 (addr_hit[361] & (|(PINMUX_PERMIT[361] & ~reg_be))) |
14793 (addr_hit[362] & (|(PINMUX_PERMIT[362] & ~reg_be))) |
14794 (addr_hit[363] & (|(PINMUX_PERMIT[363] & ~reg_be))) |
14795 (addr_hit[364] & (|(PINMUX_PERMIT[364] & ~reg_be))) |
14796 (addr_hit[365] & (|(PINMUX_PERMIT[365] & ~reg_be))) |
14797 (addr_hit[366] & (|(PINMUX_PERMIT[366] & ~reg_be))) |
14798 (addr_hit[367] & (|(PINMUX_PERMIT[367] & ~reg_be))) |
14799 (addr_hit[368] & (|(PINMUX_PERMIT[368] & ~reg_be))) |
14800 (addr_hit[369] & (|(PINMUX_PERMIT[369] & ~reg_be))) |
14801 (addr_hit[370] & (|(PINMUX_PERMIT[370] & ~reg_be))) |
14802 (addr_hit[371] & (|(PINMUX_PERMIT[371] & ~reg_be))) |
14803 (addr_hit[372] & (|(PINMUX_PERMIT[372] & ~reg_be))) |
14804 (addr_hit[373] & (|(PINMUX_PERMIT[373] & ~reg_be))) |
14805 (addr_hit[374] & (|(PINMUX_PERMIT[374] & ~reg_be))) |
14806 (addr_hit[375] & (|(PINMUX_PERMIT[375] & ~reg_be))) |
14807 (addr_hit[376] & (|(PINMUX_PERMIT[376] & ~reg_be))) |
14808 (addr_hit[377] & (|(PINMUX_PERMIT[377] & ~reg_be))) |
14809 (addr_hit[378] & (|(PINMUX_PERMIT[378] & ~reg_be))) |
14810 (addr_hit[379] & (|(PINMUX_PERMIT[379] & ~reg_be))) |
14811 (addr_hit[380] & (|(PINMUX_PERMIT[380] & ~reg_be))) |
14812 (addr_hit[381] & (|(PINMUX_PERMIT[381] & ~reg_be))) |
14813 (addr_hit[382] & (|(PINMUX_PERMIT[382] & ~reg_be))) |
14814 (addr_hit[383] & (|(PINMUX_PERMIT[383] & ~reg_be))) |
14815 (addr_hit[384] & (|(PINMUX_PERMIT[384] & ~reg_be))) |
14816 (addr_hit[385] & (|(PINMUX_PERMIT[385] & ~reg_be))) |
14817 (addr_hit[386] & (|(PINMUX_PERMIT[386] & ~reg_be))) |
14818 (addr_hit[387] & (|(PINMUX_PERMIT[387] & ~reg_be))) |
14819 (addr_hit[388] & (|(PINMUX_PERMIT[388] & ~reg_be))) |
14820 (addr_hit[389] & (|(PINMUX_PERMIT[389] & ~reg_be))) |
14821 (addr_hit[390] & (|(PINMUX_PERMIT[390] & ~reg_be))) |
14822 (addr_hit[391] & (|(PINMUX_PERMIT[391] & ~reg_be))) |
14823 (addr_hit[392] & (|(PINMUX_PERMIT[392] & ~reg_be))) |
14824 (addr_hit[393] & (|(PINMUX_PERMIT[393] & ~reg_be))) |
14825 (addr_hit[394] & (|(PINMUX_PERMIT[394] & ~reg_be))) |
14826 (addr_hit[395] & (|(PINMUX_PERMIT[395] & ~reg_be))) |
14827 (addr_hit[396] & (|(PINMUX_PERMIT[396] & ~reg_be))) |
14828 (addr_hit[397] & (|(PINMUX_PERMIT[397] & ~reg_be))) |
14829 (addr_hit[398] & (|(PINMUX_PERMIT[398] & ~reg_be))) |
14830 (addr_hit[399] & (|(PINMUX_PERMIT[399] & ~reg_be))) |
14831 (addr_hit[400] & (|(PINMUX_PERMIT[400] & ~reg_be))) |
14832 (addr_hit[401] & (|(PINMUX_PERMIT[401] & ~reg_be))) |
14833 (addr_hit[402] & (|(PINMUX_PERMIT[402] & ~reg_be))) |
14834 (addr_hit[403] & (|(PINMUX_PERMIT[403] & ~reg_be))) |
14835 (addr_hit[404] & (|(PINMUX_PERMIT[404] & ~reg_be))) |
14836 (addr_hit[405] & (|(PINMUX_PERMIT[405] & ~reg_be))) |
14837 (addr_hit[406] & (|(PINMUX_PERMIT[406] & ~reg_be))) |
14838 (addr_hit[407] & (|(PINMUX_PERMIT[407] & ~reg_be))) |
14839 (addr_hit[408] & (|(PINMUX_PERMIT[408] & ~reg_be))) |
14840 (addr_hit[409] & (|(PINMUX_PERMIT[409] & ~reg_be))) |
14841 (addr_hit[410] & (|(PINMUX_PERMIT[410] & ~reg_be))) |
14842 (addr_hit[411] & (|(PINMUX_PERMIT[411] & ~reg_be))) |
14843 (addr_hit[412] & (|(PINMUX_PERMIT[412] & ~reg_be)))));
Michael Schaffner51c61442019-10-01 15:49:10 -070014844 end
14845
Timothy Chena6f58292021-03-02 14:02:47 -080014846 assign mio_periph_insel_regwen_0_we = addr_hit[0] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014847 assign mio_periph_insel_regwen_0_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014848
Timothy Chena6f58292021-03-02 14:02:47 -080014849 assign mio_periph_insel_regwen_1_we = addr_hit[1] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014850 assign mio_periph_insel_regwen_1_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014851
Timothy Chena6f58292021-03-02 14:02:47 -080014852 assign mio_periph_insel_regwen_2_we = addr_hit[2] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014853 assign mio_periph_insel_regwen_2_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014854
Timothy Chena6f58292021-03-02 14:02:47 -080014855 assign mio_periph_insel_regwen_3_we = addr_hit[3] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014856 assign mio_periph_insel_regwen_3_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014857
Timothy Chena6f58292021-03-02 14:02:47 -080014858 assign mio_periph_insel_regwen_4_we = addr_hit[4] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014859 assign mio_periph_insel_regwen_4_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014860
Timothy Chena6f58292021-03-02 14:02:47 -080014861 assign mio_periph_insel_regwen_5_we = addr_hit[5] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014862 assign mio_periph_insel_regwen_5_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014863
Timothy Chena6f58292021-03-02 14:02:47 -080014864 assign mio_periph_insel_regwen_6_we = addr_hit[6] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014865 assign mio_periph_insel_regwen_6_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014866
Timothy Chena6f58292021-03-02 14:02:47 -080014867 assign mio_periph_insel_regwen_7_we = addr_hit[7] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014868 assign mio_periph_insel_regwen_7_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014869
Timothy Chena6f58292021-03-02 14:02:47 -080014870 assign mio_periph_insel_regwen_8_we = addr_hit[8] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014871 assign mio_periph_insel_regwen_8_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014872
Timothy Chena6f58292021-03-02 14:02:47 -080014873 assign mio_periph_insel_regwen_9_we = addr_hit[9] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014874 assign mio_periph_insel_regwen_9_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014875
Timothy Chena6f58292021-03-02 14:02:47 -080014876 assign mio_periph_insel_regwen_10_we = addr_hit[10] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014877 assign mio_periph_insel_regwen_10_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014878
Timothy Chena6f58292021-03-02 14:02:47 -080014879 assign mio_periph_insel_regwen_11_we = addr_hit[11] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014880 assign mio_periph_insel_regwen_11_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014881
Timothy Chena6f58292021-03-02 14:02:47 -080014882 assign mio_periph_insel_regwen_12_we = addr_hit[12] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014883 assign mio_periph_insel_regwen_12_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014884
Timothy Chena6f58292021-03-02 14:02:47 -080014885 assign mio_periph_insel_regwen_13_we = addr_hit[13] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014886 assign mio_periph_insel_regwen_13_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014887
Timothy Chena6f58292021-03-02 14:02:47 -080014888 assign mio_periph_insel_regwen_14_we = addr_hit[14] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014889 assign mio_periph_insel_regwen_14_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014890
Timothy Chena6f58292021-03-02 14:02:47 -080014891 assign mio_periph_insel_regwen_15_we = addr_hit[15] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014892 assign mio_periph_insel_regwen_15_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014893
Timothy Chena6f58292021-03-02 14:02:47 -080014894 assign mio_periph_insel_regwen_16_we = addr_hit[16] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014895 assign mio_periph_insel_regwen_16_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014896
Timothy Chena6f58292021-03-02 14:02:47 -080014897 assign mio_periph_insel_regwen_17_we = addr_hit[17] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014898 assign mio_periph_insel_regwen_17_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014899
Timothy Chena6f58292021-03-02 14:02:47 -080014900 assign mio_periph_insel_regwen_18_we = addr_hit[18] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014901 assign mio_periph_insel_regwen_18_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014902
Timothy Chena6f58292021-03-02 14:02:47 -080014903 assign mio_periph_insel_regwen_19_we = addr_hit[19] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014904 assign mio_periph_insel_regwen_19_wd = reg_wdata[0];
14905
Timothy Chena6f58292021-03-02 14:02:47 -080014906 assign mio_periph_insel_regwen_20_we = addr_hit[20] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014907 assign mio_periph_insel_regwen_20_wd = reg_wdata[0];
14908
Timothy Chena6f58292021-03-02 14:02:47 -080014909 assign mio_periph_insel_regwen_21_we = addr_hit[21] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014910 assign mio_periph_insel_regwen_21_wd = reg_wdata[0];
14911
Timothy Chena6f58292021-03-02 14:02:47 -080014912 assign mio_periph_insel_regwen_22_we = addr_hit[22] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014913 assign mio_periph_insel_regwen_22_wd = reg_wdata[0];
14914
Timothy Chena6f58292021-03-02 14:02:47 -080014915 assign mio_periph_insel_regwen_23_we = addr_hit[23] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014916 assign mio_periph_insel_regwen_23_wd = reg_wdata[0];
14917
Timothy Chena6f58292021-03-02 14:02:47 -080014918 assign mio_periph_insel_regwen_24_we = addr_hit[24] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014919 assign mio_periph_insel_regwen_24_wd = reg_wdata[0];
14920
Timothy Chena6f58292021-03-02 14:02:47 -080014921 assign mio_periph_insel_regwen_25_we = addr_hit[25] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014922 assign mio_periph_insel_regwen_25_wd = reg_wdata[0];
14923
Timothy Chena6f58292021-03-02 14:02:47 -080014924 assign mio_periph_insel_regwen_26_we = addr_hit[26] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014925 assign mio_periph_insel_regwen_26_wd = reg_wdata[0];
14926
Timothy Chena6f58292021-03-02 14:02:47 -080014927 assign mio_periph_insel_regwen_27_we = addr_hit[27] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014928 assign mio_periph_insel_regwen_27_wd = reg_wdata[0];
14929
Timothy Chena6f58292021-03-02 14:02:47 -080014930 assign mio_periph_insel_regwen_28_we = addr_hit[28] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014931 assign mio_periph_insel_regwen_28_wd = reg_wdata[0];
14932
Timothy Chena6f58292021-03-02 14:02:47 -080014933 assign mio_periph_insel_regwen_29_we = addr_hit[29] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014934 assign mio_periph_insel_regwen_29_wd = reg_wdata[0];
14935
Timothy Chena6f58292021-03-02 14:02:47 -080014936 assign mio_periph_insel_regwen_30_we = addr_hit[30] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014937 assign mio_periph_insel_regwen_30_wd = reg_wdata[0];
14938
Timothy Chena6f58292021-03-02 14:02:47 -080014939 assign mio_periph_insel_regwen_31_we = addr_hit[31] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014940 assign mio_periph_insel_regwen_31_wd = reg_wdata[0];
14941
Timothy Chena6f58292021-03-02 14:02:47 -080014942 assign mio_periph_insel_regwen_32_we = addr_hit[32] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014943 assign mio_periph_insel_regwen_32_wd = reg_wdata[0];
14944
Timothy Chena6f58292021-03-02 14:02:47 -080014945 assign mio_periph_insel_0_we = addr_hit[33] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014946 assign mio_periph_insel_0_wd = reg_wdata[5:0];
14947
Timothy Chena6f58292021-03-02 14:02:47 -080014948 assign mio_periph_insel_1_we = addr_hit[34] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014949 assign mio_periph_insel_1_wd = reg_wdata[5:0];
14950
Timothy Chena6f58292021-03-02 14:02:47 -080014951 assign mio_periph_insel_2_we = addr_hit[35] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014952 assign mio_periph_insel_2_wd = reg_wdata[5:0];
14953
Timothy Chena6f58292021-03-02 14:02:47 -080014954 assign mio_periph_insel_3_we = addr_hit[36] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014955 assign mio_periph_insel_3_wd = reg_wdata[5:0];
14956
Timothy Chena6f58292021-03-02 14:02:47 -080014957 assign mio_periph_insel_4_we = addr_hit[37] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014958 assign mio_periph_insel_4_wd = reg_wdata[5:0];
14959
Timothy Chena6f58292021-03-02 14:02:47 -080014960 assign mio_periph_insel_5_we = addr_hit[38] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014961 assign mio_periph_insel_5_wd = reg_wdata[5:0];
14962
Timothy Chena6f58292021-03-02 14:02:47 -080014963 assign mio_periph_insel_6_we = addr_hit[39] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014964 assign mio_periph_insel_6_wd = reg_wdata[5:0];
14965
Timothy Chena6f58292021-03-02 14:02:47 -080014966 assign mio_periph_insel_7_we = addr_hit[40] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014967 assign mio_periph_insel_7_wd = reg_wdata[5:0];
14968
Timothy Chena6f58292021-03-02 14:02:47 -080014969 assign mio_periph_insel_8_we = addr_hit[41] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014970 assign mio_periph_insel_8_wd = reg_wdata[5:0];
14971
Timothy Chena6f58292021-03-02 14:02:47 -080014972 assign mio_periph_insel_9_we = addr_hit[42] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014973 assign mio_periph_insel_9_wd = reg_wdata[5:0];
14974
Timothy Chena6f58292021-03-02 14:02:47 -080014975 assign mio_periph_insel_10_we = addr_hit[43] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014976 assign mio_periph_insel_10_wd = reg_wdata[5:0];
14977
Timothy Chena6f58292021-03-02 14:02:47 -080014978 assign mio_periph_insel_11_we = addr_hit[44] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014979 assign mio_periph_insel_11_wd = reg_wdata[5:0];
14980
Timothy Chena6f58292021-03-02 14:02:47 -080014981 assign mio_periph_insel_12_we = addr_hit[45] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014982 assign mio_periph_insel_12_wd = reg_wdata[5:0];
14983
Timothy Chena6f58292021-03-02 14:02:47 -080014984 assign mio_periph_insel_13_we = addr_hit[46] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014985 assign mio_periph_insel_13_wd = reg_wdata[5:0];
14986
Timothy Chena6f58292021-03-02 14:02:47 -080014987 assign mio_periph_insel_14_we = addr_hit[47] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014988 assign mio_periph_insel_14_wd = reg_wdata[5:0];
14989
Timothy Chena6f58292021-03-02 14:02:47 -080014990 assign mio_periph_insel_15_we = addr_hit[48] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014991 assign mio_periph_insel_15_wd = reg_wdata[5:0];
14992
Timothy Chena6f58292021-03-02 14:02:47 -080014993 assign mio_periph_insel_16_we = addr_hit[49] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014994 assign mio_periph_insel_16_wd = reg_wdata[5:0];
14995
Timothy Chena6f58292021-03-02 14:02:47 -080014996 assign mio_periph_insel_17_we = addr_hit[50] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014997 assign mio_periph_insel_17_wd = reg_wdata[5:0];
14998
Timothy Chena6f58292021-03-02 14:02:47 -080014999 assign mio_periph_insel_18_we = addr_hit[51] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015000 assign mio_periph_insel_18_wd = reg_wdata[5:0];
15001
Timothy Chena6f58292021-03-02 14:02:47 -080015002 assign mio_periph_insel_19_we = addr_hit[52] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015003 assign mio_periph_insel_19_wd = reg_wdata[5:0];
15004
Timothy Chena6f58292021-03-02 14:02:47 -080015005 assign mio_periph_insel_20_we = addr_hit[53] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015006 assign mio_periph_insel_20_wd = reg_wdata[5:0];
15007
Timothy Chena6f58292021-03-02 14:02:47 -080015008 assign mio_periph_insel_21_we = addr_hit[54] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015009 assign mio_periph_insel_21_wd = reg_wdata[5:0];
15010
Timothy Chena6f58292021-03-02 14:02:47 -080015011 assign mio_periph_insel_22_we = addr_hit[55] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015012 assign mio_periph_insel_22_wd = reg_wdata[5:0];
15013
Timothy Chena6f58292021-03-02 14:02:47 -080015014 assign mio_periph_insel_23_we = addr_hit[56] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015015 assign mio_periph_insel_23_wd = reg_wdata[5:0];
15016
Timothy Chena6f58292021-03-02 14:02:47 -080015017 assign mio_periph_insel_24_we = addr_hit[57] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015018 assign mio_periph_insel_24_wd = reg_wdata[5:0];
15019
Timothy Chena6f58292021-03-02 14:02:47 -080015020 assign mio_periph_insel_25_we = addr_hit[58] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015021 assign mio_periph_insel_25_wd = reg_wdata[5:0];
15022
Timothy Chena6f58292021-03-02 14:02:47 -080015023 assign mio_periph_insel_26_we = addr_hit[59] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015024 assign mio_periph_insel_26_wd = reg_wdata[5:0];
15025
Timothy Chena6f58292021-03-02 14:02:47 -080015026 assign mio_periph_insel_27_we = addr_hit[60] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015027 assign mio_periph_insel_27_wd = reg_wdata[5:0];
15028
Timothy Chena6f58292021-03-02 14:02:47 -080015029 assign mio_periph_insel_28_we = addr_hit[61] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015030 assign mio_periph_insel_28_wd = reg_wdata[5:0];
15031
Timothy Chena6f58292021-03-02 14:02:47 -080015032 assign mio_periph_insel_29_we = addr_hit[62] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015033 assign mio_periph_insel_29_wd = reg_wdata[5:0];
15034
Timothy Chena6f58292021-03-02 14:02:47 -080015035 assign mio_periph_insel_30_we = addr_hit[63] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015036 assign mio_periph_insel_30_wd = reg_wdata[5:0];
15037
Timothy Chena6f58292021-03-02 14:02:47 -080015038 assign mio_periph_insel_31_we = addr_hit[64] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015039 assign mio_periph_insel_31_wd = reg_wdata[5:0];
15040
Timothy Chena6f58292021-03-02 14:02:47 -080015041 assign mio_periph_insel_32_we = addr_hit[65] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015042 assign mio_periph_insel_32_wd = reg_wdata[5:0];
15043
Timothy Chena6f58292021-03-02 14:02:47 -080015044 assign mio_outsel_regwen_0_we = addr_hit[66] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015045 assign mio_outsel_regwen_0_wd = reg_wdata[0];
15046
Timothy Chena6f58292021-03-02 14:02:47 -080015047 assign mio_outsel_regwen_1_we = addr_hit[67] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015048 assign mio_outsel_regwen_1_wd = reg_wdata[0];
15049
Timothy Chena6f58292021-03-02 14:02:47 -080015050 assign mio_outsel_regwen_2_we = addr_hit[68] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015051 assign mio_outsel_regwen_2_wd = reg_wdata[0];
15052
Timothy Chena6f58292021-03-02 14:02:47 -080015053 assign mio_outsel_regwen_3_we = addr_hit[69] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015054 assign mio_outsel_regwen_3_wd = reg_wdata[0];
15055
Timothy Chena6f58292021-03-02 14:02:47 -080015056 assign mio_outsel_regwen_4_we = addr_hit[70] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015057 assign mio_outsel_regwen_4_wd = reg_wdata[0];
15058
Timothy Chena6f58292021-03-02 14:02:47 -080015059 assign mio_outsel_regwen_5_we = addr_hit[71] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015060 assign mio_outsel_regwen_5_wd = reg_wdata[0];
15061
Timothy Chena6f58292021-03-02 14:02:47 -080015062 assign mio_outsel_regwen_6_we = addr_hit[72] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015063 assign mio_outsel_regwen_6_wd = reg_wdata[0];
15064
Timothy Chena6f58292021-03-02 14:02:47 -080015065 assign mio_outsel_regwen_7_we = addr_hit[73] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015066 assign mio_outsel_regwen_7_wd = reg_wdata[0];
15067
Timothy Chena6f58292021-03-02 14:02:47 -080015068 assign mio_outsel_regwen_8_we = addr_hit[74] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015069 assign mio_outsel_regwen_8_wd = reg_wdata[0];
15070
Timothy Chena6f58292021-03-02 14:02:47 -080015071 assign mio_outsel_regwen_9_we = addr_hit[75] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015072 assign mio_outsel_regwen_9_wd = reg_wdata[0];
15073
Timothy Chena6f58292021-03-02 14:02:47 -080015074 assign mio_outsel_regwen_10_we = addr_hit[76] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015075 assign mio_outsel_regwen_10_wd = reg_wdata[0];
15076
Timothy Chena6f58292021-03-02 14:02:47 -080015077 assign mio_outsel_regwen_11_we = addr_hit[77] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015078 assign mio_outsel_regwen_11_wd = reg_wdata[0];
15079
Timothy Chena6f58292021-03-02 14:02:47 -080015080 assign mio_outsel_regwen_12_we = addr_hit[78] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015081 assign mio_outsel_regwen_12_wd = reg_wdata[0];
15082
Timothy Chena6f58292021-03-02 14:02:47 -080015083 assign mio_outsel_regwen_13_we = addr_hit[79] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015084 assign mio_outsel_regwen_13_wd = reg_wdata[0];
15085
Timothy Chena6f58292021-03-02 14:02:47 -080015086 assign mio_outsel_regwen_14_we = addr_hit[80] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015087 assign mio_outsel_regwen_14_wd = reg_wdata[0];
15088
Timothy Chena6f58292021-03-02 14:02:47 -080015089 assign mio_outsel_regwen_15_we = addr_hit[81] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015090 assign mio_outsel_regwen_15_wd = reg_wdata[0];
15091
Timothy Chena6f58292021-03-02 14:02:47 -080015092 assign mio_outsel_regwen_16_we = addr_hit[82] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015093 assign mio_outsel_regwen_16_wd = reg_wdata[0];
15094
Timothy Chena6f58292021-03-02 14:02:47 -080015095 assign mio_outsel_regwen_17_we = addr_hit[83] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015096 assign mio_outsel_regwen_17_wd = reg_wdata[0];
15097
Timothy Chena6f58292021-03-02 14:02:47 -080015098 assign mio_outsel_regwen_18_we = addr_hit[84] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015099 assign mio_outsel_regwen_18_wd = reg_wdata[0];
15100
Timothy Chena6f58292021-03-02 14:02:47 -080015101 assign mio_outsel_regwen_19_we = addr_hit[85] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015102 assign mio_outsel_regwen_19_wd = reg_wdata[0];
15103
Timothy Chena6f58292021-03-02 14:02:47 -080015104 assign mio_outsel_regwen_20_we = addr_hit[86] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015105 assign mio_outsel_regwen_20_wd = reg_wdata[0];
15106
Timothy Chena6f58292021-03-02 14:02:47 -080015107 assign mio_outsel_regwen_21_we = addr_hit[87] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015108 assign mio_outsel_regwen_21_wd = reg_wdata[0];
15109
Timothy Chena6f58292021-03-02 14:02:47 -080015110 assign mio_outsel_regwen_22_we = addr_hit[88] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015111 assign mio_outsel_regwen_22_wd = reg_wdata[0];
15112
Timothy Chena6f58292021-03-02 14:02:47 -080015113 assign mio_outsel_regwen_23_we = addr_hit[89] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015114 assign mio_outsel_regwen_23_wd = reg_wdata[0];
15115
Timothy Chena6f58292021-03-02 14:02:47 -080015116 assign mio_outsel_regwen_24_we = addr_hit[90] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015117 assign mio_outsel_regwen_24_wd = reg_wdata[0];
15118
Timothy Chena6f58292021-03-02 14:02:47 -080015119 assign mio_outsel_regwen_25_we = addr_hit[91] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015120 assign mio_outsel_regwen_25_wd = reg_wdata[0];
15121
Timothy Chena6f58292021-03-02 14:02:47 -080015122 assign mio_outsel_regwen_26_we = addr_hit[92] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015123 assign mio_outsel_regwen_26_wd = reg_wdata[0];
15124
Timothy Chena6f58292021-03-02 14:02:47 -080015125 assign mio_outsel_regwen_27_we = addr_hit[93] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015126 assign mio_outsel_regwen_27_wd = reg_wdata[0];
15127
Timothy Chena6f58292021-03-02 14:02:47 -080015128 assign mio_outsel_regwen_28_we = addr_hit[94] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015129 assign mio_outsel_regwen_28_wd = reg_wdata[0];
15130
Timothy Chena6f58292021-03-02 14:02:47 -080015131 assign mio_outsel_regwen_29_we = addr_hit[95] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015132 assign mio_outsel_regwen_29_wd = reg_wdata[0];
15133
Timothy Chena6f58292021-03-02 14:02:47 -080015134 assign mio_outsel_regwen_30_we = addr_hit[96] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015135 assign mio_outsel_regwen_30_wd = reg_wdata[0];
15136
Timothy Chena6f58292021-03-02 14:02:47 -080015137 assign mio_outsel_regwen_31_we = addr_hit[97] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015138 assign mio_outsel_regwen_31_wd = reg_wdata[0];
15139
Timothy Chena6f58292021-03-02 14:02:47 -080015140 assign mio_outsel_0_we = addr_hit[98] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015141 assign mio_outsel_0_wd = reg_wdata[5:0];
15142
Timothy Chena6f58292021-03-02 14:02:47 -080015143 assign mio_outsel_1_we = addr_hit[99] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015144 assign mio_outsel_1_wd = reg_wdata[5:0];
15145
Timothy Chena6f58292021-03-02 14:02:47 -080015146 assign mio_outsel_2_we = addr_hit[100] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015147 assign mio_outsel_2_wd = reg_wdata[5:0];
15148
Timothy Chena6f58292021-03-02 14:02:47 -080015149 assign mio_outsel_3_we = addr_hit[101] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015150 assign mio_outsel_3_wd = reg_wdata[5:0];
15151
Timothy Chena6f58292021-03-02 14:02:47 -080015152 assign mio_outsel_4_we = addr_hit[102] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015153 assign mio_outsel_4_wd = reg_wdata[5:0];
15154
Timothy Chena6f58292021-03-02 14:02:47 -080015155 assign mio_outsel_5_we = addr_hit[103] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015156 assign mio_outsel_5_wd = reg_wdata[5:0];
15157
Timothy Chena6f58292021-03-02 14:02:47 -080015158 assign mio_outsel_6_we = addr_hit[104] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015159 assign mio_outsel_6_wd = reg_wdata[5:0];
15160
Timothy Chena6f58292021-03-02 14:02:47 -080015161 assign mio_outsel_7_we = addr_hit[105] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015162 assign mio_outsel_7_wd = reg_wdata[5:0];
15163
Timothy Chena6f58292021-03-02 14:02:47 -080015164 assign mio_outsel_8_we = addr_hit[106] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015165 assign mio_outsel_8_wd = reg_wdata[5:0];
15166
Timothy Chena6f58292021-03-02 14:02:47 -080015167 assign mio_outsel_9_we = addr_hit[107] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015168 assign mio_outsel_9_wd = reg_wdata[5:0];
15169
Timothy Chena6f58292021-03-02 14:02:47 -080015170 assign mio_outsel_10_we = addr_hit[108] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015171 assign mio_outsel_10_wd = reg_wdata[5:0];
15172
Timothy Chena6f58292021-03-02 14:02:47 -080015173 assign mio_outsel_11_we = addr_hit[109] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015174 assign mio_outsel_11_wd = reg_wdata[5:0];
15175
Timothy Chena6f58292021-03-02 14:02:47 -080015176 assign mio_outsel_12_we = addr_hit[110] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015177 assign mio_outsel_12_wd = reg_wdata[5:0];
15178
Timothy Chena6f58292021-03-02 14:02:47 -080015179 assign mio_outsel_13_we = addr_hit[111] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015180 assign mio_outsel_13_wd = reg_wdata[5:0];
15181
Timothy Chena6f58292021-03-02 14:02:47 -080015182 assign mio_outsel_14_we = addr_hit[112] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015183 assign mio_outsel_14_wd = reg_wdata[5:0];
15184
Timothy Chena6f58292021-03-02 14:02:47 -080015185 assign mio_outsel_15_we = addr_hit[113] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015186 assign mio_outsel_15_wd = reg_wdata[5:0];
15187
Timothy Chena6f58292021-03-02 14:02:47 -080015188 assign mio_outsel_16_we = addr_hit[114] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015189 assign mio_outsel_16_wd = reg_wdata[5:0];
15190
Timothy Chena6f58292021-03-02 14:02:47 -080015191 assign mio_outsel_17_we = addr_hit[115] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015192 assign mio_outsel_17_wd = reg_wdata[5:0];
15193
Timothy Chena6f58292021-03-02 14:02:47 -080015194 assign mio_outsel_18_we = addr_hit[116] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015195 assign mio_outsel_18_wd = reg_wdata[5:0];
15196
Timothy Chena6f58292021-03-02 14:02:47 -080015197 assign mio_outsel_19_we = addr_hit[117] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015198 assign mio_outsel_19_wd = reg_wdata[5:0];
15199
Timothy Chena6f58292021-03-02 14:02:47 -080015200 assign mio_outsel_20_we = addr_hit[118] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015201 assign mio_outsel_20_wd = reg_wdata[5:0];
15202
Timothy Chena6f58292021-03-02 14:02:47 -080015203 assign mio_outsel_21_we = addr_hit[119] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015204 assign mio_outsel_21_wd = reg_wdata[5:0];
15205
Timothy Chena6f58292021-03-02 14:02:47 -080015206 assign mio_outsel_22_we = addr_hit[120] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015207 assign mio_outsel_22_wd = reg_wdata[5:0];
15208
Timothy Chena6f58292021-03-02 14:02:47 -080015209 assign mio_outsel_23_we = addr_hit[121] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015210 assign mio_outsel_23_wd = reg_wdata[5:0];
15211
Timothy Chena6f58292021-03-02 14:02:47 -080015212 assign mio_outsel_24_we = addr_hit[122] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015213 assign mio_outsel_24_wd = reg_wdata[5:0];
15214
Timothy Chena6f58292021-03-02 14:02:47 -080015215 assign mio_outsel_25_we = addr_hit[123] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015216 assign mio_outsel_25_wd = reg_wdata[5:0];
15217
Timothy Chena6f58292021-03-02 14:02:47 -080015218 assign mio_outsel_26_we = addr_hit[124] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015219 assign mio_outsel_26_wd = reg_wdata[5:0];
15220
Timothy Chena6f58292021-03-02 14:02:47 -080015221 assign mio_outsel_27_we = addr_hit[125] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015222 assign mio_outsel_27_wd = reg_wdata[5:0];
15223
Timothy Chena6f58292021-03-02 14:02:47 -080015224 assign mio_outsel_28_we = addr_hit[126] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015225 assign mio_outsel_28_wd = reg_wdata[5:0];
15226
Timothy Chena6f58292021-03-02 14:02:47 -080015227 assign mio_outsel_29_we = addr_hit[127] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015228 assign mio_outsel_29_wd = reg_wdata[5:0];
15229
Timothy Chena6f58292021-03-02 14:02:47 -080015230 assign mio_outsel_30_we = addr_hit[128] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015231 assign mio_outsel_30_wd = reg_wdata[5:0];
15232
Timothy Chena6f58292021-03-02 14:02:47 -080015233 assign mio_outsel_31_we = addr_hit[129] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080015234 assign mio_outsel_31_wd = reg_wdata[5:0];
15235
Timothy Chena6f58292021-03-02 14:02:47 -080015236 assign mio_pad_attr_regwen_0_we = addr_hit[130] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015237 assign mio_pad_attr_regwen_0_wd = reg_wdata[0];
15238
Timothy Chena6f58292021-03-02 14:02:47 -080015239 assign mio_pad_attr_regwen_1_we = addr_hit[131] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015240 assign mio_pad_attr_regwen_1_wd = reg_wdata[0];
15241
Timothy Chena6f58292021-03-02 14:02:47 -080015242 assign mio_pad_attr_regwen_2_we = addr_hit[132] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015243 assign mio_pad_attr_regwen_2_wd = reg_wdata[0];
15244
Timothy Chena6f58292021-03-02 14:02:47 -080015245 assign mio_pad_attr_regwen_3_we = addr_hit[133] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015246 assign mio_pad_attr_regwen_3_wd = reg_wdata[0];
15247
Timothy Chena6f58292021-03-02 14:02:47 -080015248 assign mio_pad_attr_regwen_4_we = addr_hit[134] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015249 assign mio_pad_attr_regwen_4_wd = reg_wdata[0];
15250
Timothy Chena6f58292021-03-02 14:02:47 -080015251 assign mio_pad_attr_regwen_5_we = addr_hit[135] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015252 assign mio_pad_attr_regwen_5_wd = reg_wdata[0];
15253
Timothy Chena6f58292021-03-02 14:02:47 -080015254 assign mio_pad_attr_regwen_6_we = addr_hit[136] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015255 assign mio_pad_attr_regwen_6_wd = reg_wdata[0];
15256
Timothy Chena6f58292021-03-02 14:02:47 -080015257 assign mio_pad_attr_regwen_7_we = addr_hit[137] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015258 assign mio_pad_attr_regwen_7_wd = reg_wdata[0];
15259
Timothy Chena6f58292021-03-02 14:02:47 -080015260 assign mio_pad_attr_regwen_8_we = addr_hit[138] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015261 assign mio_pad_attr_regwen_8_wd = reg_wdata[0];
15262
Timothy Chena6f58292021-03-02 14:02:47 -080015263 assign mio_pad_attr_regwen_9_we = addr_hit[139] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015264 assign mio_pad_attr_regwen_9_wd = reg_wdata[0];
15265
Timothy Chena6f58292021-03-02 14:02:47 -080015266 assign mio_pad_attr_regwen_10_we = addr_hit[140] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015267 assign mio_pad_attr_regwen_10_wd = reg_wdata[0];
15268
Timothy Chena6f58292021-03-02 14:02:47 -080015269 assign mio_pad_attr_regwen_11_we = addr_hit[141] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015270 assign mio_pad_attr_regwen_11_wd = reg_wdata[0];
15271
Timothy Chena6f58292021-03-02 14:02:47 -080015272 assign mio_pad_attr_regwen_12_we = addr_hit[142] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015273 assign mio_pad_attr_regwen_12_wd = reg_wdata[0];
15274
Timothy Chena6f58292021-03-02 14:02:47 -080015275 assign mio_pad_attr_regwen_13_we = addr_hit[143] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015276 assign mio_pad_attr_regwen_13_wd = reg_wdata[0];
15277
Timothy Chena6f58292021-03-02 14:02:47 -080015278 assign mio_pad_attr_regwen_14_we = addr_hit[144] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015279 assign mio_pad_attr_regwen_14_wd = reg_wdata[0];
15280
Timothy Chena6f58292021-03-02 14:02:47 -080015281 assign mio_pad_attr_regwen_15_we = addr_hit[145] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015282 assign mio_pad_attr_regwen_15_wd = reg_wdata[0];
15283
Timothy Chena6f58292021-03-02 14:02:47 -080015284 assign mio_pad_attr_regwen_16_we = addr_hit[146] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015285 assign mio_pad_attr_regwen_16_wd = reg_wdata[0];
15286
Timothy Chena6f58292021-03-02 14:02:47 -080015287 assign mio_pad_attr_regwen_17_we = addr_hit[147] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015288 assign mio_pad_attr_regwen_17_wd = reg_wdata[0];
15289
Timothy Chena6f58292021-03-02 14:02:47 -080015290 assign mio_pad_attr_regwen_18_we = addr_hit[148] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015291 assign mio_pad_attr_regwen_18_wd = reg_wdata[0];
15292
Timothy Chena6f58292021-03-02 14:02:47 -080015293 assign mio_pad_attr_regwen_19_we = addr_hit[149] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015294 assign mio_pad_attr_regwen_19_wd = reg_wdata[0];
15295
Timothy Chena6f58292021-03-02 14:02:47 -080015296 assign mio_pad_attr_regwen_20_we = addr_hit[150] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015297 assign mio_pad_attr_regwen_20_wd = reg_wdata[0];
15298
Timothy Chena6f58292021-03-02 14:02:47 -080015299 assign mio_pad_attr_regwen_21_we = addr_hit[151] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015300 assign mio_pad_attr_regwen_21_wd = reg_wdata[0];
15301
Timothy Chena6f58292021-03-02 14:02:47 -080015302 assign mio_pad_attr_regwen_22_we = addr_hit[152] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015303 assign mio_pad_attr_regwen_22_wd = reg_wdata[0];
15304
Timothy Chena6f58292021-03-02 14:02:47 -080015305 assign mio_pad_attr_regwen_23_we = addr_hit[153] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015306 assign mio_pad_attr_regwen_23_wd = reg_wdata[0];
15307
Timothy Chena6f58292021-03-02 14:02:47 -080015308 assign mio_pad_attr_regwen_24_we = addr_hit[154] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015309 assign mio_pad_attr_regwen_24_wd = reg_wdata[0];
15310
Timothy Chena6f58292021-03-02 14:02:47 -080015311 assign mio_pad_attr_regwen_25_we = addr_hit[155] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015312 assign mio_pad_attr_regwen_25_wd = reg_wdata[0];
15313
Timothy Chena6f58292021-03-02 14:02:47 -080015314 assign mio_pad_attr_regwen_26_we = addr_hit[156] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015315 assign mio_pad_attr_regwen_26_wd = reg_wdata[0];
15316
Timothy Chena6f58292021-03-02 14:02:47 -080015317 assign mio_pad_attr_regwen_27_we = addr_hit[157] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015318 assign mio_pad_attr_regwen_27_wd = reg_wdata[0];
15319
Timothy Chena6f58292021-03-02 14:02:47 -080015320 assign mio_pad_attr_regwen_28_we = addr_hit[158] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015321 assign mio_pad_attr_regwen_28_wd = reg_wdata[0];
15322
Timothy Chena6f58292021-03-02 14:02:47 -080015323 assign mio_pad_attr_regwen_29_we = addr_hit[159] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015324 assign mio_pad_attr_regwen_29_wd = reg_wdata[0];
15325
Timothy Chena6f58292021-03-02 14:02:47 -080015326 assign mio_pad_attr_regwen_30_we = addr_hit[160] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015327 assign mio_pad_attr_regwen_30_wd = reg_wdata[0];
15328
Timothy Chena6f58292021-03-02 14:02:47 -080015329 assign mio_pad_attr_regwen_31_we = addr_hit[161] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015330 assign mio_pad_attr_regwen_31_wd = reg_wdata[0];
15331
Timothy Chena6f58292021-03-02 14:02:47 -080015332 assign mio_pad_attr_0_we = addr_hit[162] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015333 assign mio_pad_attr_0_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015334 assign mio_pad_attr_0_re = addr_hit[162] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015335
Timothy Chena6f58292021-03-02 14:02:47 -080015336 assign mio_pad_attr_1_we = addr_hit[163] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015337 assign mio_pad_attr_1_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015338 assign mio_pad_attr_1_re = addr_hit[163] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015339
Timothy Chena6f58292021-03-02 14:02:47 -080015340 assign mio_pad_attr_2_we = addr_hit[164] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015341 assign mio_pad_attr_2_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015342 assign mio_pad_attr_2_re = addr_hit[164] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015343
Timothy Chena6f58292021-03-02 14:02:47 -080015344 assign mio_pad_attr_3_we = addr_hit[165] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015345 assign mio_pad_attr_3_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015346 assign mio_pad_attr_3_re = addr_hit[165] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015347
Timothy Chena6f58292021-03-02 14:02:47 -080015348 assign mio_pad_attr_4_we = addr_hit[166] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015349 assign mio_pad_attr_4_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015350 assign mio_pad_attr_4_re = addr_hit[166] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015351
Timothy Chena6f58292021-03-02 14:02:47 -080015352 assign mio_pad_attr_5_we = addr_hit[167] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015353 assign mio_pad_attr_5_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015354 assign mio_pad_attr_5_re = addr_hit[167] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015355
Timothy Chena6f58292021-03-02 14:02:47 -080015356 assign mio_pad_attr_6_we = addr_hit[168] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015357 assign mio_pad_attr_6_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015358 assign mio_pad_attr_6_re = addr_hit[168] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015359
Timothy Chena6f58292021-03-02 14:02:47 -080015360 assign mio_pad_attr_7_we = addr_hit[169] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015361 assign mio_pad_attr_7_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015362 assign mio_pad_attr_7_re = addr_hit[169] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015363
Timothy Chena6f58292021-03-02 14:02:47 -080015364 assign mio_pad_attr_8_we = addr_hit[170] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015365 assign mio_pad_attr_8_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015366 assign mio_pad_attr_8_re = addr_hit[170] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015367
Timothy Chena6f58292021-03-02 14:02:47 -080015368 assign mio_pad_attr_9_we = addr_hit[171] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015369 assign mio_pad_attr_9_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015370 assign mio_pad_attr_9_re = addr_hit[171] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015371
Timothy Chena6f58292021-03-02 14:02:47 -080015372 assign mio_pad_attr_10_we = addr_hit[172] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015373 assign mio_pad_attr_10_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015374 assign mio_pad_attr_10_re = addr_hit[172] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015375
Timothy Chena6f58292021-03-02 14:02:47 -080015376 assign mio_pad_attr_11_we = addr_hit[173] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015377 assign mio_pad_attr_11_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015378 assign mio_pad_attr_11_re = addr_hit[173] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015379
Timothy Chena6f58292021-03-02 14:02:47 -080015380 assign mio_pad_attr_12_we = addr_hit[174] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015381 assign mio_pad_attr_12_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015382 assign mio_pad_attr_12_re = addr_hit[174] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015383
Timothy Chena6f58292021-03-02 14:02:47 -080015384 assign mio_pad_attr_13_we = addr_hit[175] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015385 assign mio_pad_attr_13_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015386 assign mio_pad_attr_13_re = addr_hit[175] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015387
Timothy Chena6f58292021-03-02 14:02:47 -080015388 assign mio_pad_attr_14_we = addr_hit[176] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015389 assign mio_pad_attr_14_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015390 assign mio_pad_attr_14_re = addr_hit[176] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015391
Timothy Chena6f58292021-03-02 14:02:47 -080015392 assign mio_pad_attr_15_we = addr_hit[177] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015393 assign mio_pad_attr_15_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015394 assign mio_pad_attr_15_re = addr_hit[177] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015395
Timothy Chena6f58292021-03-02 14:02:47 -080015396 assign mio_pad_attr_16_we = addr_hit[178] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015397 assign mio_pad_attr_16_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015398 assign mio_pad_attr_16_re = addr_hit[178] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015399
Timothy Chena6f58292021-03-02 14:02:47 -080015400 assign mio_pad_attr_17_we = addr_hit[179] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015401 assign mio_pad_attr_17_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015402 assign mio_pad_attr_17_re = addr_hit[179] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015403
Timothy Chena6f58292021-03-02 14:02:47 -080015404 assign mio_pad_attr_18_we = addr_hit[180] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015405 assign mio_pad_attr_18_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015406 assign mio_pad_attr_18_re = addr_hit[180] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015407
Timothy Chena6f58292021-03-02 14:02:47 -080015408 assign mio_pad_attr_19_we = addr_hit[181] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015409 assign mio_pad_attr_19_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015410 assign mio_pad_attr_19_re = addr_hit[181] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015411
Timothy Chena6f58292021-03-02 14:02:47 -080015412 assign mio_pad_attr_20_we = addr_hit[182] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015413 assign mio_pad_attr_20_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015414 assign mio_pad_attr_20_re = addr_hit[182] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015415
Timothy Chena6f58292021-03-02 14:02:47 -080015416 assign mio_pad_attr_21_we = addr_hit[183] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015417 assign mio_pad_attr_21_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015418 assign mio_pad_attr_21_re = addr_hit[183] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015419
Timothy Chena6f58292021-03-02 14:02:47 -080015420 assign mio_pad_attr_22_we = addr_hit[184] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015421 assign mio_pad_attr_22_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015422 assign mio_pad_attr_22_re = addr_hit[184] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015423
Timothy Chena6f58292021-03-02 14:02:47 -080015424 assign mio_pad_attr_23_we = addr_hit[185] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015425 assign mio_pad_attr_23_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015426 assign mio_pad_attr_23_re = addr_hit[185] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015427
Timothy Chena6f58292021-03-02 14:02:47 -080015428 assign mio_pad_attr_24_we = addr_hit[186] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015429 assign mio_pad_attr_24_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015430 assign mio_pad_attr_24_re = addr_hit[186] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015431
Timothy Chena6f58292021-03-02 14:02:47 -080015432 assign mio_pad_attr_25_we = addr_hit[187] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015433 assign mio_pad_attr_25_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015434 assign mio_pad_attr_25_re = addr_hit[187] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015435
Timothy Chena6f58292021-03-02 14:02:47 -080015436 assign mio_pad_attr_26_we = addr_hit[188] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015437 assign mio_pad_attr_26_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015438 assign mio_pad_attr_26_re = addr_hit[188] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015439
Timothy Chena6f58292021-03-02 14:02:47 -080015440 assign mio_pad_attr_27_we = addr_hit[189] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015441 assign mio_pad_attr_27_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015442 assign mio_pad_attr_27_re = addr_hit[189] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015443
Timothy Chena6f58292021-03-02 14:02:47 -080015444 assign mio_pad_attr_28_we = addr_hit[190] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015445 assign mio_pad_attr_28_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015446 assign mio_pad_attr_28_re = addr_hit[190] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015447
Timothy Chena6f58292021-03-02 14:02:47 -080015448 assign mio_pad_attr_29_we = addr_hit[191] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015449 assign mio_pad_attr_29_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015450 assign mio_pad_attr_29_re = addr_hit[191] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015451
Timothy Chena6f58292021-03-02 14:02:47 -080015452 assign mio_pad_attr_30_we = addr_hit[192] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015453 assign mio_pad_attr_30_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015454 assign mio_pad_attr_30_re = addr_hit[192] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015455
Timothy Chena6f58292021-03-02 14:02:47 -080015456 assign mio_pad_attr_31_we = addr_hit[193] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015457 assign mio_pad_attr_31_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015458 assign mio_pad_attr_31_re = addr_hit[193] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015459
Timothy Chena6f58292021-03-02 14:02:47 -080015460 assign dio_pad_attr_regwen_0_we = addr_hit[194] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015461 assign dio_pad_attr_regwen_0_wd = reg_wdata[0];
15462
Timothy Chena6f58292021-03-02 14:02:47 -080015463 assign dio_pad_attr_regwen_1_we = addr_hit[195] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015464 assign dio_pad_attr_regwen_1_wd = reg_wdata[0];
15465
Timothy Chena6f58292021-03-02 14:02:47 -080015466 assign dio_pad_attr_regwen_2_we = addr_hit[196] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015467 assign dio_pad_attr_regwen_2_wd = reg_wdata[0];
15468
Timothy Chena6f58292021-03-02 14:02:47 -080015469 assign dio_pad_attr_regwen_3_we = addr_hit[197] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015470 assign dio_pad_attr_regwen_3_wd = reg_wdata[0];
15471
Timothy Chena6f58292021-03-02 14:02:47 -080015472 assign dio_pad_attr_regwen_4_we = addr_hit[198] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015473 assign dio_pad_attr_regwen_4_wd = reg_wdata[0];
15474
Timothy Chena6f58292021-03-02 14:02:47 -080015475 assign dio_pad_attr_regwen_5_we = addr_hit[199] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015476 assign dio_pad_attr_regwen_5_wd = reg_wdata[0];
15477
Timothy Chena6f58292021-03-02 14:02:47 -080015478 assign dio_pad_attr_regwen_6_we = addr_hit[200] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015479 assign dio_pad_attr_regwen_6_wd = reg_wdata[0];
15480
Timothy Chena6f58292021-03-02 14:02:47 -080015481 assign dio_pad_attr_regwen_7_we = addr_hit[201] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015482 assign dio_pad_attr_regwen_7_wd = reg_wdata[0];
15483
Timothy Chena6f58292021-03-02 14:02:47 -080015484 assign dio_pad_attr_regwen_8_we = addr_hit[202] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015485 assign dio_pad_attr_regwen_8_wd = reg_wdata[0];
15486
Timothy Chena6f58292021-03-02 14:02:47 -080015487 assign dio_pad_attr_regwen_9_we = addr_hit[203] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015488 assign dio_pad_attr_regwen_9_wd = reg_wdata[0];
15489
Timothy Chena6f58292021-03-02 14:02:47 -080015490 assign dio_pad_attr_regwen_10_we = addr_hit[204] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015491 assign dio_pad_attr_regwen_10_wd = reg_wdata[0];
15492
Timothy Chena6f58292021-03-02 14:02:47 -080015493 assign dio_pad_attr_regwen_11_we = addr_hit[205] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015494 assign dio_pad_attr_regwen_11_wd = reg_wdata[0];
15495
Timothy Chena6f58292021-03-02 14:02:47 -080015496 assign dio_pad_attr_regwen_12_we = addr_hit[206] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015497 assign dio_pad_attr_regwen_12_wd = reg_wdata[0];
15498
Timothy Chena6f58292021-03-02 14:02:47 -080015499 assign dio_pad_attr_regwen_13_we = addr_hit[207] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015500 assign dio_pad_attr_regwen_13_wd = reg_wdata[0];
15501
Timothy Chena6f58292021-03-02 14:02:47 -080015502 assign dio_pad_attr_regwen_14_we = addr_hit[208] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015503 assign dio_pad_attr_regwen_14_wd = reg_wdata[0];
15504
Timothy Chena6f58292021-03-02 14:02:47 -080015505 assign dio_pad_attr_regwen_15_we = addr_hit[209] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015506 assign dio_pad_attr_regwen_15_wd = reg_wdata[0];
15507
Timothy Chena6f58292021-03-02 14:02:47 -080015508 assign dio_pad_attr_0_we = addr_hit[210] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015509 assign dio_pad_attr_0_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015510 assign dio_pad_attr_0_re = addr_hit[210] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015511
Timothy Chena6f58292021-03-02 14:02:47 -080015512 assign dio_pad_attr_1_we = addr_hit[211] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015513 assign dio_pad_attr_1_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015514 assign dio_pad_attr_1_re = addr_hit[211] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015515
Timothy Chena6f58292021-03-02 14:02:47 -080015516 assign dio_pad_attr_2_we = addr_hit[212] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015517 assign dio_pad_attr_2_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015518 assign dio_pad_attr_2_re = addr_hit[212] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015519
Timothy Chena6f58292021-03-02 14:02:47 -080015520 assign dio_pad_attr_3_we = addr_hit[213] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015521 assign dio_pad_attr_3_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015522 assign dio_pad_attr_3_re = addr_hit[213] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015523
Timothy Chena6f58292021-03-02 14:02:47 -080015524 assign dio_pad_attr_4_we = addr_hit[214] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015525 assign dio_pad_attr_4_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015526 assign dio_pad_attr_4_re = addr_hit[214] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015527
Timothy Chena6f58292021-03-02 14:02:47 -080015528 assign dio_pad_attr_5_we = addr_hit[215] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015529 assign dio_pad_attr_5_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015530 assign dio_pad_attr_5_re = addr_hit[215] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015531
Timothy Chena6f58292021-03-02 14:02:47 -080015532 assign dio_pad_attr_6_we = addr_hit[216] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015533 assign dio_pad_attr_6_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015534 assign dio_pad_attr_6_re = addr_hit[216] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015535
Timothy Chena6f58292021-03-02 14:02:47 -080015536 assign dio_pad_attr_7_we = addr_hit[217] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015537 assign dio_pad_attr_7_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015538 assign dio_pad_attr_7_re = addr_hit[217] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015539
Timothy Chena6f58292021-03-02 14:02:47 -080015540 assign dio_pad_attr_8_we = addr_hit[218] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015541 assign dio_pad_attr_8_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015542 assign dio_pad_attr_8_re = addr_hit[218] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015543
Timothy Chena6f58292021-03-02 14:02:47 -080015544 assign dio_pad_attr_9_we = addr_hit[219] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015545 assign dio_pad_attr_9_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015546 assign dio_pad_attr_9_re = addr_hit[219] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015547
Timothy Chena6f58292021-03-02 14:02:47 -080015548 assign dio_pad_attr_10_we = addr_hit[220] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015549 assign dio_pad_attr_10_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015550 assign dio_pad_attr_10_re = addr_hit[220] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015551
Timothy Chena6f58292021-03-02 14:02:47 -080015552 assign dio_pad_attr_11_we = addr_hit[221] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015553 assign dio_pad_attr_11_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015554 assign dio_pad_attr_11_re = addr_hit[221] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015555
Timothy Chena6f58292021-03-02 14:02:47 -080015556 assign dio_pad_attr_12_we = addr_hit[222] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015557 assign dio_pad_attr_12_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015558 assign dio_pad_attr_12_re = addr_hit[222] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015559
Timothy Chena6f58292021-03-02 14:02:47 -080015560 assign dio_pad_attr_13_we = addr_hit[223] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015561 assign dio_pad_attr_13_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015562 assign dio_pad_attr_13_re = addr_hit[223] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015563
Timothy Chena6f58292021-03-02 14:02:47 -080015564 assign dio_pad_attr_14_we = addr_hit[224] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015565 assign dio_pad_attr_14_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015566 assign dio_pad_attr_14_re = addr_hit[224] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015567
Timothy Chena6f58292021-03-02 14:02:47 -080015568 assign dio_pad_attr_15_we = addr_hit[225] & reg_we & !reg_error;
Michael Schaffner6766d262021-04-08 18:23:58 -070015569 assign dio_pad_attr_15_wd = reg_wdata[12:0];
Timothy Chena6f58292021-03-02 14:02:47 -080015570 assign dio_pad_attr_15_re = addr_hit[225] & reg_re & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015571
Timothy Chena6f58292021-03-02 14:02:47 -080015572 assign mio_pad_sleep_status_en_0_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015573 assign mio_pad_sleep_status_en_0_wd = reg_wdata[0];
15574
Timothy Chena6f58292021-03-02 14:02:47 -080015575 assign mio_pad_sleep_status_en_1_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015576 assign mio_pad_sleep_status_en_1_wd = reg_wdata[1];
15577
Timothy Chena6f58292021-03-02 14:02:47 -080015578 assign mio_pad_sleep_status_en_2_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015579 assign mio_pad_sleep_status_en_2_wd = reg_wdata[2];
15580
Timothy Chena6f58292021-03-02 14:02:47 -080015581 assign mio_pad_sleep_status_en_3_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015582 assign mio_pad_sleep_status_en_3_wd = reg_wdata[3];
15583
Timothy Chena6f58292021-03-02 14:02:47 -080015584 assign mio_pad_sleep_status_en_4_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015585 assign mio_pad_sleep_status_en_4_wd = reg_wdata[4];
15586
Timothy Chena6f58292021-03-02 14:02:47 -080015587 assign mio_pad_sleep_status_en_5_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015588 assign mio_pad_sleep_status_en_5_wd = reg_wdata[5];
15589
Timothy Chena6f58292021-03-02 14:02:47 -080015590 assign mio_pad_sleep_status_en_6_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015591 assign mio_pad_sleep_status_en_6_wd = reg_wdata[6];
15592
Timothy Chena6f58292021-03-02 14:02:47 -080015593 assign mio_pad_sleep_status_en_7_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015594 assign mio_pad_sleep_status_en_7_wd = reg_wdata[7];
15595
Timothy Chena6f58292021-03-02 14:02:47 -080015596 assign mio_pad_sleep_status_en_8_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015597 assign mio_pad_sleep_status_en_8_wd = reg_wdata[8];
15598
Timothy Chena6f58292021-03-02 14:02:47 -080015599 assign mio_pad_sleep_status_en_9_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015600 assign mio_pad_sleep_status_en_9_wd = reg_wdata[9];
15601
Timothy Chena6f58292021-03-02 14:02:47 -080015602 assign mio_pad_sleep_status_en_10_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015603 assign mio_pad_sleep_status_en_10_wd = reg_wdata[10];
15604
Timothy Chena6f58292021-03-02 14:02:47 -080015605 assign mio_pad_sleep_status_en_11_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015606 assign mio_pad_sleep_status_en_11_wd = reg_wdata[11];
15607
Timothy Chena6f58292021-03-02 14:02:47 -080015608 assign mio_pad_sleep_status_en_12_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015609 assign mio_pad_sleep_status_en_12_wd = reg_wdata[12];
15610
Timothy Chena6f58292021-03-02 14:02:47 -080015611 assign mio_pad_sleep_status_en_13_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015612 assign mio_pad_sleep_status_en_13_wd = reg_wdata[13];
15613
Timothy Chena6f58292021-03-02 14:02:47 -080015614 assign mio_pad_sleep_status_en_14_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015615 assign mio_pad_sleep_status_en_14_wd = reg_wdata[14];
15616
Timothy Chena6f58292021-03-02 14:02:47 -080015617 assign mio_pad_sleep_status_en_15_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015618 assign mio_pad_sleep_status_en_15_wd = reg_wdata[15];
15619
Timothy Chena6f58292021-03-02 14:02:47 -080015620 assign mio_pad_sleep_status_en_16_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015621 assign mio_pad_sleep_status_en_16_wd = reg_wdata[16];
15622
Timothy Chena6f58292021-03-02 14:02:47 -080015623 assign mio_pad_sleep_status_en_17_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015624 assign mio_pad_sleep_status_en_17_wd = reg_wdata[17];
15625
Timothy Chena6f58292021-03-02 14:02:47 -080015626 assign mio_pad_sleep_status_en_18_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015627 assign mio_pad_sleep_status_en_18_wd = reg_wdata[18];
15628
Timothy Chena6f58292021-03-02 14:02:47 -080015629 assign mio_pad_sleep_status_en_19_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015630 assign mio_pad_sleep_status_en_19_wd = reg_wdata[19];
15631
Timothy Chena6f58292021-03-02 14:02:47 -080015632 assign mio_pad_sleep_status_en_20_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015633 assign mio_pad_sleep_status_en_20_wd = reg_wdata[20];
15634
Timothy Chena6f58292021-03-02 14:02:47 -080015635 assign mio_pad_sleep_status_en_21_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015636 assign mio_pad_sleep_status_en_21_wd = reg_wdata[21];
15637
Timothy Chena6f58292021-03-02 14:02:47 -080015638 assign mio_pad_sleep_status_en_22_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015639 assign mio_pad_sleep_status_en_22_wd = reg_wdata[22];
15640
Timothy Chena6f58292021-03-02 14:02:47 -080015641 assign mio_pad_sleep_status_en_23_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015642 assign mio_pad_sleep_status_en_23_wd = reg_wdata[23];
15643
Timothy Chena6f58292021-03-02 14:02:47 -080015644 assign mio_pad_sleep_status_en_24_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015645 assign mio_pad_sleep_status_en_24_wd = reg_wdata[24];
15646
Timothy Chena6f58292021-03-02 14:02:47 -080015647 assign mio_pad_sleep_status_en_25_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015648 assign mio_pad_sleep_status_en_25_wd = reg_wdata[25];
15649
Timothy Chena6f58292021-03-02 14:02:47 -080015650 assign mio_pad_sleep_status_en_26_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015651 assign mio_pad_sleep_status_en_26_wd = reg_wdata[26];
15652
Timothy Chena6f58292021-03-02 14:02:47 -080015653 assign mio_pad_sleep_status_en_27_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015654 assign mio_pad_sleep_status_en_27_wd = reg_wdata[27];
15655
Timothy Chena6f58292021-03-02 14:02:47 -080015656 assign mio_pad_sleep_status_en_28_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015657 assign mio_pad_sleep_status_en_28_wd = reg_wdata[28];
15658
Timothy Chena6f58292021-03-02 14:02:47 -080015659 assign mio_pad_sleep_status_en_29_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015660 assign mio_pad_sleep_status_en_29_wd = reg_wdata[29];
15661
Timothy Chena6f58292021-03-02 14:02:47 -080015662 assign mio_pad_sleep_status_en_30_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015663 assign mio_pad_sleep_status_en_30_wd = reg_wdata[30];
15664
Timothy Chena6f58292021-03-02 14:02:47 -080015665 assign mio_pad_sleep_status_en_31_we = addr_hit[226] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015666 assign mio_pad_sleep_status_en_31_wd = reg_wdata[31];
15667
Timothy Chena6f58292021-03-02 14:02:47 -080015668 assign mio_pad_sleep_regwen_0_we = addr_hit[227] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015669 assign mio_pad_sleep_regwen_0_wd = reg_wdata[0];
15670
Timothy Chena6f58292021-03-02 14:02:47 -080015671 assign mio_pad_sleep_regwen_1_we = addr_hit[228] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015672 assign mio_pad_sleep_regwen_1_wd = reg_wdata[0];
15673
Timothy Chena6f58292021-03-02 14:02:47 -080015674 assign mio_pad_sleep_regwen_2_we = addr_hit[229] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015675 assign mio_pad_sleep_regwen_2_wd = reg_wdata[0];
15676
Timothy Chena6f58292021-03-02 14:02:47 -080015677 assign mio_pad_sleep_regwen_3_we = addr_hit[230] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015678 assign mio_pad_sleep_regwen_3_wd = reg_wdata[0];
15679
Timothy Chena6f58292021-03-02 14:02:47 -080015680 assign mio_pad_sleep_regwen_4_we = addr_hit[231] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015681 assign mio_pad_sleep_regwen_4_wd = reg_wdata[0];
15682
Timothy Chena6f58292021-03-02 14:02:47 -080015683 assign mio_pad_sleep_regwen_5_we = addr_hit[232] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015684 assign mio_pad_sleep_regwen_5_wd = reg_wdata[0];
15685
Timothy Chena6f58292021-03-02 14:02:47 -080015686 assign mio_pad_sleep_regwen_6_we = addr_hit[233] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015687 assign mio_pad_sleep_regwen_6_wd = reg_wdata[0];
15688
Timothy Chena6f58292021-03-02 14:02:47 -080015689 assign mio_pad_sleep_regwen_7_we = addr_hit[234] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015690 assign mio_pad_sleep_regwen_7_wd = reg_wdata[0];
15691
Timothy Chena6f58292021-03-02 14:02:47 -080015692 assign mio_pad_sleep_regwen_8_we = addr_hit[235] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015693 assign mio_pad_sleep_regwen_8_wd = reg_wdata[0];
15694
Timothy Chena6f58292021-03-02 14:02:47 -080015695 assign mio_pad_sleep_regwen_9_we = addr_hit[236] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015696 assign mio_pad_sleep_regwen_9_wd = reg_wdata[0];
15697
Timothy Chena6f58292021-03-02 14:02:47 -080015698 assign mio_pad_sleep_regwen_10_we = addr_hit[237] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015699 assign mio_pad_sleep_regwen_10_wd = reg_wdata[0];
15700
Timothy Chena6f58292021-03-02 14:02:47 -080015701 assign mio_pad_sleep_regwen_11_we = addr_hit[238] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015702 assign mio_pad_sleep_regwen_11_wd = reg_wdata[0];
15703
Timothy Chena6f58292021-03-02 14:02:47 -080015704 assign mio_pad_sleep_regwen_12_we = addr_hit[239] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015705 assign mio_pad_sleep_regwen_12_wd = reg_wdata[0];
15706
Timothy Chena6f58292021-03-02 14:02:47 -080015707 assign mio_pad_sleep_regwen_13_we = addr_hit[240] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015708 assign mio_pad_sleep_regwen_13_wd = reg_wdata[0];
15709
Timothy Chena6f58292021-03-02 14:02:47 -080015710 assign mio_pad_sleep_regwen_14_we = addr_hit[241] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015711 assign mio_pad_sleep_regwen_14_wd = reg_wdata[0];
15712
Timothy Chena6f58292021-03-02 14:02:47 -080015713 assign mio_pad_sleep_regwen_15_we = addr_hit[242] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015714 assign mio_pad_sleep_regwen_15_wd = reg_wdata[0];
15715
Timothy Chena6f58292021-03-02 14:02:47 -080015716 assign mio_pad_sleep_regwen_16_we = addr_hit[243] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015717 assign mio_pad_sleep_regwen_16_wd = reg_wdata[0];
15718
Timothy Chena6f58292021-03-02 14:02:47 -080015719 assign mio_pad_sleep_regwen_17_we = addr_hit[244] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015720 assign mio_pad_sleep_regwen_17_wd = reg_wdata[0];
15721
Timothy Chena6f58292021-03-02 14:02:47 -080015722 assign mio_pad_sleep_regwen_18_we = addr_hit[245] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015723 assign mio_pad_sleep_regwen_18_wd = reg_wdata[0];
15724
Timothy Chena6f58292021-03-02 14:02:47 -080015725 assign mio_pad_sleep_regwen_19_we = addr_hit[246] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015726 assign mio_pad_sleep_regwen_19_wd = reg_wdata[0];
15727
Timothy Chena6f58292021-03-02 14:02:47 -080015728 assign mio_pad_sleep_regwen_20_we = addr_hit[247] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015729 assign mio_pad_sleep_regwen_20_wd = reg_wdata[0];
15730
Timothy Chena6f58292021-03-02 14:02:47 -080015731 assign mio_pad_sleep_regwen_21_we = addr_hit[248] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015732 assign mio_pad_sleep_regwen_21_wd = reg_wdata[0];
15733
Timothy Chena6f58292021-03-02 14:02:47 -080015734 assign mio_pad_sleep_regwen_22_we = addr_hit[249] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015735 assign mio_pad_sleep_regwen_22_wd = reg_wdata[0];
15736
Timothy Chena6f58292021-03-02 14:02:47 -080015737 assign mio_pad_sleep_regwen_23_we = addr_hit[250] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015738 assign mio_pad_sleep_regwen_23_wd = reg_wdata[0];
15739
Timothy Chena6f58292021-03-02 14:02:47 -080015740 assign mio_pad_sleep_regwen_24_we = addr_hit[251] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015741 assign mio_pad_sleep_regwen_24_wd = reg_wdata[0];
15742
Timothy Chena6f58292021-03-02 14:02:47 -080015743 assign mio_pad_sleep_regwen_25_we = addr_hit[252] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015744 assign mio_pad_sleep_regwen_25_wd = reg_wdata[0];
15745
Timothy Chena6f58292021-03-02 14:02:47 -080015746 assign mio_pad_sleep_regwen_26_we = addr_hit[253] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015747 assign mio_pad_sleep_regwen_26_wd = reg_wdata[0];
15748
Timothy Chena6f58292021-03-02 14:02:47 -080015749 assign mio_pad_sleep_regwen_27_we = addr_hit[254] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015750 assign mio_pad_sleep_regwen_27_wd = reg_wdata[0];
15751
Timothy Chena6f58292021-03-02 14:02:47 -080015752 assign mio_pad_sleep_regwen_28_we = addr_hit[255] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015753 assign mio_pad_sleep_regwen_28_wd = reg_wdata[0];
15754
Timothy Chena6f58292021-03-02 14:02:47 -080015755 assign mio_pad_sleep_regwen_29_we = addr_hit[256] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015756 assign mio_pad_sleep_regwen_29_wd = reg_wdata[0];
15757
Timothy Chena6f58292021-03-02 14:02:47 -080015758 assign mio_pad_sleep_regwen_30_we = addr_hit[257] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015759 assign mio_pad_sleep_regwen_30_wd = reg_wdata[0];
15760
Timothy Chena6f58292021-03-02 14:02:47 -080015761 assign mio_pad_sleep_regwen_31_we = addr_hit[258] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015762 assign mio_pad_sleep_regwen_31_wd = reg_wdata[0];
15763
Timothy Chena6f58292021-03-02 14:02:47 -080015764 assign mio_pad_sleep_en_0_we = addr_hit[259] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015765 assign mio_pad_sleep_en_0_wd = reg_wdata[0];
15766
Timothy Chena6f58292021-03-02 14:02:47 -080015767 assign mio_pad_sleep_en_1_we = addr_hit[260] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015768 assign mio_pad_sleep_en_1_wd = reg_wdata[0];
15769
Timothy Chena6f58292021-03-02 14:02:47 -080015770 assign mio_pad_sleep_en_2_we = addr_hit[261] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015771 assign mio_pad_sleep_en_2_wd = reg_wdata[0];
15772
Timothy Chena6f58292021-03-02 14:02:47 -080015773 assign mio_pad_sleep_en_3_we = addr_hit[262] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015774 assign mio_pad_sleep_en_3_wd = reg_wdata[0];
15775
Timothy Chena6f58292021-03-02 14:02:47 -080015776 assign mio_pad_sleep_en_4_we = addr_hit[263] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015777 assign mio_pad_sleep_en_4_wd = reg_wdata[0];
15778
Timothy Chena6f58292021-03-02 14:02:47 -080015779 assign mio_pad_sleep_en_5_we = addr_hit[264] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015780 assign mio_pad_sleep_en_5_wd = reg_wdata[0];
15781
Timothy Chena6f58292021-03-02 14:02:47 -080015782 assign mio_pad_sleep_en_6_we = addr_hit[265] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015783 assign mio_pad_sleep_en_6_wd = reg_wdata[0];
15784
Timothy Chena6f58292021-03-02 14:02:47 -080015785 assign mio_pad_sleep_en_7_we = addr_hit[266] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015786 assign mio_pad_sleep_en_7_wd = reg_wdata[0];
15787
Timothy Chena6f58292021-03-02 14:02:47 -080015788 assign mio_pad_sleep_en_8_we = addr_hit[267] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015789 assign mio_pad_sleep_en_8_wd = reg_wdata[0];
15790
Timothy Chena6f58292021-03-02 14:02:47 -080015791 assign mio_pad_sleep_en_9_we = addr_hit[268] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015792 assign mio_pad_sleep_en_9_wd = reg_wdata[0];
15793
Timothy Chena6f58292021-03-02 14:02:47 -080015794 assign mio_pad_sleep_en_10_we = addr_hit[269] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015795 assign mio_pad_sleep_en_10_wd = reg_wdata[0];
15796
Timothy Chena6f58292021-03-02 14:02:47 -080015797 assign mio_pad_sleep_en_11_we = addr_hit[270] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015798 assign mio_pad_sleep_en_11_wd = reg_wdata[0];
15799
Timothy Chena6f58292021-03-02 14:02:47 -080015800 assign mio_pad_sleep_en_12_we = addr_hit[271] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015801 assign mio_pad_sleep_en_12_wd = reg_wdata[0];
15802
Timothy Chena6f58292021-03-02 14:02:47 -080015803 assign mio_pad_sleep_en_13_we = addr_hit[272] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015804 assign mio_pad_sleep_en_13_wd = reg_wdata[0];
15805
Timothy Chena6f58292021-03-02 14:02:47 -080015806 assign mio_pad_sleep_en_14_we = addr_hit[273] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015807 assign mio_pad_sleep_en_14_wd = reg_wdata[0];
15808
Timothy Chena6f58292021-03-02 14:02:47 -080015809 assign mio_pad_sleep_en_15_we = addr_hit[274] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015810 assign mio_pad_sleep_en_15_wd = reg_wdata[0];
15811
Timothy Chena6f58292021-03-02 14:02:47 -080015812 assign mio_pad_sleep_en_16_we = addr_hit[275] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015813 assign mio_pad_sleep_en_16_wd = reg_wdata[0];
15814
Timothy Chena6f58292021-03-02 14:02:47 -080015815 assign mio_pad_sleep_en_17_we = addr_hit[276] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015816 assign mio_pad_sleep_en_17_wd = reg_wdata[0];
15817
Timothy Chena6f58292021-03-02 14:02:47 -080015818 assign mio_pad_sleep_en_18_we = addr_hit[277] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015819 assign mio_pad_sleep_en_18_wd = reg_wdata[0];
15820
Timothy Chena6f58292021-03-02 14:02:47 -080015821 assign mio_pad_sleep_en_19_we = addr_hit[278] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015822 assign mio_pad_sleep_en_19_wd = reg_wdata[0];
15823
Timothy Chena6f58292021-03-02 14:02:47 -080015824 assign mio_pad_sleep_en_20_we = addr_hit[279] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015825 assign mio_pad_sleep_en_20_wd = reg_wdata[0];
15826
Timothy Chena6f58292021-03-02 14:02:47 -080015827 assign mio_pad_sleep_en_21_we = addr_hit[280] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015828 assign mio_pad_sleep_en_21_wd = reg_wdata[0];
15829
Timothy Chena6f58292021-03-02 14:02:47 -080015830 assign mio_pad_sleep_en_22_we = addr_hit[281] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015831 assign mio_pad_sleep_en_22_wd = reg_wdata[0];
15832
Timothy Chena6f58292021-03-02 14:02:47 -080015833 assign mio_pad_sleep_en_23_we = addr_hit[282] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015834 assign mio_pad_sleep_en_23_wd = reg_wdata[0];
15835
Timothy Chena6f58292021-03-02 14:02:47 -080015836 assign mio_pad_sleep_en_24_we = addr_hit[283] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015837 assign mio_pad_sleep_en_24_wd = reg_wdata[0];
15838
Timothy Chena6f58292021-03-02 14:02:47 -080015839 assign mio_pad_sleep_en_25_we = addr_hit[284] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015840 assign mio_pad_sleep_en_25_wd = reg_wdata[0];
15841
Timothy Chena6f58292021-03-02 14:02:47 -080015842 assign mio_pad_sleep_en_26_we = addr_hit[285] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015843 assign mio_pad_sleep_en_26_wd = reg_wdata[0];
15844
Timothy Chena6f58292021-03-02 14:02:47 -080015845 assign mio_pad_sleep_en_27_we = addr_hit[286] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015846 assign mio_pad_sleep_en_27_wd = reg_wdata[0];
15847
Timothy Chena6f58292021-03-02 14:02:47 -080015848 assign mio_pad_sleep_en_28_we = addr_hit[287] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015849 assign mio_pad_sleep_en_28_wd = reg_wdata[0];
15850
Timothy Chena6f58292021-03-02 14:02:47 -080015851 assign mio_pad_sleep_en_29_we = addr_hit[288] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015852 assign mio_pad_sleep_en_29_wd = reg_wdata[0];
15853
Timothy Chena6f58292021-03-02 14:02:47 -080015854 assign mio_pad_sleep_en_30_we = addr_hit[289] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015855 assign mio_pad_sleep_en_30_wd = reg_wdata[0];
15856
Timothy Chena6f58292021-03-02 14:02:47 -080015857 assign mio_pad_sleep_en_31_we = addr_hit[290] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015858 assign mio_pad_sleep_en_31_wd = reg_wdata[0];
15859
Timothy Chena6f58292021-03-02 14:02:47 -080015860 assign mio_pad_sleep_mode_0_we = addr_hit[291] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015861 assign mio_pad_sleep_mode_0_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015862
Timothy Chena6f58292021-03-02 14:02:47 -080015863 assign mio_pad_sleep_mode_1_we = addr_hit[292] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015864 assign mio_pad_sleep_mode_1_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015865
Timothy Chena6f58292021-03-02 14:02:47 -080015866 assign mio_pad_sleep_mode_2_we = addr_hit[293] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015867 assign mio_pad_sleep_mode_2_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015868
Timothy Chena6f58292021-03-02 14:02:47 -080015869 assign mio_pad_sleep_mode_3_we = addr_hit[294] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015870 assign mio_pad_sleep_mode_3_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015871
Timothy Chena6f58292021-03-02 14:02:47 -080015872 assign mio_pad_sleep_mode_4_we = addr_hit[295] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015873 assign mio_pad_sleep_mode_4_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015874
Timothy Chena6f58292021-03-02 14:02:47 -080015875 assign mio_pad_sleep_mode_5_we = addr_hit[296] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015876 assign mio_pad_sleep_mode_5_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015877
Timothy Chena6f58292021-03-02 14:02:47 -080015878 assign mio_pad_sleep_mode_6_we = addr_hit[297] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015879 assign mio_pad_sleep_mode_6_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015880
Timothy Chena6f58292021-03-02 14:02:47 -080015881 assign mio_pad_sleep_mode_7_we = addr_hit[298] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015882 assign mio_pad_sleep_mode_7_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015883
Timothy Chena6f58292021-03-02 14:02:47 -080015884 assign mio_pad_sleep_mode_8_we = addr_hit[299] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015885 assign mio_pad_sleep_mode_8_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015886
Timothy Chena6f58292021-03-02 14:02:47 -080015887 assign mio_pad_sleep_mode_9_we = addr_hit[300] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015888 assign mio_pad_sleep_mode_9_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015889
Timothy Chena6f58292021-03-02 14:02:47 -080015890 assign mio_pad_sleep_mode_10_we = addr_hit[301] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015891 assign mio_pad_sleep_mode_10_wd = reg_wdata[1:0];
Michael Schaffner51c61442019-10-01 15:49:10 -070015892
Timothy Chena6f58292021-03-02 14:02:47 -080015893 assign mio_pad_sleep_mode_11_we = addr_hit[302] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015894 assign mio_pad_sleep_mode_11_wd = reg_wdata[1:0];
Michael Schaffner51c61442019-10-01 15:49:10 -070015895
Timothy Chena6f58292021-03-02 14:02:47 -080015896 assign mio_pad_sleep_mode_12_we = addr_hit[303] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015897 assign mio_pad_sleep_mode_12_wd = reg_wdata[1:0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070015898
Timothy Chena6f58292021-03-02 14:02:47 -080015899 assign mio_pad_sleep_mode_13_we = addr_hit[304] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015900 assign mio_pad_sleep_mode_13_wd = reg_wdata[1:0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070015901
Timothy Chena6f58292021-03-02 14:02:47 -080015902 assign mio_pad_sleep_mode_14_we = addr_hit[305] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015903 assign mio_pad_sleep_mode_14_wd = reg_wdata[1:0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070015904
Timothy Chena6f58292021-03-02 14:02:47 -080015905 assign mio_pad_sleep_mode_15_we = addr_hit[306] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015906 assign mio_pad_sleep_mode_15_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015907
Timothy Chena6f58292021-03-02 14:02:47 -080015908 assign mio_pad_sleep_mode_16_we = addr_hit[307] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015909 assign mio_pad_sleep_mode_16_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015910
Timothy Chena6f58292021-03-02 14:02:47 -080015911 assign mio_pad_sleep_mode_17_we = addr_hit[308] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015912 assign mio_pad_sleep_mode_17_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015913
Timothy Chena6f58292021-03-02 14:02:47 -080015914 assign mio_pad_sleep_mode_18_we = addr_hit[309] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015915 assign mio_pad_sleep_mode_18_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015916
Timothy Chena6f58292021-03-02 14:02:47 -080015917 assign mio_pad_sleep_mode_19_we = addr_hit[310] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015918 assign mio_pad_sleep_mode_19_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015919
Timothy Chena6f58292021-03-02 14:02:47 -080015920 assign mio_pad_sleep_mode_20_we = addr_hit[311] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015921 assign mio_pad_sleep_mode_20_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015922
Timothy Chena6f58292021-03-02 14:02:47 -080015923 assign mio_pad_sleep_mode_21_we = addr_hit[312] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015924 assign mio_pad_sleep_mode_21_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015925
Timothy Chena6f58292021-03-02 14:02:47 -080015926 assign mio_pad_sleep_mode_22_we = addr_hit[313] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015927 assign mio_pad_sleep_mode_22_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015928
Timothy Chena6f58292021-03-02 14:02:47 -080015929 assign mio_pad_sleep_mode_23_we = addr_hit[314] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015930 assign mio_pad_sleep_mode_23_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015931
Timothy Chena6f58292021-03-02 14:02:47 -080015932 assign mio_pad_sleep_mode_24_we = addr_hit[315] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015933 assign mio_pad_sleep_mode_24_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015934
Timothy Chena6f58292021-03-02 14:02:47 -080015935 assign mio_pad_sleep_mode_25_we = addr_hit[316] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015936 assign mio_pad_sleep_mode_25_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015937
Timothy Chena6f58292021-03-02 14:02:47 -080015938 assign mio_pad_sleep_mode_26_we = addr_hit[317] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015939 assign mio_pad_sleep_mode_26_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015940
Timothy Chena6f58292021-03-02 14:02:47 -080015941 assign mio_pad_sleep_mode_27_we = addr_hit[318] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015942 assign mio_pad_sleep_mode_27_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015943
Timothy Chena6f58292021-03-02 14:02:47 -080015944 assign mio_pad_sleep_mode_28_we = addr_hit[319] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015945 assign mio_pad_sleep_mode_28_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015946
Timothy Chena6f58292021-03-02 14:02:47 -080015947 assign mio_pad_sleep_mode_29_we = addr_hit[320] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015948 assign mio_pad_sleep_mode_29_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015949
Timothy Chena6f58292021-03-02 14:02:47 -080015950 assign mio_pad_sleep_mode_30_we = addr_hit[321] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015951 assign mio_pad_sleep_mode_30_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015952
Timothy Chena6f58292021-03-02 14:02:47 -080015953 assign mio_pad_sleep_mode_31_we = addr_hit[322] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015954 assign mio_pad_sleep_mode_31_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015955
Timothy Chena6f58292021-03-02 14:02:47 -080015956 assign dio_pad_sleep_status_en_0_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015957 assign dio_pad_sleep_status_en_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015958
Timothy Chena6f58292021-03-02 14:02:47 -080015959 assign dio_pad_sleep_status_en_1_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015960 assign dio_pad_sleep_status_en_1_wd = reg_wdata[1];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015961
Timothy Chena6f58292021-03-02 14:02:47 -080015962 assign dio_pad_sleep_status_en_2_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015963 assign dio_pad_sleep_status_en_2_wd = reg_wdata[2];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015964
Timothy Chena6f58292021-03-02 14:02:47 -080015965 assign dio_pad_sleep_status_en_3_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015966 assign dio_pad_sleep_status_en_3_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015967
Timothy Chena6f58292021-03-02 14:02:47 -080015968 assign dio_pad_sleep_status_en_4_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015969 assign dio_pad_sleep_status_en_4_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015970
Timothy Chena6f58292021-03-02 14:02:47 -080015971 assign dio_pad_sleep_status_en_5_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015972 assign dio_pad_sleep_status_en_5_wd = reg_wdata[5];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015973
Timothy Chena6f58292021-03-02 14:02:47 -080015974 assign dio_pad_sleep_status_en_6_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015975 assign dio_pad_sleep_status_en_6_wd = reg_wdata[6];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015976
Timothy Chena6f58292021-03-02 14:02:47 -080015977 assign dio_pad_sleep_status_en_7_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015978 assign dio_pad_sleep_status_en_7_wd = reg_wdata[7];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015979
Timothy Chena6f58292021-03-02 14:02:47 -080015980 assign dio_pad_sleep_status_en_8_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015981 assign dio_pad_sleep_status_en_8_wd = reg_wdata[8];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015982
Timothy Chena6f58292021-03-02 14:02:47 -080015983 assign dio_pad_sleep_status_en_9_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015984 assign dio_pad_sleep_status_en_9_wd = reg_wdata[9];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015985
Timothy Chena6f58292021-03-02 14:02:47 -080015986 assign dio_pad_sleep_status_en_10_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015987 assign dio_pad_sleep_status_en_10_wd = reg_wdata[10];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015988
Timothy Chena6f58292021-03-02 14:02:47 -080015989 assign dio_pad_sleep_status_en_11_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015990 assign dio_pad_sleep_status_en_11_wd = reg_wdata[11];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015991
Timothy Chena6f58292021-03-02 14:02:47 -080015992 assign dio_pad_sleep_status_en_12_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015993 assign dio_pad_sleep_status_en_12_wd = reg_wdata[12];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015994
Timothy Chena6f58292021-03-02 14:02:47 -080015995 assign dio_pad_sleep_status_en_13_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015996 assign dio_pad_sleep_status_en_13_wd = reg_wdata[13];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015997
Timothy Chena6f58292021-03-02 14:02:47 -080015998 assign dio_pad_sleep_status_en_14_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015999 assign dio_pad_sleep_status_en_14_wd = reg_wdata[14];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016000
Timothy Chena6f58292021-03-02 14:02:47 -080016001 assign dio_pad_sleep_status_en_15_we = addr_hit[323] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016002 assign dio_pad_sleep_status_en_15_wd = reg_wdata[15];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016003
Timothy Chena6f58292021-03-02 14:02:47 -080016004 assign dio_pad_sleep_regwen_0_we = addr_hit[324] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016005 assign dio_pad_sleep_regwen_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016006
Timothy Chena6f58292021-03-02 14:02:47 -080016007 assign dio_pad_sleep_regwen_1_we = addr_hit[325] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016008 assign dio_pad_sleep_regwen_1_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016009
Timothy Chena6f58292021-03-02 14:02:47 -080016010 assign dio_pad_sleep_regwen_2_we = addr_hit[326] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016011 assign dio_pad_sleep_regwen_2_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016012
Timothy Chena6f58292021-03-02 14:02:47 -080016013 assign dio_pad_sleep_regwen_3_we = addr_hit[327] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016014 assign dio_pad_sleep_regwen_3_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016015
Timothy Chena6f58292021-03-02 14:02:47 -080016016 assign dio_pad_sleep_regwen_4_we = addr_hit[328] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016017 assign dio_pad_sleep_regwen_4_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016018
Timothy Chena6f58292021-03-02 14:02:47 -080016019 assign dio_pad_sleep_regwen_5_we = addr_hit[329] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016020 assign dio_pad_sleep_regwen_5_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016021
Timothy Chena6f58292021-03-02 14:02:47 -080016022 assign dio_pad_sleep_regwen_6_we = addr_hit[330] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016023 assign dio_pad_sleep_regwen_6_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016024
Timothy Chena6f58292021-03-02 14:02:47 -080016025 assign dio_pad_sleep_regwen_7_we = addr_hit[331] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016026 assign dio_pad_sleep_regwen_7_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016027
Timothy Chena6f58292021-03-02 14:02:47 -080016028 assign dio_pad_sleep_regwen_8_we = addr_hit[332] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016029 assign dio_pad_sleep_regwen_8_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016030
Timothy Chena6f58292021-03-02 14:02:47 -080016031 assign dio_pad_sleep_regwen_9_we = addr_hit[333] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016032 assign dio_pad_sleep_regwen_9_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016033
Timothy Chena6f58292021-03-02 14:02:47 -080016034 assign dio_pad_sleep_regwen_10_we = addr_hit[334] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016035 assign dio_pad_sleep_regwen_10_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016036
Timothy Chena6f58292021-03-02 14:02:47 -080016037 assign dio_pad_sleep_regwen_11_we = addr_hit[335] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016038 assign dio_pad_sleep_regwen_11_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016039
Timothy Chena6f58292021-03-02 14:02:47 -080016040 assign dio_pad_sleep_regwen_12_we = addr_hit[336] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016041 assign dio_pad_sleep_regwen_12_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016042
Timothy Chena6f58292021-03-02 14:02:47 -080016043 assign dio_pad_sleep_regwen_13_we = addr_hit[337] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016044 assign dio_pad_sleep_regwen_13_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016045
Timothy Chena6f58292021-03-02 14:02:47 -080016046 assign dio_pad_sleep_regwen_14_we = addr_hit[338] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016047 assign dio_pad_sleep_regwen_14_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016048
Timothy Chena6f58292021-03-02 14:02:47 -080016049 assign dio_pad_sleep_regwen_15_we = addr_hit[339] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016050 assign dio_pad_sleep_regwen_15_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016051
Timothy Chena6f58292021-03-02 14:02:47 -080016052 assign dio_pad_sleep_en_0_we = addr_hit[340] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016053 assign dio_pad_sleep_en_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016054
Timothy Chena6f58292021-03-02 14:02:47 -080016055 assign dio_pad_sleep_en_1_we = addr_hit[341] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016056 assign dio_pad_sleep_en_1_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016057
Timothy Chena6f58292021-03-02 14:02:47 -080016058 assign dio_pad_sleep_en_2_we = addr_hit[342] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016059 assign dio_pad_sleep_en_2_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016060
Timothy Chena6f58292021-03-02 14:02:47 -080016061 assign dio_pad_sleep_en_3_we = addr_hit[343] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016062 assign dio_pad_sleep_en_3_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016063
Timothy Chena6f58292021-03-02 14:02:47 -080016064 assign dio_pad_sleep_en_4_we = addr_hit[344] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016065 assign dio_pad_sleep_en_4_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016066
Timothy Chena6f58292021-03-02 14:02:47 -080016067 assign dio_pad_sleep_en_5_we = addr_hit[345] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016068 assign dio_pad_sleep_en_5_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016069
Timothy Chena6f58292021-03-02 14:02:47 -080016070 assign dio_pad_sleep_en_6_we = addr_hit[346] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016071 assign dio_pad_sleep_en_6_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016072
Timothy Chena6f58292021-03-02 14:02:47 -080016073 assign dio_pad_sleep_en_7_we = addr_hit[347] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016074 assign dio_pad_sleep_en_7_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016075
Timothy Chena6f58292021-03-02 14:02:47 -080016076 assign dio_pad_sleep_en_8_we = addr_hit[348] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016077 assign dio_pad_sleep_en_8_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016078
Timothy Chena6f58292021-03-02 14:02:47 -080016079 assign dio_pad_sleep_en_9_we = addr_hit[349] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016080 assign dio_pad_sleep_en_9_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016081
Timothy Chena6f58292021-03-02 14:02:47 -080016082 assign dio_pad_sleep_en_10_we = addr_hit[350] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016083 assign dio_pad_sleep_en_10_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016084
Timothy Chena6f58292021-03-02 14:02:47 -080016085 assign dio_pad_sleep_en_11_we = addr_hit[351] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016086 assign dio_pad_sleep_en_11_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016087
Timothy Chena6f58292021-03-02 14:02:47 -080016088 assign dio_pad_sleep_en_12_we = addr_hit[352] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016089 assign dio_pad_sleep_en_12_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016090
Timothy Chena6f58292021-03-02 14:02:47 -080016091 assign dio_pad_sleep_en_13_we = addr_hit[353] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016092 assign dio_pad_sleep_en_13_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016093
Timothy Chena6f58292021-03-02 14:02:47 -080016094 assign dio_pad_sleep_en_14_we = addr_hit[354] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016095 assign dio_pad_sleep_en_14_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016096
Timothy Chena6f58292021-03-02 14:02:47 -080016097 assign dio_pad_sleep_en_15_we = addr_hit[355] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016098 assign dio_pad_sleep_en_15_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016099
Timothy Chena6f58292021-03-02 14:02:47 -080016100 assign dio_pad_sleep_mode_0_we = addr_hit[356] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016101 assign dio_pad_sleep_mode_0_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016102
Timothy Chena6f58292021-03-02 14:02:47 -080016103 assign dio_pad_sleep_mode_1_we = addr_hit[357] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016104 assign dio_pad_sleep_mode_1_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016105
Timothy Chena6f58292021-03-02 14:02:47 -080016106 assign dio_pad_sleep_mode_2_we = addr_hit[358] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016107 assign dio_pad_sleep_mode_2_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016108
Timothy Chena6f58292021-03-02 14:02:47 -080016109 assign dio_pad_sleep_mode_3_we = addr_hit[359] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016110 assign dio_pad_sleep_mode_3_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016111
Timothy Chena6f58292021-03-02 14:02:47 -080016112 assign dio_pad_sleep_mode_4_we = addr_hit[360] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016113 assign dio_pad_sleep_mode_4_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016114
Timothy Chena6f58292021-03-02 14:02:47 -080016115 assign dio_pad_sleep_mode_5_we = addr_hit[361] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016116 assign dio_pad_sleep_mode_5_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016117
Timothy Chena6f58292021-03-02 14:02:47 -080016118 assign dio_pad_sleep_mode_6_we = addr_hit[362] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016119 assign dio_pad_sleep_mode_6_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016120
Timothy Chena6f58292021-03-02 14:02:47 -080016121 assign dio_pad_sleep_mode_7_we = addr_hit[363] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016122 assign dio_pad_sleep_mode_7_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016123
Timothy Chena6f58292021-03-02 14:02:47 -080016124 assign dio_pad_sleep_mode_8_we = addr_hit[364] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016125 assign dio_pad_sleep_mode_8_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016126
Timothy Chena6f58292021-03-02 14:02:47 -080016127 assign dio_pad_sleep_mode_9_we = addr_hit[365] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016128 assign dio_pad_sleep_mode_9_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016129
Timothy Chena6f58292021-03-02 14:02:47 -080016130 assign dio_pad_sleep_mode_10_we = addr_hit[366] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016131 assign dio_pad_sleep_mode_10_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016132
Timothy Chena6f58292021-03-02 14:02:47 -080016133 assign dio_pad_sleep_mode_11_we = addr_hit[367] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016134 assign dio_pad_sleep_mode_11_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016135
Timothy Chena6f58292021-03-02 14:02:47 -080016136 assign dio_pad_sleep_mode_12_we = addr_hit[368] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016137 assign dio_pad_sleep_mode_12_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016138
Timothy Chena6f58292021-03-02 14:02:47 -080016139 assign dio_pad_sleep_mode_13_we = addr_hit[369] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016140 assign dio_pad_sleep_mode_13_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016141
Timothy Chena6f58292021-03-02 14:02:47 -080016142 assign dio_pad_sleep_mode_14_we = addr_hit[370] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016143 assign dio_pad_sleep_mode_14_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016144
Timothy Chena6f58292021-03-02 14:02:47 -080016145 assign dio_pad_sleep_mode_15_we = addr_hit[371] & reg_we & !reg_error;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016146 assign dio_pad_sleep_mode_15_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016147
Timothy Chena6f58292021-03-02 14:02:47 -080016148 assign wkup_detector_regwen_0_we = addr_hit[372] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016149 assign wkup_detector_regwen_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016150
Timothy Chena6f58292021-03-02 14:02:47 -080016151 assign wkup_detector_regwen_1_we = addr_hit[373] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016152 assign wkup_detector_regwen_1_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016153
Timothy Chena6f58292021-03-02 14:02:47 -080016154 assign wkup_detector_regwen_2_we = addr_hit[374] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016155 assign wkup_detector_regwen_2_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016156
Timothy Chena6f58292021-03-02 14:02:47 -080016157 assign wkup_detector_regwen_3_we = addr_hit[375] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016158 assign wkup_detector_regwen_3_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016159
Timothy Chena6f58292021-03-02 14:02:47 -080016160 assign wkup_detector_regwen_4_we = addr_hit[376] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016161 assign wkup_detector_regwen_4_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016162
Timothy Chena6f58292021-03-02 14:02:47 -080016163 assign wkup_detector_regwen_5_we = addr_hit[377] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016164 assign wkup_detector_regwen_5_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016165
Timothy Chena6f58292021-03-02 14:02:47 -080016166 assign wkup_detector_regwen_6_we = addr_hit[378] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016167 assign wkup_detector_regwen_6_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016168
Timothy Chena6f58292021-03-02 14:02:47 -080016169 assign wkup_detector_regwen_7_we = addr_hit[379] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016170 assign wkup_detector_regwen_7_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016171
Timothy Chena6f58292021-03-02 14:02:47 -080016172 assign wkup_detector_en_0_we = addr_hit[380] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016173 assign wkup_detector_en_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016174
Timothy Chena6f58292021-03-02 14:02:47 -080016175 assign wkup_detector_en_1_we = addr_hit[381] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016176 assign wkup_detector_en_1_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016177
Timothy Chena6f58292021-03-02 14:02:47 -080016178 assign wkup_detector_en_2_we = addr_hit[382] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016179 assign wkup_detector_en_2_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016180
Timothy Chena6f58292021-03-02 14:02:47 -080016181 assign wkup_detector_en_3_we = addr_hit[383] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016182 assign wkup_detector_en_3_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016183
Timothy Chena6f58292021-03-02 14:02:47 -080016184 assign wkup_detector_en_4_we = addr_hit[384] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016185 assign wkup_detector_en_4_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016186
Timothy Chena6f58292021-03-02 14:02:47 -080016187 assign wkup_detector_en_5_we = addr_hit[385] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016188 assign wkup_detector_en_5_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016189
Timothy Chena6f58292021-03-02 14:02:47 -080016190 assign wkup_detector_en_6_we = addr_hit[386] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016191 assign wkup_detector_en_6_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016192
Timothy Chena6f58292021-03-02 14:02:47 -080016193 assign wkup_detector_en_7_we = addr_hit[387] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016194 assign wkup_detector_en_7_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016195
Timothy Chena6f58292021-03-02 14:02:47 -080016196 assign wkup_detector_0_mode_0_we = addr_hit[388] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016197 assign wkup_detector_0_mode_0_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016198
Timothy Chena6f58292021-03-02 14:02:47 -080016199 assign wkup_detector_0_filter_0_we = addr_hit[388] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016200 assign wkup_detector_0_filter_0_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016201
Timothy Chena6f58292021-03-02 14:02:47 -080016202 assign wkup_detector_0_miodio_0_we = addr_hit[388] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016203 assign wkup_detector_0_miodio_0_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016204
Timothy Chena6f58292021-03-02 14:02:47 -080016205 assign wkup_detector_1_mode_1_we = addr_hit[389] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016206 assign wkup_detector_1_mode_1_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016207
Timothy Chena6f58292021-03-02 14:02:47 -080016208 assign wkup_detector_1_filter_1_we = addr_hit[389] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016209 assign wkup_detector_1_filter_1_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016210
Timothy Chena6f58292021-03-02 14:02:47 -080016211 assign wkup_detector_1_miodio_1_we = addr_hit[389] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016212 assign wkup_detector_1_miodio_1_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016213
Timothy Chena6f58292021-03-02 14:02:47 -080016214 assign wkup_detector_2_mode_2_we = addr_hit[390] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016215 assign wkup_detector_2_mode_2_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016216
Timothy Chena6f58292021-03-02 14:02:47 -080016217 assign wkup_detector_2_filter_2_we = addr_hit[390] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016218 assign wkup_detector_2_filter_2_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016219
Timothy Chena6f58292021-03-02 14:02:47 -080016220 assign wkup_detector_2_miodio_2_we = addr_hit[390] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016221 assign wkup_detector_2_miodio_2_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016222
Timothy Chena6f58292021-03-02 14:02:47 -080016223 assign wkup_detector_3_mode_3_we = addr_hit[391] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016224 assign wkup_detector_3_mode_3_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016225
Timothy Chena6f58292021-03-02 14:02:47 -080016226 assign wkup_detector_3_filter_3_we = addr_hit[391] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016227 assign wkup_detector_3_filter_3_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016228
Timothy Chena6f58292021-03-02 14:02:47 -080016229 assign wkup_detector_3_miodio_3_we = addr_hit[391] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016230 assign wkup_detector_3_miodio_3_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016231
Timothy Chena6f58292021-03-02 14:02:47 -080016232 assign wkup_detector_4_mode_4_we = addr_hit[392] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016233 assign wkup_detector_4_mode_4_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016234
Timothy Chena6f58292021-03-02 14:02:47 -080016235 assign wkup_detector_4_filter_4_we = addr_hit[392] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016236 assign wkup_detector_4_filter_4_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016237
Timothy Chena6f58292021-03-02 14:02:47 -080016238 assign wkup_detector_4_miodio_4_we = addr_hit[392] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016239 assign wkup_detector_4_miodio_4_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016240
Timothy Chena6f58292021-03-02 14:02:47 -080016241 assign wkup_detector_5_mode_5_we = addr_hit[393] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016242 assign wkup_detector_5_mode_5_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016243
Timothy Chena6f58292021-03-02 14:02:47 -080016244 assign wkup_detector_5_filter_5_we = addr_hit[393] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016245 assign wkup_detector_5_filter_5_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016246
Timothy Chena6f58292021-03-02 14:02:47 -080016247 assign wkup_detector_5_miodio_5_we = addr_hit[393] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016248 assign wkup_detector_5_miodio_5_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016249
Timothy Chena6f58292021-03-02 14:02:47 -080016250 assign wkup_detector_6_mode_6_we = addr_hit[394] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016251 assign wkup_detector_6_mode_6_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016252
Timothy Chena6f58292021-03-02 14:02:47 -080016253 assign wkup_detector_6_filter_6_we = addr_hit[394] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016254 assign wkup_detector_6_filter_6_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016255
Timothy Chena6f58292021-03-02 14:02:47 -080016256 assign wkup_detector_6_miodio_6_we = addr_hit[394] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016257 assign wkup_detector_6_miodio_6_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016258
Timothy Chena6f58292021-03-02 14:02:47 -080016259 assign wkup_detector_7_mode_7_we = addr_hit[395] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016260 assign wkup_detector_7_mode_7_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016261
Timothy Chena6f58292021-03-02 14:02:47 -080016262 assign wkup_detector_7_filter_7_we = addr_hit[395] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016263 assign wkup_detector_7_filter_7_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016264
Timothy Chena6f58292021-03-02 14:02:47 -080016265 assign wkup_detector_7_miodio_7_we = addr_hit[395] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016266 assign wkup_detector_7_miodio_7_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016267
Timothy Chena6f58292021-03-02 14:02:47 -080016268 assign wkup_detector_cnt_th_0_we = addr_hit[396] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016269 assign wkup_detector_cnt_th_0_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016270
Timothy Chena6f58292021-03-02 14:02:47 -080016271 assign wkup_detector_cnt_th_1_we = addr_hit[397] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016272 assign wkup_detector_cnt_th_1_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016273
Timothy Chena6f58292021-03-02 14:02:47 -080016274 assign wkup_detector_cnt_th_2_we = addr_hit[398] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016275 assign wkup_detector_cnt_th_2_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016276
Timothy Chena6f58292021-03-02 14:02:47 -080016277 assign wkup_detector_cnt_th_3_we = addr_hit[399] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016278 assign wkup_detector_cnt_th_3_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016279
Timothy Chena6f58292021-03-02 14:02:47 -080016280 assign wkup_detector_cnt_th_4_we = addr_hit[400] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016281 assign wkup_detector_cnt_th_4_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016282
Timothy Chena6f58292021-03-02 14:02:47 -080016283 assign wkup_detector_cnt_th_5_we = addr_hit[401] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016284 assign wkup_detector_cnt_th_5_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016285
Timothy Chena6f58292021-03-02 14:02:47 -080016286 assign wkup_detector_cnt_th_6_we = addr_hit[402] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016287 assign wkup_detector_cnt_th_6_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016288
Timothy Chena6f58292021-03-02 14:02:47 -080016289 assign wkup_detector_cnt_th_7_we = addr_hit[403] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016290 assign wkup_detector_cnt_th_7_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016291
Timothy Chena6f58292021-03-02 14:02:47 -080016292 assign wkup_detector_padsel_0_we = addr_hit[404] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016293 assign wkup_detector_padsel_0_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016294
Timothy Chena6f58292021-03-02 14:02:47 -080016295 assign wkup_detector_padsel_1_we = addr_hit[405] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016296 assign wkup_detector_padsel_1_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016297
Timothy Chena6f58292021-03-02 14:02:47 -080016298 assign wkup_detector_padsel_2_we = addr_hit[406] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016299 assign wkup_detector_padsel_2_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016300
Timothy Chena6f58292021-03-02 14:02:47 -080016301 assign wkup_detector_padsel_3_we = addr_hit[407] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016302 assign wkup_detector_padsel_3_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016303
Timothy Chena6f58292021-03-02 14:02:47 -080016304 assign wkup_detector_padsel_4_we = addr_hit[408] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016305 assign wkup_detector_padsel_4_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016306
Timothy Chena6f58292021-03-02 14:02:47 -080016307 assign wkup_detector_padsel_5_we = addr_hit[409] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016308 assign wkup_detector_padsel_5_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016309
Timothy Chena6f58292021-03-02 14:02:47 -080016310 assign wkup_detector_padsel_6_we = addr_hit[410] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016311 assign wkup_detector_padsel_6_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016312
Timothy Chena6f58292021-03-02 14:02:47 -080016313 assign wkup_detector_padsel_7_we = addr_hit[411] & reg_we & !reg_error;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016314 assign wkup_detector_padsel_7_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016315
Timothy Chena6f58292021-03-02 14:02:47 -080016316 assign wkup_cause_cause_0_we = addr_hit[412] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016317 assign wkup_cause_cause_0_wd = reg_wdata[0];
Timothy Chena6f58292021-03-02 14:02:47 -080016318 assign wkup_cause_cause_0_re = addr_hit[412] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016319
Timothy Chena6f58292021-03-02 14:02:47 -080016320 assign wkup_cause_cause_1_we = addr_hit[412] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016321 assign wkup_cause_cause_1_wd = reg_wdata[1];
Timothy Chena6f58292021-03-02 14:02:47 -080016322 assign wkup_cause_cause_1_re = addr_hit[412] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016323
Timothy Chena6f58292021-03-02 14:02:47 -080016324 assign wkup_cause_cause_2_we = addr_hit[412] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016325 assign wkup_cause_cause_2_wd = reg_wdata[2];
Timothy Chena6f58292021-03-02 14:02:47 -080016326 assign wkup_cause_cause_2_re = addr_hit[412] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016327
Timothy Chena6f58292021-03-02 14:02:47 -080016328 assign wkup_cause_cause_3_we = addr_hit[412] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016329 assign wkup_cause_cause_3_wd = reg_wdata[3];
Timothy Chena6f58292021-03-02 14:02:47 -080016330 assign wkup_cause_cause_3_re = addr_hit[412] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016331
Timothy Chena6f58292021-03-02 14:02:47 -080016332 assign wkup_cause_cause_4_we = addr_hit[412] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016333 assign wkup_cause_cause_4_wd = reg_wdata[4];
Timothy Chena6f58292021-03-02 14:02:47 -080016334 assign wkup_cause_cause_4_re = addr_hit[412] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016335
Timothy Chena6f58292021-03-02 14:02:47 -080016336 assign wkup_cause_cause_5_we = addr_hit[412] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016337 assign wkup_cause_cause_5_wd = reg_wdata[5];
Timothy Chena6f58292021-03-02 14:02:47 -080016338 assign wkup_cause_cause_5_re = addr_hit[412] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016339
Timothy Chena6f58292021-03-02 14:02:47 -080016340 assign wkup_cause_cause_6_we = addr_hit[412] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016341 assign wkup_cause_cause_6_wd = reg_wdata[6];
Timothy Chena6f58292021-03-02 14:02:47 -080016342 assign wkup_cause_cause_6_re = addr_hit[412] & reg_re & !reg_error;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016343
Timothy Chena6f58292021-03-02 14:02:47 -080016344 assign wkup_cause_cause_7_we = addr_hit[412] & reg_we & !reg_error;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016345 assign wkup_cause_cause_7_wd = reg_wdata[7];
Timothy Chena6f58292021-03-02 14:02:47 -080016346 assign wkup_cause_cause_7_re = addr_hit[412] & reg_re & !reg_error;
Michael Schaffnerfb801932019-10-02 10:49:15 -070016347
Michael Schaffner51c61442019-10-01 15:49:10 -070016348 // Read data return
16349 always_comb begin
16350 reg_rdata_next = '0;
16351 unique case (1'b1)
16352 addr_hit[0]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016353 reg_rdata_next[0] = mio_periph_insel_regwen_0_qs;
Michael Schaffner51c61442019-10-01 15:49:10 -070016354 end
16355
16356 addr_hit[1]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016357 reg_rdata_next[0] = mio_periph_insel_regwen_1_qs;
Michael Schaffner51c61442019-10-01 15:49:10 -070016358 end
16359
16360 addr_hit[2]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016361 reg_rdata_next[0] = mio_periph_insel_regwen_2_qs;
Michael Schaffnerfb801932019-10-02 10:49:15 -070016362 end
16363
16364 addr_hit[3]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016365 reg_rdata_next[0] = mio_periph_insel_regwen_3_qs;
Michael Schaffner7fac2d32019-10-04 10:14:50 -070016366 end
16367
16368 addr_hit[4]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016369 reg_rdata_next[0] = mio_periph_insel_regwen_4_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016370 end
16371
16372 addr_hit[5]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016373 reg_rdata_next[0] = mio_periph_insel_regwen_5_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016374 end
16375
16376 addr_hit[6]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016377 reg_rdata_next[0] = mio_periph_insel_regwen_6_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016378 end
16379
16380 addr_hit[7]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016381 reg_rdata_next[0] = mio_periph_insel_regwen_7_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016382 end
16383
16384 addr_hit[8]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016385 reg_rdata_next[0] = mio_periph_insel_regwen_8_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016386 end
16387
16388 addr_hit[9]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016389 reg_rdata_next[0] = mio_periph_insel_regwen_9_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016390 end
16391
16392 addr_hit[10]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016393 reg_rdata_next[0] = mio_periph_insel_regwen_10_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016394 end
16395
16396 addr_hit[11]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016397 reg_rdata_next[0] = mio_periph_insel_regwen_11_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016398 end
16399
16400 addr_hit[12]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016401 reg_rdata_next[0] = mio_periph_insel_regwen_12_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016402 end
16403
16404 addr_hit[13]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016405 reg_rdata_next[0] = mio_periph_insel_regwen_13_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016406 end
16407
16408 addr_hit[14]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016409 reg_rdata_next[0] = mio_periph_insel_regwen_14_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016410 end
16411
16412 addr_hit[15]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016413 reg_rdata_next[0] = mio_periph_insel_regwen_15_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016414 end
16415
16416 addr_hit[16]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016417 reg_rdata_next[0] = mio_periph_insel_regwen_16_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016418 end
16419
16420 addr_hit[17]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016421 reg_rdata_next[0] = mio_periph_insel_regwen_17_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016422 end
16423
16424 addr_hit[18]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016425 reg_rdata_next[0] = mio_periph_insel_regwen_18_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016426 end
16427
16428 addr_hit[19]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016429 reg_rdata_next[0] = mio_periph_insel_regwen_19_qs;
16430 end
16431
16432 addr_hit[20]: begin
16433 reg_rdata_next[0] = mio_periph_insel_regwen_20_qs;
16434 end
16435
16436 addr_hit[21]: begin
16437 reg_rdata_next[0] = mio_periph_insel_regwen_21_qs;
16438 end
16439
16440 addr_hit[22]: begin
16441 reg_rdata_next[0] = mio_periph_insel_regwen_22_qs;
16442 end
16443
16444 addr_hit[23]: begin
16445 reg_rdata_next[0] = mio_periph_insel_regwen_23_qs;
16446 end
16447
16448 addr_hit[24]: begin
16449 reg_rdata_next[0] = mio_periph_insel_regwen_24_qs;
16450 end
16451
16452 addr_hit[25]: begin
16453 reg_rdata_next[0] = mio_periph_insel_regwen_25_qs;
16454 end
16455
16456 addr_hit[26]: begin
16457 reg_rdata_next[0] = mio_periph_insel_regwen_26_qs;
16458 end
16459
16460 addr_hit[27]: begin
16461 reg_rdata_next[0] = mio_periph_insel_regwen_27_qs;
16462 end
16463
16464 addr_hit[28]: begin
16465 reg_rdata_next[0] = mio_periph_insel_regwen_28_qs;
16466 end
16467
16468 addr_hit[29]: begin
16469 reg_rdata_next[0] = mio_periph_insel_regwen_29_qs;
16470 end
16471
16472 addr_hit[30]: begin
16473 reg_rdata_next[0] = mio_periph_insel_regwen_30_qs;
16474 end
16475
16476 addr_hit[31]: begin
16477 reg_rdata_next[0] = mio_periph_insel_regwen_31_qs;
16478 end
16479
16480 addr_hit[32]: begin
16481 reg_rdata_next[0] = mio_periph_insel_regwen_32_qs;
16482 end
16483
16484 addr_hit[33]: begin
16485 reg_rdata_next[5:0] = mio_periph_insel_0_qs;
16486 end
16487
16488 addr_hit[34]: begin
16489 reg_rdata_next[5:0] = mio_periph_insel_1_qs;
16490 end
16491
16492 addr_hit[35]: begin
16493 reg_rdata_next[5:0] = mio_periph_insel_2_qs;
16494 end
16495
16496 addr_hit[36]: begin
16497 reg_rdata_next[5:0] = mio_periph_insel_3_qs;
16498 end
16499
16500 addr_hit[37]: begin
16501 reg_rdata_next[5:0] = mio_periph_insel_4_qs;
16502 end
16503
16504 addr_hit[38]: begin
16505 reg_rdata_next[5:0] = mio_periph_insel_5_qs;
16506 end
16507
16508 addr_hit[39]: begin
16509 reg_rdata_next[5:0] = mio_periph_insel_6_qs;
16510 end
16511
16512 addr_hit[40]: begin
16513 reg_rdata_next[5:0] = mio_periph_insel_7_qs;
16514 end
16515
16516 addr_hit[41]: begin
16517 reg_rdata_next[5:0] = mio_periph_insel_8_qs;
16518 end
16519
16520 addr_hit[42]: begin
16521 reg_rdata_next[5:0] = mio_periph_insel_9_qs;
16522 end
16523
16524 addr_hit[43]: begin
16525 reg_rdata_next[5:0] = mio_periph_insel_10_qs;
16526 end
16527
16528 addr_hit[44]: begin
16529 reg_rdata_next[5:0] = mio_periph_insel_11_qs;
16530 end
16531
16532 addr_hit[45]: begin
16533 reg_rdata_next[5:0] = mio_periph_insel_12_qs;
16534 end
16535
16536 addr_hit[46]: begin
16537 reg_rdata_next[5:0] = mio_periph_insel_13_qs;
16538 end
16539
16540 addr_hit[47]: begin
16541 reg_rdata_next[5:0] = mio_periph_insel_14_qs;
16542 end
16543
16544 addr_hit[48]: begin
16545 reg_rdata_next[5:0] = mio_periph_insel_15_qs;
16546 end
16547
16548 addr_hit[49]: begin
16549 reg_rdata_next[5:0] = mio_periph_insel_16_qs;
16550 end
16551
16552 addr_hit[50]: begin
16553 reg_rdata_next[5:0] = mio_periph_insel_17_qs;
16554 end
16555
16556 addr_hit[51]: begin
16557 reg_rdata_next[5:0] = mio_periph_insel_18_qs;
16558 end
16559
16560 addr_hit[52]: begin
16561 reg_rdata_next[5:0] = mio_periph_insel_19_qs;
16562 end
16563
16564 addr_hit[53]: begin
16565 reg_rdata_next[5:0] = mio_periph_insel_20_qs;
16566 end
16567
16568 addr_hit[54]: begin
16569 reg_rdata_next[5:0] = mio_periph_insel_21_qs;
16570 end
16571
16572 addr_hit[55]: begin
16573 reg_rdata_next[5:0] = mio_periph_insel_22_qs;
16574 end
16575
16576 addr_hit[56]: begin
16577 reg_rdata_next[5:0] = mio_periph_insel_23_qs;
16578 end
16579
16580 addr_hit[57]: begin
16581 reg_rdata_next[5:0] = mio_periph_insel_24_qs;
16582 end
16583
16584 addr_hit[58]: begin
16585 reg_rdata_next[5:0] = mio_periph_insel_25_qs;
16586 end
16587
16588 addr_hit[59]: begin
16589 reg_rdata_next[5:0] = mio_periph_insel_26_qs;
16590 end
16591
16592 addr_hit[60]: begin
16593 reg_rdata_next[5:0] = mio_periph_insel_27_qs;
16594 end
16595
16596 addr_hit[61]: begin
16597 reg_rdata_next[5:0] = mio_periph_insel_28_qs;
16598 end
16599
16600 addr_hit[62]: begin
16601 reg_rdata_next[5:0] = mio_periph_insel_29_qs;
16602 end
16603
16604 addr_hit[63]: begin
16605 reg_rdata_next[5:0] = mio_periph_insel_30_qs;
16606 end
16607
16608 addr_hit[64]: begin
16609 reg_rdata_next[5:0] = mio_periph_insel_31_qs;
16610 end
16611
16612 addr_hit[65]: begin
16613 reg_rdata_next[5:0] = mio_periph_insel_32_qs;
16614 end
16615
16616 addr_hit[66]: begin
16617 reg_rdata_next[0] = mio_outsel_regwen_0_qs;
16618 end
16619
16620 addr_hit[67]: begin
16621 reg_rdata_next[0] = mio_outsel_regwen_1_qs;
16622 end
16623
16624 addr_hit[68]: begin
16625 reg_rdata_next[0] = mio_outsel_regwen_2_qs;
16626 end
16627
16628 addr_hit[69]: begin
16629 reg_rdata_next[0] = mio_outsel_regwen_3_qs;
16630 end
16631
16632 addr_hit[70]: begin
16633 reg_rdata_next[0] = mio_outsel_regwen_4_qs;
16634 end
16635
16636 addr_hit[71]: begin
16637 reg_rdata_next[0] = mio_outsel_regwen_5_qs;
16638 end
16639
16640 addr_hit[72]: begin
16641 reg_rdata_next[0] = mio_outsel_regwen_6_qs;
16642 end
16643
16644 addr_hit[73]: begin
16645 reg_rdata_next[0] = mio_outsel_regwen_7_qs;
16646 end
16647
16648 addr_hit[74]: begin
16649 reg_rdata_next[0] = mio_outsel_regwen_8_qs;
16650 end
16651
16652 addr_hit[75]: begin
16653 reg_rdata_next[0] = mio_outsel_regwen_9_qs;
16654 end
16655
16656 addr_hit[76]: begin
16657 reg_rdata_next[0] = mio_outsel_regwen_10_qs;
16658 end
16659
16660 addr_hit[77]: begin
16661 reg_rdata_next[0] = mio_outsel_regwen_11_qs;
16662 end
16663
16664 addr_hit[78]: begin
16665 reg_rdata_next[0] = mio_outsel_regwen_12_qs;
16666 end
16667
16668 addr_hit[79]: begin
16669 reg_rdata_next[0] = mio_outsel_regwen_13_qs;
16670 end
16671
16672 addr_hit[80]: begin
16673 reg_rdata_next[0] = mio_outsel_regwen_14_qs;
16674 end
16675
16676 addr_hit[81]: begin
16677 reg_rdata_next[0] = mio_outsel_regwen_15_qs;
16678 end
16679
16680 addr_hit[82]: begin
16681 reg_rdata_next[0] = mio_outsel_regwen_16_qs;
16682 end
16683
16684 addr_hit[83]: begin
16685 reg_rdata_next[0] = mio_outsel_regwen_17_qs;
16686 end
16687
16688 addr_hit[84]: begin
16689 reg_rdata_next[0] = mio_outsel_regwen_18_qs;
16690 end
16691
16692 addr_hit[85]: begin
16693 reg_rdata_next[0] = mio_outsel_regwen_19_qs;
16694 end
16695
16696 addr_hit[86]: begin
16697 reg_rdata_next[0] = mio_outsel_regwen_20_qs;
16698 end
16699
16700 addr_hit[87]: begin
16701 reg_rdata_next[0] = mio_outsel_regwen_21_qs;
16702 end
16703
16704 addr_hit[88]: begin
16705 reg_rdata_next[0] = mio_outsel_regwen_22_qs;
16706 end
16707
16708 addr_hit[89]: begin
16709 reg_rdata_next[0] = mio_outsel_regwen_23_qs;
16710 end
16711
16712 addr_hit[90]: begin
16713 reg_rdata_next[0] = mio_outsel_regwen_24_qs;
16714 end
16715
16716 addr_hit[91]: begin
16717 reg_rdata_next[0] = mio_outsel_regwen_25_qs;
16718 end
16719
16720 addr_hit[92]: begin
16721 reg_rdata_next[0] = mio_outsel_regwen_26_qs;
16722 end
16723
16724 addr_hit[93]: begin
16725 reg_rdata_next[0] = mio_outsel_regwen_27_qs;
16726 end
16727
16728 addr_hit[94]: begin
16729 reg_rdata_next[0] = mio_outsel_regwen_28_qs;
16730 end
16731
16732 addr_hit[95]: begin
16733 reg_rdata_next[0] = mio_outsel_regwen_29_qs;
16734 end
16735
16736 addr_hit[96]: begin
16737 reg_rdata_next[0] = mio_outsel_regwen_30_qs;
16738 end
16739
16740 addr_hit[97]: begin
16741 reg_rdata_next[0] = mio_outsel_regwen_31_qs;
16742 end
16743
16744 addr_hit[98]: begin
16745 reg_rdata_next[5:0] = mio_outsel_0_qs;
16746 end
16747
16748 addr_hit[99]: begin
16749 reg_rdata_next[5:0] = mio_outsel_1_qs;
16750 end
16751
16752 addr_hit[100]: begin
16753 reg_rdata_next[5:0] = mio_outsel_2_qs;
16754 end
16755
16756 addr_hit[101]: begin
16757 reg_rdata_next[5:0] = mio_outsel_3_qs;
16758 end
16759
16760 addr_hit[102]: begin
16761 reg_rdata_next[5:0] = mio_outsel_4_qs;
16762 end
16763
16764 addr_hit[103]: begin
16765 reg_rdata_next[5:0] = mio_outsel_5_qs;
16766 end
16767
16768 addr_hit[104]: begin
16769 reg_rdata_next[5:0] = mio_outsel_6_qs;
16770 end
16771
16772 addr_hit[105]: begin
16773 reg_rdata_next[5:0] = mio_outsel_7_qs;
16774 end
16775
16776 addr_hit[106]: begin
16777 reg_rdata_next[5:0] = mio_outsel_8_qs;
16778 end
16779
16780 addr_hit[107]: begin
16781 reg_rdata_next[5:0] = mio_outsel_9_qs;
16782 end
16783
16784 addr_hit[108]: begin
16785 reg_rdata_next[5:0] = mio_outsel_10_qs;
16786 end
16787
16788 addr_hit[109]: begin
16789 reg_rdata_next[5:0] = mio_outsel_11_qs;
16790 end
16791
16792 addr_hit[110]: begin
16793 reg_rdata_next[5:0] = mio_outsel_12_qs;
16794 end
16795
16796 addr_hit[111]: begin
16797 reg_rdata_next[5:0] = mio_outsel_13_qs;
16798 end
16799
16800 addr_hit[112]: begin
16801 reg_rdata_next[5:0] = mio_outsel_14_qs;
16802 end
16803
16804 addr_hit[113]: begin
16805 reg_rdata_next[5:0] = mio_outsel_15_qs;
16806 end
16807
16808 addr_hit[114]: begin
16809 reg_rdata_next[5:0] = mio_outsel_16_qs;
16810 end
16811
16812 addr_hit[115]: begin
16813 reg_rdata_next[5:0] = mio_outsel_17_qs;
16814 end
16815
16816 addr_hit[116]: begin
16817 reg_rdata_next[5:0] = mio_outsel_18_qs;
16818 end
16819
16820 addr_hit[117]: begin
16821 reg_rdata_next[5:0] = mio_outsel_19_qs;
16822 end
16823
16824 addr_hit[118]: begin
16825 reg_rdata_next[5:0] = mio_outsel_20_qs;
16826 end
16827
16828 addr_hit[119]: begin
16829 reg_rdata_next[5:0] = mio_outsel_21_qs;
16830 end
16831
16832 addr_hit[120]: begin
16833 reg_rdata_next[5:0] = mio_outsel_22_qs;
16834 end
16835
16836 addr_hit[121]: begin
16837 reg_rdata_next[5:0] = mio_outsel_23_qs;
16838 end
16839
16840 addr_hit[122]: begin
16841 reg_rdata_next[5:0] = mio_outsel_24_qs;
16842 end
16843
16844 addr_hit[123]: begin
16845 reg_rdata_next[5:0] = mio_outsel_25_qs;
16846 end
16847
16848 addr_hit[124]: begin
16849 reg_rdata_next[5:0] = mio_outsel_26_qs;
16850 end
16851
16852 addr_hit[125]: begin
16853 reg_rdata_next[5:0] = mio_outsel_27_qs;
16854 end
16855
16856 addr_hit[126]: begin
16857 reg_rdata_next[5:0] = mio_outsel_28_qs;
16858 end
16859
16860 addr_hit[127]: begin
16861 reg_rdata_next[5:0] = mio_outsel_29_qs;
16862 end
16863
16864 addr_hit[128]: begin
16865 reg_rdata_next[5:0] = mio_outsel_30_qs;
16866 end
16867
16868 addr_hit[129]: begin
16869 reg_rdata_next[5:0] = mio_outsel_31_qs;
16870 end
16871
16872 addr_hit[130]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016873 reg_rdata_next[0] = mio_pad_attr_regwen_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016874 end
16875
16876 addr_hit[131]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016877 reg_rdata_next[0] = mio_pad_attr_regwen_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016878 end
16879
16880 addr_hit[132]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016881 reg_rdata_next[0] = mio_pad_attr_regwen_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016882 end
16883
16884 addr_hit[133]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016885 reg_rdata_next[0] = mio_pad_attr_regwen_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016886 end
16887
16888 addr_hit[134]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016889 reg_rdata_next[0] = mio_pad_attr_regwen_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016890 end
16891
16892 addr_hit[135]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016893 reg_rdata_next[0] = mio_pad_attr_regwen_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016894 end
16895
16896 addr_hit[136]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016897 reg_rdata_next[0] = mio_pad_attr_regwen_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016898 end
16899
16900 addr_hit[137]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016901 reg_rdata_next[0] = mio_pad_attr_regwen_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016902 end
16903
16904 addr_hit[138]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016905 reg_rdata_next[0] = mio_pad_attr_regwen_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016906 end
16907
16908 addr_hit[139]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016909 reg_rdata_next[0] = mio_pad_attr_regwen_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016910 end
16911
16912 addr_hit[140]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016913 reg_rdata_next[0] = mio_pad_attr_regwen_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016914 end
16915
16916 addr_hit[141]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016917 reg_rdata_next[0] = mio_pad_attr_regwen_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016918 end
16919
16920 addr_hit[142]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016921 reg_rdata_next[0] = mio_pad_attr_regwen_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016922 end
16923
16924 addr_hit[143]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016925 reg_rdata_next[0] = mio_pad_attr_regwen_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016926 end
16927
16928 addr_hit[144]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016929 reg_rdata_next[0] = mio_pad_attr_regwen_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016930 end
16931
16932 addr_hit[145]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016933 reg_rdata_next[0] = mio_pad_attr_regwen_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016934 end
16935
16936 addr_hit[146]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016937 reg_rdata_next[0] = mio_pad_attr_regwen_16_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016938 end
16939
16940 addr_hit[147]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016941 reg_rdata_next[0] = mio_pad_attr_regwen_17_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016942 end
16943
16944 addr_hit[148]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016945 reg_rdata_next[0] = mio_pad_attr_regwen_18_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016946 end
16947
16948 addr_hit[149]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016949 reg_rdata_next[0] = mio_pad_attr_regwen_19_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016950 end
16951
16952 addr_hit[150]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016953 reg_rdata_next[0] = mio_pad_attr_regwen_20_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016954 end
16955
16956 addr_hit[151]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016957 reg_rdata_next[0] = mio_pad_attr_regwen_21_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016958 end
16959
16960 addr_hit[152]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016961 reg_rdata_next[0] = mio_pad_attr_regwen_22_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016962 end
16963
16964 addr_hit[153]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016965 reg_rdata_next[0] = mio_pad_attr_regwen_23_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016966 end
16967
16968 addr_hit[154]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016969 reg_rdata_next[0] = mio_pad_attr_regwen_24_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016970 end
16971
16972 addr_hit[155]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016973 reg_rdata_next[0] = mio_pad_attr_regwen_25_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016974 end
16975
16976 addr_hit[156]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016977 reg_rdata_next[0] = mio_pad_attr_regwen_26_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016978 end
16979
16980 addr_hit[157]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016981 reg_rdata_next[0] = mio_pad_attr_regwen_27_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016982 end
16983
16984 addr_hit[158]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016985 reg_rdata_next[0] = mio_pad_attr_regwen_28_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016986 end
16987
16988 addr_hit[159]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016989 reg_rdata_next[0] = mio_pad_attr_regwen_29_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016990 end
16991
16992 addr_hit[160]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016993 reg_rdata_next[0] = mio_pad_attr_regwen_30_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016994 end
16995
16996 addr_hit[161]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016997 reg_rdata_next[0] = mio_pad_attr_regwen_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016998 end
16999
17000 addr_hit[162]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017001 reg_rdata_next[12:0] = mio_pad_attr_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017002 end
17003
17004 addr_hit[163]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017005 reg_rdata_next[12:0] = mio_pad_attr_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017006 end
17007
17008 addr_hit[164]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017009 reg_rdata_next[12:0] = mio_pad_attr_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017010 end
17011
17012 addr_hit[165]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017013 reg_rdata_next[12:0] = mio_pad_attr_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017014 end
17015
17016 addr_hit[166]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017017 reg_rdata_next[12:0] = mio_pad_attr_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017018 end
17019
17020 addr_hit[167]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017021 reg_rdata_next[12:0] = mio_pad_attr_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017022 end
17023
17024 addr_hit[168]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017025 reg_rdata_next[12:0] = mio_pad_attr_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017026 end
17027
17028 addr_hit[169]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017029 reg_rdata_next[12:0] = mio_pad_attr_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017030 end
17031
17032 addr_hit[170]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017033 reg_rdata_next[12:0] = mio_pad_attr_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017034 end
17035
17036 addr_hit[171]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017037 reg_rdata_next[12:0] = mio_pad_attr_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017038 end
17039
17040 addr_hit[172]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017041 reg_rdata_next[12:0] = mio_pad_attr_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017042 end
17043
17044 addr_hit[173]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017045 reg_rdata_next[12:0] = mio_pad_attr_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017046 end
17047
17048 addr_hit[174]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017049 reg_rdata_next[12:0] = mio_pad_attr_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017050 end
17051
17052 addr_hit[175]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017053 reg_rdata_next[12:0] = mio_pad_attr_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017054 end
17055
17056 addr_hit[176]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017057 reg_rdata_next[12:0] = mio_pad_attr_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017058 end
17059
17060 addr_hit[177]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017061 reg_rdata_next[12:0] = mio_pad_attr_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017062 end
17063
17064 addr_hit[178]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017065 reg_rdata_next[12:0] = mio_pad_attr_16_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017066 end
17067
17068 addr_hit[179]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017069 reg_rdata_next[12:0] = mio_pad_attr_17_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017070 end
17071
17072 addr_hit[180]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017073 reg_rdata_next[12:0] = mio_pad_attr_18_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017074 end
17075
17076 addr_hit[181]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017077 reg_rdata_next[12:0] = mio_pad_attr_19_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017078 end
17079
17080 addr_hit[182]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017081 reg_rdata_next[12:0] = mio_pad_attr_20_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017082 end
17083
17084 addr_hit[183]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017085 reg_rdata_next[12:0] = mio_pad_attr_21_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017086 end
17087
17088 addr_hit[184]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017089 reg_rdata_next[12:0] = mio_pad_attr_22_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017090 end
17091
17092 addr_hit[185]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017093 reg_rdata_next[12:0] = mio_pad_attr_23_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017094 end
17095
17096 addr_hit[186]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017097 reg_rdata_next[12:0] = mio_pad_attr_24_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017098 end
17099
17100 addr_hit[187]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017101 reg_rdata_next[12:0] = mio_pad_attr_25_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017102 end
17103
17104 addr_hit[188]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017105 reg_rdata_next[12:0] = mio_pad_attr_26_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017106 end
17107
17108 addr_hit[189]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017109 reg_rdata_next[12:0] = mio_pad_attr_27_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017110 end
17111
17112 addr_hit[190]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017113 reg_rdata_next[12:0] = mio_pad_attr_28_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017114 end
17115
17116 addr_hit[191]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017117 reg_rdata_next[12:0] = mio_pad_attr_29_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017118 end
17119
17120 addr_hit[192]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017121 reg_rdata_next[12:0] = mio_pad_attr_30_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017122 end
17123
17124 addr_hit[193]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017125 reg_rdata_next[12:0] = mio_pad_attr_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017126 end
17127
17128 addr_hit[194]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017129 reg_rdata_next[0] = dio_pad_attr_regwen_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017130 end
17131
17132 addr_hit[195]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017133 reg_rdata_next[0] = dio_pad_attr_regwen_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017134 end
17135
17136 addr_hit[196]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017137 reg_rdata_next[0] = dio_pad_attr_regwen_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017138 end
17139
17140 addr_hit[197]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017141 reg_rdata_next[0] = dio_pad_attr_regwen_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017142 end
17143
17144 addr_hit[198]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017145 reg_rdata_next[0] = dio_pad_attr_regwen_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017146 end
17147
17148 addr_hit[199]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017149 reg_rdata_next[0] = dio_pad_attr_regwen_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017150 end
17151
17152 addr_hit[200]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017153 reg_rdata_next[0] = dio_pad_attr_regwen_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017154 end
17155
17156 addr_hit[201]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017157 reg_rdata_next[0] = dio_pad_attr_regwen_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017158 end
17159
17160 addr_hit[202]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017161 reg_rdata_next[0] = dio_pad_attr_regwen_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017162 end
17163
17164 addr_hit[203]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017165 reg_rdata_next[0] = dio_pad_attr_regwen_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017166 end
17167
17168 addr_hit[204]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017169 reg_rdata_next[0] = dio_pad_attr_regwen_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017170 end
17171
17172 addr_hit[205]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017173 reg_rdata_next[0] = dio_pad_attr_regwen_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017174 end
17175
17176 addr_hit[206]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017177 reg_rdata_next[0] = dio_pad_attr_regwen_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017178 end
17179
17180 addr_hit[207]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017181 reg_rdata_next[0] = dio_pad_attr_regwen_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017182 end
17183
17184 addr_hit[208]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017185 reg_rdata_next[0] = dio_pad_attr_regwen_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017186 end
17187
17188 addr_hit[209]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017189 reg_rdata_next[0] = dio_pad_attr_regwen_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017190 end
17191
17192 addr_hit[210]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017193 reg_rdata_next[12:0] = dio_pad_attr_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017194 end
17195
17196 addr_hit[211]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017197 reg_rdata_next[12:0] = dio_pad_attr_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017198 end
17199
17200 addr_hit[212]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017201 reg_rdata_next[12:0] = dio_pad_attr_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017202 end
17203
17204 addr_hit[213]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017205 reg_rdata_next[12:0] = dio_pad_attr_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017206 end
17207
17208 addr_hit[214]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017209 reg_rdata_next[12:0] = dio_pad_attr_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017210 end
17211
17212 addr_hit[215]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017213 reg_rdata_next[12:0] = dio_pad_attr_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017214 end
17215
17216 addr_hit[216]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017217 reg_rdata_next[12:0] = dio_pad_attr_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017218 end
17219
17220 addr_hit[217]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017221 reg_rdata_next[12:0] = dio_pad_attr_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017222 end
17223
17224 addr_hit[218]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017225 reg_rdata_next[12:0] = dio_pad_attr_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017226 end
17227
17228 addr_hit[219]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017229 reg_rdata_next[12:0] = dio_pad_attr_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017230 end
17231
17232 addr_hit[220]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017233 reg_rdata_next[12:0] = dio_pad_attr_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017234 end
17235
17236 addr_hit[221]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017237 reg_rdata_next[12:0] = dio_pad_attr_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017238 end
17239
17240 addr_hit[222]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017241 reg_rdata_next[12:0] = dio_pad_attr_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017242 end
17243
17244 addr_hit[223]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017245 reg_rdata_next[12:0] = dio_pad_attr_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017246 end
17247
17248 addr_hit[224]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017249 reg_rdata_next[12:0] = dio_pad_attr_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017250 end
17251
17252 addr_hit[225]: begin
Michael Schaffner6766d262021-04-08 18:23:58 -070017253 reg_rdata_next[12:0] = dio_pad_attr_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017254 end
17255
17256 addr_hit[226]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017257 reg_rdata_next[0] = mio_pad_sleep_status_en_0_qs;
17258 reg_rdata_next[1] = mio_pad_sleep_status_en_1_qs;
17259 reg_rdata_next[2] = mio_pad_sleep_status_en_2_qs;
17260 reg_rdata_next[3] = mio_pad_sleep_status_en_3_qs;
17261 reg_rdata_next[4] = mio_pad_sleep_status_en_4_qs;
17262 reg_rdata_next[5] = mio_pad_sleep_status_en_5_qs;
17263 reg_rdata_next[6] = mio_pad_sleep_status_en_6_qs;
17264 reg_rdata_next[7] = mio_pad_sleep_status_en_7_qs;
17265 reg_rdata_next[8] = mio_pad_sleep_status_en_8_qs;
17266 reg_rdata_next[9] = mio_pad_sleep_status_en_9_qs;
17267 reg_rdata_next[10] = mio_pad_sleep_status_en_10_qs;
17268 reg_rdata_next[11] = mio_pad_sleep_status_en_11_qs;
17269 reg_rdata_next[12] = mio_pad_sleep_status_en_12_qs;
17270 reg_rdata_next[13] = mio_pad_sleep_status_en_13_qs;
17271 reg_rdata_next[14] = mio_pad_sleep_status_en_14_qs;
17272 reg_rdata_next[15] = mio_pad_sleep_status_en_15_qs;
17273 reg_rdata_next[16] = mio_pad_sleep_status_en_16_qs;
17274 reg_rdata_next[17] = mio_pad_sleep_status_en_17_qs;
17275 reg_rdata_next[18] = mio_pad_sleep_status_en_18_qs;
17276 reg_rdata_next[19] = mio_pad_sleep_status_en_19_qs;
17277 reg_rdata_next[20] = mio_pad_sleep_status_en_20_qs;
17278 reg_rdata_next[21] = mio_pad_sleep_status_en_21_qs;
17279 reg_rdata_next[22] = mio_pad_sleep_status_en_22_qs;
17280 reg_rdata_next[23] = mio_pad_sleep_status_en_23_qs;
17281 reg_rdata_next[24] = mio_pad_sleep_status_en_24_qs;
17282 reg_rdata_next[25] = mio_pad_sleep_status_en_25_qs;
17283 reg_rdata_next[26] = mio_pad_sleep_status_en_26_qs;
17284 reg_rdata_next[27] = mio_pad_sleep_status_en_27_qs;
17285 reg_rdata_next[28] = mio_pad_sleep_status_en_28_qs;
17286 reg_rdata_next[29] = mio_pad_sleep_status_en_29_qs;
17287 reg_rdata_next[30] = mio_pad_sleep_status_en_30_qs;
17288 reg_rdata_next[31] = mio_pad_sleep_status_en_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017289 end
17290
17291 addr_hit[227]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017292 reg_rdata_next[0] = mio_pad_sleep_regwen_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017293 end
17294
17295 addr_hit[228]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017296 reg_rdata_next[0] = mio_pad_sleep_regwen_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017297 end
17298
17299 addr_hit[229]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017300 reg_rdata_next[0] = mio_pad_sleep_regwen_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017301 end
17302
17303 addr_hit[230]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017304 reg_rdata_next[0] = mio_pad_sleep_regwen_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017305 end
17306
17307 addr_hit[231]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017308 reg_rdata_next[0] = mio_pad_sleep_regwen_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017309 end
17310
17311 addr_hit[232]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017312 reg_rdata_next[0] = mio_pad_sleep_regwen_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017313 end
17314
17315 addr_hit[233]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017316 reg_rdata_next[0] = mio_pad_sleep_regwen_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017317 end
17318
17319 addr_hit[234]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017320 reg_rdata_next[0] = mio_pad_sleep_regwen_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017321 end
17322
17323 addr_hit[235]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017324 reg_rdata_next[0] = mio_pad_sleep_regwen_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017325 end
17326
17327 addr_hit[236]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017328 reg_rdata_next[0] = mio_pad_sleep_regwen_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017329 end
17330
17331 addr_hit[237]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017332 reg_rdata_next[0] = mio_pad_sleep_regwen_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017333 end
17334
17335 addr_hit[238]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017336 reg_rdata_next[0] = mio_pad_sleep_regwen_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017337 end
17338
17339 addr_hit[239]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017340 reg_rdata_next[0] = mio_pad_sleep_regwen_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017341 end
17342
17343 addr_hit[240]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017344 reg_rdata_next[0] = mio_pad_sleep_regwen_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017345 end
17346
17347 addr_hit[241]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017348 reg_rdata_next[0] = mio_pad_sleep_regwen_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017349 end
17350
17351 addr_hit[242]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017352 reg_rdata_next[0] = mio_pad_sleep_regwen_15_qs;
17353 end
17354
17355 addr_hit[243]: begin
17356 reg_rdata_next[0] = mio_pad_sleep_regwen_16_qs;
17357 end
17358
17359 addr_hit[244]: begin
17360 reg_rdata_next[0] = mio_pad_sleep_regwen_17_qs;
17361 end
17362
17363 addr_hit[245]: begin
17364 reg_rdata_next[0] = mio_pad_sleep_regwen_18_qs;
17365 end
17366
17367 addr_hit[246]: begin
17368 reg_rdata_next[0] = mio_pad_sleep_regwen_19_qs;
17369 end
17370
17371 addr_hit[247]: begin
17372 reg_rdata_next[0] = mio_pad_sleep_regwen_20_qs;
17373 end
17374
17375 addr_hit[248]: begin
17376 reg_rdata_next[0] = mio_pad_sleep_regwen_21_qs;
17377 end
17378
17379 addr_hit[249]: begin
17380 reg_rdata_next[0] = mio_pad_sleep_regwen_22_qs;
17381 end
17382
17383 addr_hit[250]: begin
17384 reg_rdata_next[0] = mio_pad_sleep_regwen_23_qs;
17385 end
17386
17387 addr_hit[251]: begin
17388 reg_rdata_next[0] = mio_pad_sleep_regwen_24_qs;
17389 end
17390
17391 addr_hit[252]: begin
17392 reg_rdata_next[0] = mio_pad_sleep_regwen_25_qs;
17393 end
17394
17395 addr_hit[253]: begin
17396 reg_rdata_next[0] = mio_pad_sleep_regwen_26_qs;
17397 end
17398
17399 addr_hit[254]: begin
17400 reg_rdata_next[0] = mio_pad_sleep_regwen_27_qs;
17401 end
17402
17403 addr_hit[255]: begin
17404 reg_rdata_next[0] = mio_pad_sleep_regwen_28_qs;
17405 end
17406
17407 addr_hit[256]: begin
17408 reg_rdata_next[0] = mio_pad_sleep_regwen_29_qs;
17409 end
17410
17411 addr_hit[257]: begin
17412 reg_rdata_next[0] = mio_pad_sleep_regwen_30_qs;
17413 end
17414
17415 addr_hit[258]: begin
17416 reg_rdata_next[0] = mio_pad_sleep_regwen_31_qs;
17417 end
17418
17419 addr_hit[259]: begin
17420 reg_rdata_next[0] = mio_pad_sleep_en_0_qs;
17421 end
17422
17423 addr_hit[260]: begin
17424 reg_rdata_next[0] = mio_pad_sleep_en_1_qs;
17425 end
17426
17427 addr_hit[261]: begin
17428 reg_rdata_next[0] = mio_pad_sleep_en_2_qs;
17429 end
17430
17431 addr_hit[262]: begin
17432 reg_rdata_next[0] = mio_pad_sleep_en_3_qs;
17433 end
17434
17435 addr_hit[263]: begin
17436 reg_rdata_next[0] = mio_pad_sleep_en_4_qs;
17437 end
17438
17439 addr_hit[264]: begin
17440 reg_rdata_next[0] = mio_pad_sleep_en_5_qs;
17441 end
17442
17443 addr_hit[265]: begin
17444 reg_rdata_next[0] = mio_pad_sleep_en_6_qs;
17445 end
17446
17447 addr_hit[266]: begin
17448 reg_rdata_next[0] = mio_pad_sleep_en_7_qs;
17449 end
17450
17451 addr_hit[267]: begin
17452 reg_rdata_next[0] = mio_pad_sleep_en_8_qs;
17453 end
17454
17455 addr_hit[268]: begin
17456 reg_rdata_next[0] = mio_pad_sleep_en_9_qs;
17457 end
17458
17459 addr_hit[269]: begin
17460 reg_rdata_next[0] = mio_pad_sleep_en_10_qs;
17461 end
17462
17463 addr_hit[270]: begin
17464 reg_rdata_next[0] = mio_pad_sleep_en_11_qs;
17465 end
17466
17467 addr_hit[271]: begin
17468 reg_rdata_next[0] = mio_pad_sleep_en_12_qs;
17469 end
17470
17471 addr_hit[272]: begin
17472 reg_rdata_next[0] = mio_pad_sleep_en_13_qs;
17473 end
17474
17475 addr_hit[273]: begin
17476 reg_rdata_next[0] = mio_pad_sleep_en_14_qs;
17477 end
17478
17479 addr_hit[274]: begin
17480 reg_rdata_next[0] = mio_pad_sleep_en_15_qs;
17481 end
17482
17483 addr_hit[275]: begin
17484 reg_rdata_next[0] = mio_pad_sleep_en_16_qs;
17485 end
17486
17487 addr_hit[276]: begin
17488 reg_rdata_next[0] = mio_pad_sleep_en_17_qs;
17489 end
17490
17491 addr_hit[277]: begin
17492 reg_rdata_next[0] = mio_pad_sleep_en_18_qs;
17493 end
17494
17495 addr_hit[278]: begin
17496 reg_rdata_next[0] = mio_pad_sleep_en_19_qs;
17497 end
17498
17499 addr_hit[279]: begin
17500 reg_rdata_next[0] = mio_pad_sleep_en_20_qs;
17501 end
17502
17503 addr_hit[280]: begin
17504 reg_rdata_next[0] = mio_pad_sleep_en_21_qs;
17505 end
17506
17507 addr_hit[281]: begin
17508 reg_rdata_next[0] = mio_pad_sleep_en_22_qs;
17509 end
17510
17511 addr_hit[282]: begin
17512 reg_rdata_next[0] = mio_pad_sleep_en_23_qs;
17513 end
17514
17515 addr_hit[283]: begin
17516 reg_rdata_next[0] = mio_pad_sleep_en_24_qs;
17517 end
17518
17519 addr_hit[284]: begin
17520 reg_rdata_next[0] = mio_pad_sleep_en_25_qs;
17521 end
17522
17523 addr_hit[285]: begin
17524 reg_rdata_next[0] = mio_pad_sleep_en_26_qs;
17525 end
17526
17527 addr_hit[286]: begin
17528 reg_rdata_next[0] = mio_pad_sleep_en_27_qs;
17529 end
17530
17531 addr_hit[287]: begin
17532 reg_rdata_next[0] = mio_pad_sleep_en_28_qs;
17533 end
17534
17535 addr_hit[288]: begin
17536 reg_rdata_next[0] = mio_pad_sleep_en_29_qs;
17537 end
17538
17539 addr_hit[289]: begin
17540 reg_rdata_next[0] = mio_pad_sleep_en_30_qs;
17541 end
17542
17543 addr_hit[290]: begin
17544 reg_rdata_next[0] = mio_pad_sleep_en_31_qs;
17545 end
17546
17547 addr_hit[291]: begin
17548 reg_rdata_next[1:0] = mio_pad_sleep_mode_0_qs;
17549 end
17550
17551 addr_hit[292]: begin
17552 reg_rdata_next[1:0] = mio_pad_sleep_mode_1_qs;
17553 end
17554
17555 addr_hit[293]: begin
17556 reg_rdata_next[1:0] = mio_pad_sleep_mode_2_qs;
17557 end
17558
17559 addr_hit[294]: begin
17560 reg_rdata_next[1:0] = mio_pad_sleep_mode_3_qs;
17561 end
17562
17563 addr_hit[295]: begin
17564 reg_rdata_next[1:0] = mio_pad_sleep_mode_4_qs;
17565 end
17566
17567 addr_hit[296]: begin
17568 reg_rdata_next[1:0] = mio_pad_sleep_mode_5_qs;
17569 end
17570
17571 addr_hit[297]: begin
17572 reg_rdata_next[1:0] = mio_pad_sleep_mode_6_qs;
17573 end
17574
17575 addr_hit[298]: begin
17576 reg_rdata_next[1:0] = mio_pad_sleep_mode_7_qs;
17577 end
17578
17579 addr_hit[299]: begin
17580 reg_rdata_next[1:0] = mio_pad_sleep_mode_8_qs;
17581 end
17582
17583 addr_hit[300]: begin
17584 reg_rdata_next[1:0] = mio_pad_sleep_mode_9_qs;
17585 end
17586
17587 addr_hit[301]: begin
17588 reg_rdata_next[1:0] = mio_pad_sleep_mode_10_qs;
17589 end
17590
17591 addr_hit[302]: begin
17592 reg_rdata_next[1:0] = mio_pad_sleep_mode_11_qs;
17593 end
17594
17595 addr_hit[303]: begin
17596 reg_rdata_next[1:0] = mio_pad_sleep_mode_12_qs;
17597 end
17598
17599 addr_hit[304]: begin
17600 reg_rdata_next[1:0] = mio_pad_sleep_mode_13_qs;
17601 end
17602
17603 addr_hit[305]: begin
17604 reg_rdata_next[1:0] = mio_pad_sleep_mode_14_qs;
17605 end
17606
17607 addr_hit[306]: begin
17608 reg_rdata_next[1:0] = mio_pad_sleep_mode_15_qs;
17609 end
17610
17611 addr_hit[307]: begin
17612 reg_rdata_next[1:0] = mio_pad_sleep_mode_16_qs;
17613 end
17614
17615 addr_hit[308]: begin
17616 reg_rdata_next[1:0] = mio_pad_sleep_mode_17_qs;
17617 end
17618
17619 addr_hit[309]: begin
17620 reg_rdata_next[1:0] = mio_pad_sleep_mode_18_qs;
17621 end
17622
17623 addr_hit[310]: begin
17624 reg_rdata_next[1:0] = mio_pad_sleep_mode_19_qs;
17625 end
17626
17627 addr_hit[311]: begin
17628 reg_rdata_next[1:0] = mio_pad_sleep_mode_20_qs;
17629 end
17630
17631 addr_hit[312]: begin
17632 reg_rdata_next[1:0] = mio_pad_sleep_mode_21_qs;
17633 end
17634
17635 addr_hit[313]: begin
17636 reg_rdata_next[1:0] = mio_pad_sleep_mode_22_qs;
17637 end
17638
17639 addr_hit[314]: begin
17640 reg_rdata_next[1:0] = mio_pad_sleep_mode_23_qs;
17641 end
17642
17643 addr_hit[315]: begin
17644 reg_rdata_next[1:0] = mio_pad_sleep_mode_24_qs;
17645 end
17646
17647 addr_hit[316]: begin
17648 reg_rdata_next[1:0] = mio_pad_sleep_mode_25_qs;
17649 end
17650
17651 addr_hit[317]: begin
17652 reg_rdata_next[1:0] = mio_pad_sleep_mode_26_qs;
17653 end
17654
17655 addr_hit[318]: begin
17656 reg_rdata_next[1:0] = mio_pad_sleep_mode_27_qs;
17657 end
17658
17659 addr_hit[319]: begin
17660 reg_rdata_next[1:0] = mio_pad_sleep_mode_28_qs;
17661 end
17662
17663 addr_hit[320]: begin
17664 reg_rdata_next[1:0] = mio_pad_sleep_mode_29_qs;
17665 end
17666
17667 addr_hit[321]: begin
17668 reg_rdata_next[1:0] = mio_pad_sleep_mode_30_qs;
17669 end
17670
17671 addr_hit[322]: begin
17672 reg_rdata_next[1:0] = mio_pad_sleep_mode_31_qs;
17673 end
17674
17675 addr_hit[323]: begin
17676 reg_rdata_next[0] = dio_pad_sleep_status_en_0_qs;
17677 reg_rdata_next[1] = dio_pad_sleep_status_en_1_qs;
17678 reg_rdata_next[2] = dio_pad_sleep_status_en_2_qs;
17679 reg_rdata_next[3] = dio_pad_sleep_status_en_3_qs;
17680 reg_rdata_next[4] = dio_pad_sleep_status_en_4_qs;
17681 reg_rdata_next[5] = dio_pad_sleep_status_en_5_qs;
17682 reg_rdata_next[6] = dio_pad_sleep_status_en_6_qs;
17683 reg_rdata_next[7] = dio_pad_sleep_status_en_7_qs;
17684 reg_rdata_next[8] = dio_pad_sleep_status_en_8_qs;
17685 reg_rdata_next[9] = dio_pad_sleep_status_en_9_qs;
17686 reg_rdata_next[10] = dio_pad_sleep_status_en_10_qs;
17687 reg_rdata_next[11] = dio_pad_sleep_status_en_11_qs;
17688 reg_rdata_next[12] = dio_pad_sleep_status_en_12_qs;
17689 reg_rdata_next[13] = dio_pad_sleep_status_en_13_qs;
17690 reg_rdata_next[14] = dio_pad_sleep_status_en_14_qs;
17691 reg_rdata_next[15] = dio_pad_sleep_status_en_15_qs;
17692 end
17693
17694 addr_hit[324]: begin
17695 reg_rdata_next[0] = dio_pad_sleep_regwen_0_qs;
17696 end
17697
17698 addr_hit[325]: begin
17699 reg_rdata_next[0] = dio_pad_sleep_regwen_1_qs;
17700 end
17701
17702 addr_hit[326]: begin
17703 reg_rdata_next[0] = dio_pad_sleep_regwen_2_qs;
17704 end
17705
17706 addr_hit[327]: begin
17707 reg_rdata_next[0] = dio_pad_sleep_regwen_3_qs;
17708 end
17709
17710 addr_hit[328]: begin
17711 reg_rdata_next[0] = dio_pad_sleep_regwen_4_qs;
17712 end
17713
17714 addr_hit[329]: begin
17715 reg_rdata_next[0] = dio_pad_sleep_regwen_5_qs;
17716 end
17717
17718 addr_hit[330]: begin
17719 reg_rdata_next[0] = dio_pad_sleep_regwen_6_qs;
17720 end
17721
17722 addr_hit[331]: begin
17723 reg_rdata_next[0] = dio_pad_sleep_regwen_7_qs;
17724 end
17725
17726 addr_hit[332]: begin
17727 reg_rdata_next[0] = dio_pad_sleep_regwen_8_qs;
17728 end
17729
17730 addr_hit[333]: begin
17731 reg_rdata_next[0] = dio_pad_sleep_regwen_9_qs;
17732 end
17733
17734 addr_hit[334]: begin
17735 reg_rdata_next[0] = dio_pad_sleep_regwen_10_qs;
17736 end
17737
17738 addr_hit[335]: begin
17739 reg_rdata_next[0] = dio_pad_sleep_regwen_11_qs;
17740 end
17741
17742 addr_hit[336]: begin
17743 reg_rdata_next[0] = dio_pad_sleep_regwen_12_qs;
17744 end
17745
17746 addr_hit[337]: begin
17747 reg_rdata_next[0] = dio_pad_sleep_regwen_13_qs;
17748 end
17749
17750 addr_hit[338]: begin
17751 reg_rdata_next[0] = dio_pad_sleep_regwen_14_qs;
17752 end
17753
17754 addr_hit[339]: begin
17755 reg_rdata_next[0] = dio_pad_sleep_regwen_15_qs;
17756 end
17757
17758 addr_hit[340]: begin
17759 reg_rdata_next[0] = dio_pad_sleep_en_0_qs;
17760 end
17761
17762 addr_hit[341]: begin
17763 reg_rdata_next[0] = dio_pad_sleep_en_1_qs;
17764 end
17765
17766 addr_hit[342]: begin
17767 reg_rdata_next[0] = dio_pad_sleep_en_2_qs;
17768 end
17769
17770 addr_hit[343]: begin
17771 reg_rdata_next[0] = dio_pad_sleep_en_3_qs;
17772 end
17773
17774 addr_hit[344]: begin
17775 reg_rdata_next[0] = dio_pad_sleep_en_4_qs;
17776 end
17777
17778 addr_hit[345]: begin
17779 reg_rdata_next[0] = dio_pad_sleep_en_5_qs;
17780 end
17781
17782 addr_hit[346]: begin
17783 reg_rdata_next[0] = dio_pad_sleep_en_6_qs;
17784 end
17785
17786 addr_hit[347]: begin
17787 reg_rdata_next[0] = dio_pad_sleep_en_7_qs;
17788 end
17789
17790 addr_hit[348]: begin
17791 reg_rdata_next[0] = dio_pad_sleep_en_8_qs;
17792 end
17793
17794 addr_hit[349]: begin
17795 reg_rdata_next[0] = dio_pad_sleep_en_9_qs;
17796 end
17797
17798 addr_hit[350]: begin
17799 reg_rdata_next[0] = dio_pad_sleep_en_10_qs;
17800 end
17801
17802 addr_hit[351]: begin
17803 reg_rdata_next[0] = dio_pad_sleep_en_11_qs;
17804 end
17805
17806 addr_hit[352]: begin
17807 reg_rdata_next[0] = dio_pad_sleep_en_12_qs;
17808 end
17809
17810 addr_hit[353]: begin
17811 reg_rdata_next[0] = dio_pad_sleep_en_13_qs;
17812 end
17813
17814 addr_hit[354]: begin
17815 reg_rdata_next[0] = dio_pad_sleep_en_14_qs;
17816 end
17817
17818 addr_hit[355]: begin
17819 reg_rdata_next[0] = dio_pad_sleep_en_15_qs;
17820 end
17821
17822 addr_hit[356]: begin
17823 reg_rdata_next[1:0] = dio_pad_sleep_mode_0_qs;
17824 end
17825
17826 addr_hit[357]: begin
17827 reg_rdata_next[1:0] = dio_pad_sleep_mode_1_qs;
17828 end
17829
17830 addr_hit[358]: begin
17831 reg_rdata_next[1:0] = dio_pad_sleep_mode_2_qs;
17832 end
17833
17834 addr_hit[359]: begin
17835 reg_rdata_next[1:0] = dio_pad_sleep_mode_3_qs;
17836 end
17837
17838 addr_hit[360]: begin
17839 reg_rdata_next[1:0] = dio_pad_sleep_mode_4_qs;
17840 end
17841
17842 addr_hit[361]: begin
17843 reg_rdata_next[1:0] = dio_pad_sleep_mode_5_qs;
17844 end
17845
17846 addr_hit[362]: begin
17847 reg_rdata_next[1:0] = dio_pad_sleep_mode_6_qs;
17848 end
17849
17850 addr_hit[363]: begin
17851 reg_rdata_next[1:0] = dio_pad_sleep_mode_7_qs;
17852 end
17853
17854 addr_hit[364]: begin
17855 reg_rdata_next[1:0] = dio_pad_sleep_mode_8_qs;
17856 end
17857
17858 addr_hit[365]: begin
17859 reg_rdata_next[1:0] = dio_pad_sleep_mode_9_qs;
17860 end
17861
17862 addr_hit[366]: begin
17863 reg_rdata_next[1:0] = dio_pad_sleep_mode_10_qs;
17864 end
17865
17866 addr_hit[367]: begin
17867 reg_rdata_next[1:0] = dio_pad_sleep_mode_11_qs;
17868 end
17869
17870 addr_hit[368]: begin
17871 reg_rdata_next[1:0] = dio_pad_sleep_mode_12_qs;
17872 end
17873
17874 addr_hit[369]: begin
17875 reg_rdata_next[1:0] = dio_pad_sleep_mode_13_qs;
17876 end
17877
17878 addr_hit[370]: begin
17879 reg_rdata_next[1:0] = dio_pad_sleep_mode_14_qs;
17880 end
17881
17882 addr_hit[371]: begin
17883 reg_rdata_next[1:0] = dio_pad_sleep_mode_15_qs;
17884 end
17885
17886 addr_hit[372]: begin
17887 reg_rdata_next[0] = wkup_detector_regwen_0_qs;
17888 end
17889
17890 addr_hit[373]: begin
17891 reg_rdata_next[0] = wkup_detector_regwen_1_qs;
17892 end
17893
17894 addr_hit[374]: begin
17895 reg_rdata_next[0] = wkup_detector_regwen_2_qs;
17896 end
17897
17898 addr_hit[375]: begin
17899 reg_rdata_next[0] = wkup_detector_regwen_3_qs;
17900 end
17901
17902 addr_hit[376]: begin
17903 reg_rdata_next[0] = wkup_detector_regwen_4_qs;
17904 end
17905
17906 addr_hit[377]: begin
17907 reg_rdata_next[0] = wkup_detector_regwen_5_qs;
17908 end
17909
17910 addr_hit[378]: begin
17911 reg_rdata_next[0] = wkup_detector_regwen_6_qs;
17912 end
17913
17914 addr_hit[379]: begin
17915 reg_rdata_next[0] = wkup_detector_regwen_7_qs;
17916 end
17917
17918 addr_hit[380]: begin
17919 reg_rdata_next[0] = wkup_detector_en_0_qs;
17920 end
17921
17922 addr_hit[381]: begin
17923 reg_rdata_next[0] = wkup_detector_en_1_qs;
17924 end
17925
17926 addr_hit[382]: begin
17927 reg_rdata_next[0] = wkup_detector_en_2_qs;
17928 end
17929
17930 addr_hit[383]: begin
17931 reg_rdata_next[0] = wkup_detector_en_3_qs;
17932 end
17933
17934 addr_hit[384]: begin
17935 reg_rdata_next[0] = wkup_detector_en_4_qs;
17936 end
17937
17938 addr_hit[385]: begin
17939 reg_rdata_next[0] = wkup_detector_en_5_qs;
17940 end
17941
17942 addr_hit[386]: begin
17943 reg_rdata_next[0] = wkup_detector_en_6_qs;
17944 end
17945
17946 addr_hit[387]: begin
17947 reg_rdata_next[0] = wkup_detector_en_7_qs;
17948 end
17949
17950 addr_hit[388]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017951 reg_rdata_next[2:0] = wkup_detector_0_mode_0_qs;
17952 reg_rdata_next[3] = wkup_detector_0_filter_0_qs;
17953 reg_rdata_next[4] = wkup_detector_0_miodio_0_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017954 end
17955
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017956 addr_hit[389]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017957 reg_rdata_next[2:0] = wkup_detector_1_mode_1_qs;
17958 reg_rdata_next[3] = wkup_detector_1_filter_1_qs;
17959 reg_rdata_next[4] = wkup_detector_1_miodio_1_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017960 end
17961
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017962 addr_hit[390]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017963 reg_rdata_next[2:0] = wkup_detector_2_mode_2_qs;
17964 reg_rdata_next[3] = wkup_detector_2_filter_2_qs;
17965 reg_rdata_next[4] = wkup_detector_2_miodio_2_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017966 end
17967
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017968 addr_hit[391]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017969 reg_rdata_next[2:0] = wkup_detector_3_mode_3_qs;
17970 reg_rdata_next[3] = wkup_detector_3_filter_3_qs;
17971 reg_rdata_next[4] = wkup_detector_3_miodio_3_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017972 end
17973
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017974 addr_hit[392]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017975 reg_rdata_next[2:0] = wkup_detector_4_mode_4_qs;
17976 reg_rdata_next[3] = wkup_detector_4_filter_4_qs;
17977 reg_rdata_next[4] = wkup_detector_4_miodio_4_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017978 end
17979
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017980 addr_hit[393]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017981 reg_rdata_next[2:0] = wkup_detector_5_mode_5_qs;
17982 reg_rdata_next[3] = wkup_detector_5_filter_5_qs;
17983 reg_rdata_next[4] = wkup_detector_5_miodio_5_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017984 end
17985
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017986 addr_hit[394]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017987 reg_rdata_next[2:0] = wkup_detector_6_mode_6_qs;
17988 reg_rdata_next[3] = wkup_detector_6_filter_6_qs;
17989 reg_rdata_next[4] = wkup_detector_6_miodio_6_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017990 end
17991
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017992 addr_hit[395]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017993 reg_rdata_next[2:0] = wkup_detector_7_mode_7_qs;
17994 reg_rdata_next[3] = wkup_detector_7_filter_7_qs;
17995 reg_rdata_next[4] = wkup_detector_7_miodio_7_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017996 end
17997
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017998 addr_hit[396]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080017999 reg_rdata_next[7:0] = wkup_detector_cnt_th_0_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018000 end
18001
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018002 addr_hit[397]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018003 reg_rdata_next[7:0] = wkup_detector_cnt_th_1_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018004 end
18005
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018006 addr_hit[398]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018007 reg_rdata_next[7:0] = wkup_detector_cnt_th_2_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018008 end
18009
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018010 addr_hit[399]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018011 reg_rdata_next[7:0] = wkup_detector_cnt_th_3_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018012 end
18013
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018014 addr_hit[400]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018015 reg_rdata_next[7:0] = wkup_detector_cnt_th_4_qs;
18016 end
18017
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018018 addr_hit[401]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018019 reg_rdata_next[7:0] = wkup_detector_cnt_th_5_qs;
18020 end
18021
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018022 addr_hit[402]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018023 reg_rdata_next[7:0] = wkup_detector_cnt_th_6_qs;
18024 end
18025
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018026 addr_hit[403]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018027 reg_rdata_next[7:0] = wkup_detector_cnt_th_7_qs;
18028 end
18029
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018030 addr_hit[404]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018031 reg_rdata_next[5:0] = wkup_detector_padsel_0_qs;
18032 end
18033
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018034 addr_hit[405]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018035 reg_rdata_next[5:0] = wkup_detector_padsel_1_qs;
18036 end
18037
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018038 addr_hit[406]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018039 reg_rdata_next[5:0] = wkup_detector_padsel_2_qs;
18040 end
18041
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018042 addr_hit[407]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018043 reg_rdata_next[5:0] = wkup_detector_padsel_3_qs;
18044 end
18045
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018046 addr_hit[408]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018047 reg_rdata_next[5:0] = wkup_detector_padsel_4_qs;
18048 end
18049
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018050 addr_hit[409]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018051 reg_rdata_next[5:0] = wkup_detector_padsel_5_qs;
18052 end
18053
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018054 addr_hit[410]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018055 reg_rdata_next[5:0] = wkup_detector_padsel_6_qs;
18056 end
18057
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018058 addr_hit[411]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018059 reg_rdata_next[5:0] = wkup_detector_padsel_7_qs;
18060 end
18061
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018062 addr_hit[412]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020018063 reg_rdata_next[0] = wkup_cause_cause_0_qs;
18064 reg_rdata_next[1] = wkup_cause_cause_1_qs;
18065 reg_rdata_next[2] = wkup_cause_cause_2_qs;
18066 reg_rdata_next[3] = wkup_cause_cause_3_qs;
18067 reg_rdata_next[4] = wkup_cause_cause_4_qs;
18068 reg_rdata_next[5] = wkup_cause_cause_5_qs;
18069 reg_rdata_next[6] = wkup_cause_cause_6_qs;
18070 reg_rdata_next[7] = wkup_cause_cause_7_qs;
Michael Schaffner51c61442019-10-01 15:49:10 -070018071 end
18072
18073 default: begin
18074 reg_rdata_next = '1;
18075 end
18076 endcase
18077 end
18078
Timothy Chenf5567622021-02-23 10:14:59 -080018079 // Unused signal tieoff
18080
18081 // wdata / byte enable are not always fully used
18082 // add a blanket unused statement to handle lint waivers
18083 logic unused_wdata;
18084 logic unused_be;
18085 assign unused_wdata = ^reg_wdata;
18086 assign unused_be = ^reg_be;
18087
Michael Schaffner51c61442019-10-01 15:49:10 -070018088 // Assertions for Register Interface
Greg Chadwick46ede4b2020-01-14 12:46:39 +000018089 `ASSERT_PULSE(wePulse, reg_we)
18090 `ASSERT_PULSE(rePulse, reg_re)
Michael Schaffner51c61442019-10-01 15:49:10 -070018091
Greg Chadwick46ede4b2020-01-14 12:46:39 +000018092 `ASSERT(reAfterRv, $rose(reg_re || reg_we) |=> tl_o.d_valid)
Michael Schaffner51c61442019-10-01 15:49:10 -070018093
Greg Chadwick46ede4b2020-01-14 12:46:39 +000018094 `ASSERT(en2addrHit, (reg_we || reg_re) |-> $onehot0(addr_hit))
Michael Schaffner51c61442019-10-01 15:49:10 -070018095
Michael Schaffneree9e8db2019-10-22 17:49:51 -070018096 // this is formulated as an assumption such that the FPV testbenches do disprove this
18097 // property by mistake
Timothy Chend2c08a52021-02-12 15:39:24 -080018098 //`ASSUME(reqParity, tl_reg_h2d.a_valid |-> tl_reg_h2d.a_user.chk_en == tlul_pkg::CheckDis)
Michael Schaffner51c61442019-10-01 15:49:10 -070018099
18100endmodule