blob: 6c0bf39947320f41f8dec62225a4ce9d38d41208 [file] [log] [blame]
Michael Schaffner51c61442019-10-01 15:49:10 -07001// Copyright lowRISC contributors.
2// Licensed under the Apache License, Version 2.0, see LICENSE for details.
3// SPDX-License-Identifier: Apache-2.0
4//
5// Register Top module auto-generated by `reggen`
6
Greg Chadwickcf423082020-02-05 16:52:23 +00007`include "prim_assert.sv"
8
Michael Schaffner51c61442019-10-01 15:49:10 -07009module pinmux_reg_top (
10 input clk_i,
11 input rst_ni,
12
13 // Below Regster interface can be changed
14 input tlul_pkg::tl_h2d_t tl_i,
15 output tlul_pkg::tl_d2h_t tl_o,
16 // To HW
17 output pinmux_reg_pkg::pinmux_reg2hw_t reg2hw, // Write
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018 input pinmux_reg_pkg::pinmux_hw2reg_t hw2reg, // Read
Michael Schaffner51c61442019-10-01 15:49:10 -070019
20 // Config
21 input devmode_i // If 1, explicit error return for unmapped register access
22);
23
24 import pinmux_reg_pkg::* ;
25
Michael Schaffner06fdb112021-02-10 16:52:56 -080026 localparam int AW = 11;
Michael Schaffner1b5fa9f2020-01-17 17:43:42 -080027 localparam int DW = 32;
28 localparam int DBW = DW/8; // Byte Width
Michael Schaffner51c61442019-10-01 15:49:10 -070029
30 // register signals
31 logic reg_we;
32 logic reg_re;
33 logic [AW-1:0] reg_addr;
34 logic [DW-1:0] reg_wdata;
35 logic [DBW-1:0] reg_be;
36 logic [DW-1:0] reg_rdata;
37 logic reg_error;
38
39 logic addrmiss, wr_err;
40
41 logic [DW-1:0] reg_rdata_next;
42
43 tlul_pkg::tl_h2d_t tl_reg_h2d;
44 tlul_pkg::tl_d2h_t tl_reg_d2h;
45
46 assign tl_reg_h2d = tl_i;
47 assign tl_o = tl_reg_d2h;
48
49 tlul_adapter_reg #(
50 .RegAw(AW),
51 .RegDw(DW)
52 ) u_reg_if (
53 .clk_i,
54 .rst_ni,
55
56 .tl_i (tl_reg_h2d),
57 .tl_o (tl_reg_d2h),
58
59 .we_o (reg_we),
60 .re_o (reg_re),
61 .addr_o (reg_addr),
62 .wdata_o (reg_wdata),
63 .be_o (reg_be),
64 .rdata_i (reg_rdata),
65 .error_i (reg_error)
66 );
67
68 assign reg_rdata = reg_rdata_next ;
69 assign reg_error = (devmode_i & addrmiss) | wr_err ;
70
71 // Define SW related signals
72 // Format: <reg>_<field>_{wd|we|qs}
73 // or <reg>_{wd|we|qs} if field == 1 or 0
Michael Schaffner06fdb112021-02-10 16:52:56 -080074 logic mio_periph_insel_regwen_0_qs;
75 logic mio_periph_insel_regwen_0_wd;
76 logic mio_periph_insel_regwen_0_we;
77 logic mio_periph_insel_regwen_1_qs;
78 logic mio_periph_insel_regwen_1_wd;
79 logic mio_periph_insel_regwen_1_we;
80 logic mio_periph_insel_regwen_2_qs;
81 logic mio_periph_insel_regwen_2_wd;
82 logic mio_periph_insel_regwen_2_we;
83 logic mio_periph_insel_regwen_3_qs;
84 logic mio_periph_insel_regwen_3_wd;
85 logic mio_periph_insel_regwen_3_we;
86 logic mio_periph_insel_regwen_4_qs;
87 logic mio_periph_insel_regwen_4_wd;
88 logic mio_periph_insel_regwen_4_we;
89 logic mio_periph_insel_regwen_5_qs;
90 logic mio_periph_insel_regwen_5_wd;
91 logic mio_periph_insel_regwen_5_we;
92 logic mio_periph_insel_regwen_6_qs;
93 logic mio_periph_insel_regwen_6_wd;
94 logic mio_periph_insel_regwen_6_we;
95 logic mio_periph_insel_regwen_7_qs;
96 logic mio_periph_insel_regwen_7_wd;
97 logic mio_periph_insel_regwen_7_we;
98 logic mio_periph_insel_regwen_8_qs;
99 logic mio_periph_insel_regwen_8_wd;
100 logic mio_periph_insel_regwen_8_we;
101 logic mio_periph_insel_regwen_9_qs;
102 logic mio_periph_insel_regwen_9_wd;
103 logic mio_periph_insel_regwen_9_we;
104 logic mio_periph_insel_regwen_10_qs;
105 logic mio_periph_insel_regwen_10_wd;
106 logic mio_periph_insel_regwen_10_we;
107 logic mio_periph_insel_regwen_11_qs;
108 logic mio_periph_insel_regwen_11_wd;
109 logic mio_periph_insel_regwen_11_we;
110 logic mio_periph_insel_regwen_12_qs;
111 logic mio_periph_insel_regwen_12_wd;
112 logic mio_periph_insel_regwen_12_we;
113 logic mio_periph_insel_regwen_13_qs;
114 logic mio_periph_insel_regwen_13_wd;
115 logic mio_periph_insel_regwen_13_we;
116 logic mio_periph_insel_regwen_14_qs;
117 logic mio_periph_insel_regwen_14_wd;
118 logic mio_periph_insel_regwen_14_we;
119 logic mio_periph_insel_regwen_15_qs;
120 logic mio_periph_insel_regwen_15_wd;
121 logic mio_periph_insel_regwen_15_we;
122 logic mio_periph_insel_regwen_16_qs;
123 logic mio_periph_insel_regwen_16_wd;
124 logic mio_periph_insel_regwen_16_we;
125 logic mio_periph_insel_regwen_17_qs;
126 logic mio_periph_insel_regwen_17_wd;
127 logic mio_periph_insel_regwen_17_we;
128 logic mio_periph_insel_regwen_18_qs;
129 logic mio_periph_insel_regwen_18_wd;
130 logic mio_periph_insel_regwen_18_we;
131 logic mio_periph_insel_regwen_19_qs;
132 logic mio_periph_insel_regwen_19_wd;
133 logic mio_periph_insel_regwen_19_we;
134 logic mio_periph_insel_regwen_20_qs;
135 logic mio_periph_insel_regwen_20_wd;
136 logic mio_periph_insel_regwen_20_we;
137 logic mio_periph_insel_regwen_21_qs;
138 logic mio_periph_insel_regwen_21_wd;
139 logic mio_periph_insel_regwen_21_we;
140 logic mio_periph_insel_regwen_22_qs;
141 logic mio_periph_insel_regwen_22_wd;
142 logic mio_periph_insel_regwen_22_we;
143 logic mio_periph_insel_regwen_23_qs;
144 logic mio_periph_insel_regwen_23_wd;
145 logic mio_periph_insel_regwen_23_we;
146 logic mio_periph_insel_regwen_24_qs;
147 logic mio_periph_insel_regwen_24_wd;
148 logic mio_periph_insel_regwen_24_we;
149 logic mio_periph_insel_regwen_25_qs;
150 logic mio_periph_insel_regwen_25_wd;
151 logic mio_periph_insel_regwen_25_we;
152 logic mio_periph_insel_regwen_26_qs;
153 logic mio_periph_insel_regwen_26_wd;
154 logic mio_periph_insel_regwen_26_we;
155 logic mio_periph_insel_regwen_27_qs;
156 logic mio_periph_insel_regwen_27_wd;
157 logic mio_periph_insel_regwen_27_we;
158 logic mio_periph_insel_regwen_28_qs;
159 logic mio_periph_insel_regwen_28_wd;
160 logic mio_periph_insel_regwen_28_we;
161 logic mio_periph_insel_regwen_29_qs;
162 logic mio_periph_insel_regwen_29_wd;
163 logic mio_periph_insel_regwen_29_we;
164 logic mio_periph_insel_regwen_30_qs;
165 logic mio_periph_insel_regwen_30_wd;
166 logic mio_periph_insel_regwen_30_we;
167 logic mio_periph_insel_regwen_31_qs;
168 logic mio_periph_insel_regwen_31_wd;
169 logic mio_periph_insel_regwen_31_we;
170 logic mio_periph_insel_regwen_32_qs;
171 logic mio_periph_insel_regwen_32_wd;
172 logic mio_periph_insel_regwen_32_we;
173 logic [5:0] mio_periph_insel_0_qs;
174 logic [5:0] mio_periph_insel_0_wd;
175 logic mio_periph_insel_0_we;
176 logic [5:0] mio_periph_insel_1_qs;
177 logic [5:0] mio_periph_insel_1_wd;
178 logic mio_periph_insel_1_we;
179 logic [5:0] mio_periph_insel_2_qs;
180 logic [5:0] mio_periph_insel_2_wd;
181 logic mio_periph_insel_2_we;
182 logic [5:0] mio_periph_insel_3_qs;
183 logic [5:0] mio_periph_insel_3_wd;
184 logic mio_periph_insel_3_we;
185 logic [5:0] mio_periph_insel_4_qs;
186 logic [5:0] mio_periph_insel_4_wd;
187 logic mio_periph_insel_4_we;
188 logic [5:0] mio_periph_insel_5_qs;
189 logic [5:0] mio_periph_insel_5_wd;
190 logic mio_periph_insel_5_we;
191 logic [5:0] mio_periph_insel_6_qs;
192 logic [5:0] mio_periph_insel_6_wd;
193 logic mio_periph_insel_6_we;
194 logic [5:0] mio_periph_insel_7_qs;
195 logic [5:0] mio_periph_insel_7_wd;
196 logic mio_periph_insel_7_we;
197 logic [5:0] mio_periph_insel_8_qs;
198 logic [5:0] mio_periph_insel_8_wd;
199 logic mio_periph_insel_8_we;
200 logic [5:0] mio_periph_insel_9_qs;
201 logic [5:0] mio_periph_insel_9_wd;
202 logic mio_periph_insel_9_we;
203 logic [5:0] mio_periph_insel_10_qs;
204 logic [5:0] mio_periph_insel_10_wd;
205 logic mio_periph_insel_10_we;
206 logic [5:0] mio_periph_insel_11_qs;
207 logic [5:0] mio_periph_insel_11_wd;
208 logic mio_periph_insel_11_we;
209 logic [5:0] mio_periph_insel_12_qs;
210 logic [5:0] mio_periph_insel_12_wd;
211 logic mio_periph_insel_12_we;
212 logic [5:0] mio_periph_insel_13_qs;
213 logic [5:0] mio_periph_insel_13_wd;
214 logic mio_periph_insel_13_we;
215 logic [5:0] mio_periph_insel_14_qs;
216 logic [5:0] mio_periph_insel_14_wd;
217 logic mio_periph_insel_14_we;
218 logic [5:0] mio_periph_insel_15_qs;
219 logic [5:0] mio_periph_insel_15_wd;
220 logic mio_periph_insel_15_we;
221 logic [5:0] mio_periph_insel_16_qs;
222 logic [5:0] mio_periph_insel_16_wd;
223 logic mio_periph_insel_16_we;
224 logic [5:0] mio_periph_insel_17_qs;
225 logic [5:0] mio_periph_insel_17_wd;
226 logic mio_periph_insel_17_we;
227 logic [5:0] mio_periph_insel_18_qs;
228 logic [5:0] mio_periph_insel_18_wd;
229 logic mio_periph_insel_18_we;
230 logic [5:0] mio_periph_insel_19_qs;
231 logic [5:0] mio_periph_insel_19_wd;
232 logic mio_periph_insel_19_we;
233 logic [5:0] mio_periph_insel_20_qs;
234 logic [5:0] mio_periph_insel_20_wd;
235 logic mio_periph_insel_20_we;
236 logic [5:0] mio_periph_insel_21_qs;
237 logic [5:0] mio_periph_insel_21_wd;
238 logic mio_periph_insel_21_we;
239 logic [5:0] mio_periph_insel_22_qs;
240 logic [5:0] mio_periph_insel_22_wd;
241 logic mio_periph_insel_22_we;
242 logic [5:0] mio_periph_insel_23_qs;
243 logic [5:0] mio_periph_insel_23_wd;
244 logic mio_periph_insel_23_we;
245 logic [5:0] mio_periph_insel_24_qs;
246 logic [5:0] mio_periph_insel_24_wd;
247 logic mio_periph_insel_24_we;
248 logic [5:0] mio_periph_insel_25_qs;
249 logic [5:0] mio_periph_insel_25_wd;
250 logic mio_periph_insel_25_we;
251 logic [5:0] mio_periph_insel_26_qs;
252 logic [5:0] mio_periph_insel_26_wd;
253 logic mio_periph_insel_26_we;
254 logic [5:0] mio_periph_insel_27_qs;
255 logic [5:0] mio_periph_insel_27_wd;
256 logic mio_periph_insel_27_we;
257 logic [5:0] mio_periph_insel_28_qs;
258 logic [5:0] mio_periph_insel_28_wd;
259 logic mio_periph_insel_28_we;
260 logic [5:0] mio_periph_insel_29_qs;
261 logic [5:0] mio_periph_insel_29_wd;
262 logic mio_periph_insel_29_we;
263 logic [5:0] mio_periph_insel_30_qs;
264 logic [5:0] mio_periph_insel_30_wd;
265 logic mio_periph_insel_30_we;
266 logic [5:0] mio_periph_insel_31_qs;
267 logic [5:0] mio_periph_insel_31_wd;
268 logic mio_periph_insel_31_we;
269 logic [5:0] mio_periph_insel_32_qs;
270 logic [5:0] mio_periph_insel_32_wd;
271 logic mio_periph_insel_32_we;
272 logic mio_outsel_regwen_0_qs;
273 logic mio_outsel_regwen_0_wd;
274 logic mio_outsel_regwen_0_we;
275 logic mio_outsel_regwen_1_qs;
276 logic mio_outsel_regwen_1_wd;
277 logic mio_outsel_regwen_1_we;
278 logic mio_outsel_regwen_2_qs;
279 logic mio_outsel_regwen_2_wd;
280 logic mio_outsel_regwen_2_we;
281 logic mio_outsel_regwen_3_qs;
282 logic mio_outsel_regwen_3_wd;
283 logic mio_outsel_regwen_3_we;
284 logic mio_outsel_regwen_4_qs;
285 logic mio_outsel_regwen_4_wd;
286 logic mio_outsel_regwen_4_we;
287 logic mio_outsel_regwen_5_qs;
288 logic mio_outsel_regwen_5_wd;
289 logic mio_outsel_regwen_5_we;
290 logic mio_outsel_regwen_6_qs;
291 logic mio_outsel_regwen_6_wd;
292 logic mio_outsel_regwen_6_we;
293 logic mio_outsel_regwen_7_qs;
294 logic mio_outsel_regwen_7_wd;
295 logic mio_outsel_regwen_7_we;
296 logic mio_outsel_regwen_8_qs;
297 logic mio_outsel_regwen_8_wd;
298 logic mio_outsel_regwen_8_we;
299 logic mio_outsel_regwen_9_qs;
300 logic mio_outsel_regwen_9_wd;
301 logic mio_outsel_regwen_9_we;
302 logic mio_outsel_regwen_10_qs;
303 logic mio_outsel_regwen_10_wd;
304 logic mio_outsel_regwen_10_we;
305 logic mio_outsel_regwen_11_qs;
306 logic mio_outsel_regwen_11_wd;
307 logic mio_outsel_regwen_11_we;
308 logic mio_outsel_regwen_12_qs;
309 logic mio_outsel_regwen_12_wd;
310 logic mio_outsel_regwen_12_we;
311 logic mio_outsel_regwen_13_qs;
312 logic mio_outsel_regwen_13_wd;
313 logic mio_outsel_regwen_13_we;
314 logic mio_outsel_regwen_14_qs;
315 logic mio_outsel_regwen_14_wd;
316 logic mio_outsel_regwen_14_we;
317 logic mio_outsel_regwen_15_qs;
318 logic mio_outsel_regwen_15_wd;
319 logic mio_outsel_regwen_15_we;
320 logic mio_outsel_regwen_16_qs;
321 logic mio_outsel_regwen_16_wd;
322 logic mio_outsel_regwen_16_we;
323 logic mio_outsel_regwen_17_qs;
324 logic mio_outsel_regwen_17_wd;
325 logic mio_outsel_regwen_17_we;
326 logic mio_outsel_regwen_18_qs;
327 logic mio_outsel_regwen_18_wd;
328 logic mio_outsel_regwen_18_we;
329 logic mio_outsel_regwen_19_qs;
330 logic mio_outsel_regwen_19_wd;
331 logic mio_outsel_regwen_19_we;
332 logic mio_outsel_regwen_20_qs;
333 logic mio_outsel_regwen_20_wd;
334 logic mio_outsel_regwen_20_we;
335 logic mio_outsel_regwen_21_qs;
336 logic mio_outsel_regwen_21_wd;
337 logic mio_outsel_regwen_21_we;
338 logic mio_outsel_regwen_22_qs;
339 logic mio_outsel_regwen_22_wd;
340 logic mio_outsel_regwen_22_we;
341 logic mio_outsel_regwen_23_qs;
342 logic mio_outsel_regwen_23_wd;
343 logic mio_outsel_regwen_23_we;
344 logic mio_outsel_regwen_24_qs;
345 logic mio_outsel_regwen_24_wd;
346 logic mio_outsel_regwen_24_we;
347 logic mio_outsel_regwen_25_qs;
348 logic mio_outsel_regwen_25_wd;
349 logic mio_outsel_regwen_25_we;
350 logic mio_outsel_regwen_26_qs;
351 logic mio_outsel_regwen_26_wd;
352 logic mio_outsel_regwen_26_we;
353 logic mio_outsel_regwen_27_qs;
354 logic mio_outsel_regwen_27_wd;
355 logic mio_outsel_regwen_27_we;
356 logic mio_outsel_regwen_28_qs;
357 logic mio_outsel_regwen_28_wd;
358 logic mio_outsel_regwen_28_we;
359 logic mio_outsel_regwen_29_qs;
360 logic mio_outsel_regwen_29_wd;
361 logic mio_outsel_regwen_29_we;
362 logic mio_outsel_regwen_30_qs;
363 logic mio_outsel_regwen_30_wd;
364 logic mio_outsel_regwen_30_we;
365 logic mio_outsel_regwen_31_qs;
366 logic mio_outsel_regwen_31_wd;
367 logic mio_outsel_regwen_31_we;
368 logic [5:0] mio_outsel_0_qs;
369 logic [5:0] mio_outsel_0_wd;
370 logic mio_outsel_0_we;
371 logic [5:0] mio_outsel_1_qs;
372 logic [5:0] mio_outsel_1_wd;
373 logic mio_outsel_1_we;
374 logic [5:0] mio_outsel_2_qs;
375 logic [5:0] mio_outsel_2_wd;
376 logic mio_outsel_2_we;
377 logic [5:0] mio_outsel_3_qs;
378 logic [5:0] mio_outsel_3_wd;
379 logic mio_outsel_3_we;
380 logic [5:0] mio_outsel_4_qs;
381 logic [5:0] mio_outsel_4_wd;
382 logic mio_outsel_4_we;
383 logic [5:0] mio_outsel_5_qs;
384 logic [5:0] mio_outsel_5_wd;
385 logic mio_outsel_5_we;
386 logic [5:0] mio_outsel_6_qs;
387 logic [5:0] mio_outsel_6_wd;
388 logic mio_outsel_6_we;
389 logic [5:0] mio_outsel_7_qs;
390 logic [5:0] mio_outsel_7_wd;
391 logic mio_outsel_7_we;
392 logic [5:0] mio_outsel_8_qs;
393 logic [5:0] mio_outsel_8_wd;
394 logic mio_outsel_8_we;
395 logic [5:0] mio_outsel_9_qs;
396 logic [5:0] mio_outsel_9_wd;
397 logic mio_outsel_9_we;
398 logic [5:0] mio_outsel_10_qs;
399 logic [5:0] mio_outsel_10_wd;
400 logic mio_outsel_10_we;
401 logic [5:0] mio_outsel_11_qs;
402 logic [5:0] mio_outsel_11_wd;
403 logic mio_outsel_11_we;
404 logic [5:0] mio_outsel_12_qs;
405 logic [5:0] mio_outsel_12_wd;
406 logic mio_outsel_12_we;
407 logic [5:0] mio_outsel_13_qs;
408 logic [5:0] mio_outsel_13_wd;
409 logic mio_outsel_13_we;
410 logic [5:0] mio_outsel_14_qs;
411 logic [5:0] mio_outsel_14_wd;
412 logic mio_outsel_14_we;
413 logic [5:0] mio_outsel_15_qs;
414 logic [5:0] mio_outsel_15_wd;
415 logic mio_outsel_15_we;
416 logic [5:0] mio_outsel_16_qs;
417 logic [5:0] mio_outsel_16_wd;
418 logic mio_outsel_16_we;
419 logic [5:0] mio_outsel_17_qs;
420 logic [5:0] mio_outsel_17_wd;
421 logic mio_outsel_17_we;
422 logic [5:0] mio_outsel_18_qs;
423 logic [5:0] mio_outsel_18_wd;
424 logic mio_outsel_18_we;
425 logic [5:0] mio_outsel_19_qs;
426 logic [5:0] mio_outsel_19_wd;
427 logic mio_outsel_19_we;
428 logic [5:0] mio_outsel_20_qs;
429 logic [5:0] mio_outsel_20_wd;
430 logic mio_outsel_20_we;
431 logic [5:0] mio_outsel_21_qs;
432 logic [5:0] mio_outsel_21_wd;
433 logic mio_outsel_21_we;
434 logic [5:0] mio_outsel_22_qs;
435 logic [5:0] mio_outsel_22_wd;
436 logic mio_outsel_22_we;
437 logic [5:0] mio_outsel_23_qs;
438 logic [5:0] mio_outsel_23_wd;
439 logic mio_outsel_23_we;
440 logic [5:0] mio_outsel_24_qs;
441 logic [5:0] mio_outsel_24_wd;
442 logic mio_outsel_24_we;
443 logic [5:0] mio_outsel_25_qs;
444 logic [5:0] mio_outsel_25_wd;
445 logic mio_outsel_25_we;
446 logic [5:0] mio_outsel_26_qs;
447 logic [5:0] mio_outsel_26_wd;
448 logic mio_outsel_26_we;
449 logic [5:0] mio_outsel_27_qs;
450 logic [5:0] mio_outsel_27_wd;
451 logic mio_outsel_27_we;
452 logic [5:0] mio_outsel_28_qs;
453 logic [5:0] mio_outsel_28_wd;
454 logic mio_outsel_28_we;
455 logic [5:0] mio_outsel_29_qs;
456 logic [5:0] mio_outsel_29_wd;
457 logic mio_outsel_29_we;
458 logic [5:0] mio_outsel_30_qs;
459 logic [5:0] mio_outsel_30_wd;
460 logic mio_outsel_30_we;
461 logic [5:0] mio_outsel_31_qs;
462 logic [5:0] mio_outsel_31_wd;
463 logic mio_outsel_31_we;
Michael Schaffner43ce8d52021-02-10 17:04:57 -0800464 logic mio_pad_attr_regwen_0_qs;
465 logic mio_pad_attr_regwen_0_wd;
466 logic mio_pad_attr_regwen_0_we;
467 logic mio_pad_attr_regwen_1_qs;
468 logic mio_pad_attr_regwen_1_wd;
469 logic mio_pad_attr_regwen_1_we;
470 logic mio_pad_attr_regwen_2_qs;
471 logic mio_pad_attr_regwen_2_wd;
472 logic mio_pad_attr_regwen_2_we;
473 logic mio_pad_attr_regwen_3_qs;
474 logic mio_pad_attr_regwen_3_wd;
475 logic mio_pad_attr_regwen_3_we;
476 logic mio_pad_attr_regwen_4_qs;
477 logic mio_pad_attr_regwen_4_wd;
478 logic mio_pad_attr_regwen_4_we;
479 logic mio_pad_attr_regwen_5_qs;
480 logic mio_pad_attr_regwen_5_wd;
481 logic mio_pad_attr_regwen_5_we;
482 logic mio_pad_attr_regwen_6_qs;
483 logic mio_pad_attr_regwen_6_wd;
484 logic mio_pad_attr_regwen_6_we;
485 logic mio_pad_attr_regwen_7_qs;
486 logic mio_pad_attr_regwen_7_wd;
487 logic mio_pad_attr_regwen_7_we;
488 logic mio_pad_attr_regwen_8_qs;
489 logic mio_pad_attr_regwen_8_wd;
490 logic mio_pad_attr_regwen_8_we;
491 logic mio_pad_attr_regwen_9_qs;
492 logic mio_pad_attr_regwen_9_wd;
493 logic mio_pad_attr_regwen_9_we;
494 logic mio_pad_attr_regwen_10_qs;
495 logic mio_pad_attr_regwen_10_wd;
496 logic mio_pad_attr_regwen_10_we;
497 logic mio_pad_attr_regwen_11_qs;
498 logic mio_pad_attr_regwen_11_wd;
499 logic mio_pad_attr_regwen_11_we;
500 logic mio_pad_attr_regwen_12_qs;
501 logic mio_pad_attr_regwen_12_wd;
502 logic mio_pad_attr_regwen_12_we;
503 logic mio_pad_attr_regwen_13_qs;
504 logic mio_pad_attr_regwen_13_wd;
505 logic mio_pad_attr_regwen_13_we;
506 logic mio_pad_attr_regwen_14_qs;
507 logic mio_pad_attr_regwen_14_wd;
508 logic mio_pad_attr_regwen_14_we;
509 logic mio_pad_attr_regwen_15_qs;
510 logic mio_pad_attr_regwen_15_wd;
511 logic mio_pad_attr_regwen_15_we;
512 logic mio_pad_attr_regwen_16_qs;
513 logic mio_pad_attr_regwen_16_wd;
514 logic mio_pad_attr_regwen_16_we;
515 logic mio_pad_attr_regwen_17_qs;
516 logic mio_pad_attr_regwen_17_wd;
517 logic mio_pad_attr_regwen_17_we;
518 logic mio_pad_attr_regwen_18_qs;
519 logic mio_pad_attr_regwen_18_wd;
520 logic mio_pad_attr_regwen_18_we;
521 logic mio_pad_attr_regwen_19_qs;
522 logic mio_pad_attr_regwen_19_wd;
523 logic mio_pad_attr_regwen_19_we;
524 logic mio_pad_attr_regwen_20_qs;
525 logic mio_pad_attr_regwen_20_wd;
526 logic mio_pad_attr_regwen_20_we;
527 logic mio_pad_attr_regwen_21_qs;
528 logic mio_pad_attr_regwen_21_wd;
529 logic mio_pad_attr_regwen_21_we;
530 logic mio_pad_attr_regwen_22_qs;
531 logic mio_pad_attr_regwen_22_wd;
532 logic mio_pad_attr_regwen_22_we;
533 logic mio_pad_attr_regwen_23_qs;
534 logic mio_pad_attr_regwen_23_wd;
535 logic mio_pad_attr_regwen_23_we;
536 logic mio_pad_attr_regwen_24_qs;
537 logic mio_pad_attr_regwen_24_wd;
538 logic mio_pad_attr_regwen_24_we;
539 logic mio_pad_attr_regwen_25_qs;
540 logic mio_pad_attr_regwen_25_wd;
541 logic mio_pad_attr_regwen_25_we;
542 logic mio_pad_attr_regwen_26_qs;
543 logic mio_pad_attr_regwen_26_wd;
544 logic mio_pad_attr_regwen_26_we;
545 logic mio_pad_attr_regwen_27_qs;
546 logic mio_pad_attr_regwen_27_wd;
547 logic mio_pad_attr_regwen_27_we;
548 logic mio_pad_attr_regwen_28_qs;
549 logic mio_pad_attr_regwen_28_wd;
550 logic mio_pad_attr_regwen_28_we;
551 logic mio_pad_attr_regwen_29_qs;
552 logic mio_pad_attr_regwen_29_wd;
553 logic mio_pad_attr_regwen_29_we;
554 logic mio_pad_attr_regwen_30_qs;
555 logic mio_pad_attr_regwen_30_wd;
556 logic mio_pad_attr_regwen_30_we;
557 logic mio_pad_attr_regwen_31_qs;
558 logic mio_pad_attr_regwen_31_wd;
559 logic mio_pad_attr_regwen_31_we;
560 logic [9:0] mio_pad_attr_0_qs;
561 logic [9:0] mio_pad_attr_0_wd;
562 logic mio_pad_attr_0_we;
563 logic mio_pad_attr_0_re;
564 logic [9:0] mio_pad_attr_1_qs;
565 logic [9:0] mio_pad_attr_1_wd;
566 logic mio_pad_attr_1_we;
567 logic mio_pad_attr_1_re;
568 logic [9:0] mio_pad_attr_2_qs;
569 logic [9:0] mio_pad_attr_2_wd;
570 logic mio_pad_attr_2_we;
571 logic mio_pad_attr_2_re;
572 logic [9:0] mio_pad_attr_3_qs;
573 logic [9:0] mio_pad_attr_3_wd;
574 logic mio_pad_attr_3_we;
575 logic mio_pad_attr_3_re;
576 logic [9:0] mio_pad_attr_4_qs;
577 logic [9:0] mio_pad_attr_4_wd;
578 logic mio_pad_attr_4_we;
579 logic mio_pad_attr_4_re;
580 logic [9:0] mio_pad_attr_5_qs;
581 logic [9:0] mio_pad_attr_5_wd;
582 logic mio_pad_attr_5_we;
583 logic mio_pad_attr_5_re;
584 logic [9:0] mio_pad_attr_6_qs;
585 logic [9:0] mio_pad_attr_6_wd;
586 logic mio_pad_attr_6_we;
587 logic mio_pad_attr_6_re;
588 logic [9:0] mio_pad_attr_7_qs;
589 logic [9:0] mio_pad_attr_7_wd;
590 logic mio_pad_attr_7_we;
591 logic mio_pad_attr_7_re;
592 logic [9:0] mio_pad_attr_8_qs;
593 logic [9:0] mio_pad_attr_8_wd;
594 logic mio_pad_attr_8_we;
595 logic mio_pad_attr_8_re;
596 logic [9:0] mio_pad_attr_9_qs;
597 logic [9:0] mio_pad_attr_9_wd;
598 logic mio_pad_attr_9_we;
599 logic mio_pad_attr_9_re;
600 logic [9:0] mio_pad_attr_10_qs;
601 logic [9:0] mio_pad_attr_10_wd;
602 logic mio_pad_attr_10_we;
603 logic mio_pad_attr_10_re;
604 logic [9:0] mio_pad_attr_11_qs;
605 logic [9:0] mio_pad_attr_11_wd;
606 logic mio_pad_attr_11_we;
607 logic mio_pad_attr_11_re;
608 logic [9:0] mio_pad_attr_12_qs;
609 logic [9:0] mio_pad_attr_12_wd;
610 logic mio_pad_attr_12_we;
611 logic mio_pad_attr_12_re;
612 logic [9:0] mio_pad_attr_13_qs;
613 logic [9:0] mio_pad_attr_13_wd;
614 logic mio_pad_attr_13_we;
615 logic mio_pad_attr_13_re;
616 logic [9:0] mio_pad_attr_14_qs;
617 logic [9:0] mio_pad_attr_14_wd;
618 logic mio_pad_attr_14_we;
619 logic mio_pad_attr_14_re;
620 logic [9:0] mio_pad_attr_15_qs;
621 logic [9:0] mio_pad_attr_15_wd;
622 logic mio_pad_attr_15_we;
623 logic mio_pad_attr_15_re;
624 logic [9:0] mio_pad_attr_16_qs;
625 logic [9:0] mio_pad_attr_16_wd;
626 logic mio_pad_attr_16_we;
627 logic mio_pad_attr_16_re;
628 logic [9:0] mio_pad_attr_17_qs;
629 logic [9:0] mio_pad_attr_17_wd;
630 logic mio_pad_attr_17_we;
631 logic mio_pad_attr_17_re;
632 logic [9:0] mio_pad_attr_18_qs;
633 logic [9:0] mio_pad_attr_18_wd;
634 logic mio_pad_attr_18_we;
635 logic mio_pad_attr_18_re;
636 logic [9:0] mio_pad_attr_19_qs;
637 logic [9:0] mio_pad_attr_19_wd;
638 logic mio_pad_attr_19_we;
639 logic mio_pad_attr_19_re;
640 logic [9:0] mio_pad_attr_20_qs;
641 logic [9:0] mio_pad_attr_20_wd;
642 logic mio_pad_attr_20_we;
643 logic mio_pad_attr_20_re;
644 logic [9:0] mio_pad_attr_21_qs;
645 logic [9:0] mio_pad_attr_21_wd;
646 logic mio_pad_attr_21_we;
647 logic mio_pad_attr_21_re;
648 logic [9:0] mio_pad_attr_22_qs;
649 logic [9:0] mio_pad_attr_22_wd;
650 logic mio_pad_attr_22_we;
651 logic mio_pad_attr_22_re;
652 logic [9:0] mio_pad_attr_23_qs;
653 logic [9:0] mio_pad_attr_23_wd;
654 logic mio_pad_attr_23_we;
655 logic mio_pad_attr_23_re;
656 logic [9:0] mio_pad_attr_24_qs;
657 logic [9:0] mio_pad_attr_24_wd;
658 logic mio_pad_attr_24_we;
659 logic mio_pad_attr_24_re;
660 logic [9:0] mio_pad_attr_25_qs;
661 logic [9:0] mio_pad_attr_25_wd;
662 logic mio_pad_attr_25_we;
663 logic mio_pad_attr_25_re;
664 logic [9:0] mio_pad_attr_26_qs;
665 logic [9:0] mio_pad_attr_26_wd;
666 logic mio_pad_attr_26_we;
667 logic mio_pad_attr_26_re;
668 logic [9:0] mio_pad_attr_27_qs;
669 logic [9:0] mio_pad_attr_27_wd;
670 logic mio_pad_attr_27_we;
671 logic mio_pad_attr_27_re;
672 logic [9:0] mio_pad_attr_28_qs;
673 logic [9:0] mio_pad_attr_28_wd;
674 logic mio_pad_attr_28_we;
675 logic mio_pad_attr_28_re;
676 logic [9:0] mio_pad_attr_29_qs;
677 logic [9:0] mio_pad_attr_29_wd;
678 logic mio_pad_attr_29_we;
679 logic mio_pad_attr_29_re;
680 logic [9:0] mio_pad_attr_30_qs;
681 logic [9:0] mio_pad_attr_30_wd;
682 logic mio_pad_attr_30_we;
683 logic mio_pad_attr_30_re;
684 logic [9:0] mio_pad_attr_31_qs;
685 logic [9:0] mio_pad_attr_31_wd;
686 logic mio_pad_attr_31_we;
687 logic mio_pad_attr_31_re;
688 logic dio_pad_attr_regwen_0_qs;
689 logic dio_pad_attr_regwen_0_wd;
690 logic dio_pad_attr_regwen_0_we;
691 logic dio_pad_attr_regwen_1_qs;
692 logic dio_pad_attr_regwen_1_wd;
693 logic dio_pad_attr_regwen_1_we;
694 logic dio_pad_attr_regwen_2_qs;
695 logic dio_pad_attr_regwen_2_wd;
696 logic dio_pad_attr_regwen_2_we;
697 logic dio_pad_attr_regwen_3_qs;
698 logic dio_pad_attr_regwen_3_wd;
699 logic dio_pad_attr_regwen_3_we;
700 logic dio_pad_attr_regwen_4_qs;
701 logic dio_pad_attr_regwen_4_wd;
702 logic dio_pad_attr_regwen_4_we;
703 logic dio_pad_attr_regwen_5_qs;
704 logic dio_pad_attr_regwen_5_wd;
705 logic dio_pad_attr_regwen_5_we;
706 logic dio_pad_attr_regwen_6_qs;
707 logic dio_pad_attr_regwen_6_wd;
708 logic dio_pad_attr_regwen_6_we;
709 logic dio_pad_attr_regwen_7_qs;
710 logic dio_pad_attr_regwen_7_wd;
711 logic dio_pad_attr_regwen_7_we;
712 logic dio_pad_attr_regwen_8_qs;
713 logic dio_pad_attr_regwen_8_wd;
714 logic dio_pad_attr_regwen_8_we;
715 logic dio_pad_attr_regwen_9_qs;
716 logic dio_pad_attr_regwen_9_wd;
717 logic dio_pad_attr_regwen_9_we;
718 logic dio_pad_attr_regwen_10_qs;
719 logic dio_pad_attr_regwen_10_wd;
720 logic dio_pad_attr_regwen_10_we;
721 logic dio_pad_attr_regwen_11_qs;
722 logic dio_pad_attr_regwen_11_wd;
723 logic dio_pad_attr_regwen_11_we;
724 logic dio_pad_attr_regwen_12_qs;
725 logic dio_pad_attr_regwen_12_wd;
726 logic dio_pad_attr_regwen_12_we;
727 logic dio_pad_attr_regwen_13_qs;
728 logic dio_pad_attr_regwen_13_wd;
729 logic dio_pad_attr_regwen_13_we;
730 logic dio_pad_attr_regwen_14_qs;
731 logic dio_pad_attr_regwen_14_wd;
732 logic dio_pad_attr_regwen_14_we;
733 logic dio_pad_attr_regwen_15_qs;
734 logic dio_pad_attr_regwen_15_wd;
735 logic dio_pad_attr_regwen_15_we;
736 logic [9:0] dio_pad_attr_0_qs;
737 logic [9:0] dio_pad_attr_0_wd;
738 logic dio_pad_attr_0_we;
739 logic dio_pad_attr_0_re;
740 logic [9:0] dio_pad_attr_1_qs;
741 logic [9:0] dio_pad_attr_1_wd;
742 logic dio_pad_attr_1_we;
743 logic dio_pad_attr_1_re;
744 logic [9:0] dio_pad_attr_2_qs;
745 logic [9:0] dio_pad_attr_2_wd;
746 logic dio_pad_attr_2_we;
747 logic dio_pad_attr_2_re;
748 logic [9:0] dio_pad_attr_3_qs;
749 logic [9:0] dio_pad_attr_3_wd;
750 logic dio_pad_attr_3_we;
751 logic dio_pad_attr_3_re;
752 logic [9:0] dio_pad_attr_4_qs;
753 logic [9:0] dio_pad_attr_4_wd;
754 logic dio_pad_attr_4_we;
755 logic dio_pad_attr_4_re;
756 logic [9:0] dio_pad_attr_5_qs;
757 logic [9:0] dio_pad_attr_5_wd;
758 logic dio_pad_attr_5_we;
759 logic dio_pad_attr_5_re;
760 logic [9:0] dio_pad_attr_6_qs;
761 logic [9:0] dio_pad_attr_6_wd;
762 logic dio_pad_attr_6_we;
763 logic dio_pad_attr_6_re;
764 logic [9:0] dio_pad_attr_7_qs;
765 logic [9:0] dio_pad_attr_7_wd;
766 logic dio_pad_attr_7_we;
767 logic dio_pad_attr_7_re;
768 logic [9:0] dio_pad_attr_8_qs;
769 logic [9:0] dio_pad_attr_8_wd;
770 logic dio_pad_attr_8_we;
771 logic dio_pad_attr_8_re;
772 logic [9:0] dio_pad_attr_9_qs;
773 logic [9:0] dio_pad_attr_9_wd;
774 logic dio_pad_attr_9_we;
775 logic dio_pad_attr_9_re;
776 logic [9:0] dio_pad_attr_10_qs;
777 logic [9:0] dio_pad_attr_10_wd;
778 logic dio_pad_attr_10_we;
779 logic dio_pad_attr_10_re;
780 logic [9:0] dio_pad_attr_11_qs;
781 logic [9:0] dio_pad_attr_11_wd;
782 logic dio_pad_attr_11_we;
783 logic dio_pad_attr_11_re;
784 logic [9:0] dio_pad_attr_12_qs;
785 logic [9:0] dio_pad_attr_12_wd;
786 logic dio_pad_attr_12_we;
787 logic dio_pad_attr_12_re;
788 logic [9:0] dio_pad_attr_13_qs;
789 logic [9:0] dio_pad_attr_13_wd;
790 logic dio_pad_attr_13_we;
791 logic dio_pad_attr_13_re;
792 logic [9:0] dio_pad_attr_14_qs;
793 logic [9:0] dio_pad_attr_14_wd;
794 logic dio_pad_attr_14_we;
795 logic dio_pad_attr_14_re;
796 logic [9:0] dio_pad_attr_15_qs;
797 logic [9:0] dio_pad_attr_15_wd;
798 logic dio_pad_attr_15_we;
799 logic dio_pad_attr_15_re;
800 logic mio_pad_sleep_status_en_0_qs;
801 logic mio_pad_sleep_status_en_0_wd;
802 logic mio_pad_sleep_status_en_0_we;
803 logic mio_pad_sleep_status_en_1_qs;
804 logic mio_pad_sleep_status_en_1_wd;
805 logic mio_pad_sleep_status_en_1_we;
806 logic mio_pad_sleep_status_en_2_qs;
807 logic mio_pad_sleep_status_en_2_wd;
808 logic mio_pad_sleep_status_en_2_we;
809 logic mio_pad_sleep_status_en_3_qs;
810 logic mio_pad_sleep_status_en_3_wd;
811 logic mio_pad_sleep_status_en_3_we;
812 logic mio_pad_sleep_status_en_4_qs;
813 logic mio_pad_sleep_status_en_4_wd;
814 logic mio_pad_sleep_status_en_4_we;
815 logic mio_pad_sleep_status_en_5_qs;
816 logic mio_pad_sleep_status_en_5_wd;
817 logic mio_pad_sleep_status_en_5_we;
818 logic mio_pad_sleep_status_en_6_qs;
819 logic mio_pad_sleep_status_en_6_wd;
820 logic mio_pad_sleep_status_en_6_we;
821 logic mio_pad_sleep_status_en_7_qs;
822 logic mio_pad_sleep_status_en_7_wd;
823 logic mio_pad_sleep_status_en_7_we;
824 logic mio_pad_sleep_status_en_8_qs;
825 logic mio_pad_sleep_status_en_8_wd;
826 logic mio_pad_sleep_status_en_8_we;
827 logic mio_pad_sleep_status_en_9_qs;
828 logic mio_pad_sleep_status_en_9_wd;
829 logic mio_pad_sleep_status_en_9_we;
830 logic mio_pad_sleep_status_en_10_qs;
831 logic mio_pad_sleep_status_en_10_wd;
832 logic mio_pad_sleep_status_en_10_we;
833 logic mio_pad_sleep_status_en_11_qs;
834 logic mio_pad_sleep_status_en_11_wd;
835 logic mio_pad_sleep_status_en_11_we;
836 logic mio_pad_sleep_status_en_12_qs;
837 logic mio_pad_sleep_status_en_12_wd;
838 logic mio_pad_sleep_status_en_12_we;
839 logic mio_pad_sleep_status_en_13_qs;
840 logic mio_pad_sleep_status_en_13_wd;
841 logic mio_pad_sleep_status_en_13_we;
842 logic mio_pad_sleep_status_en_14_qs;
843 logic mio_pad_sleep_status_en_14_wd;
844 logic mio_pad_sleep_status_en_14_we;
845 logic mio_pad_sleep_status_en_15_qs;
846 logic mio_pad_sleep_status_en_15_wd;
847 logic mio_pad_sleep_status_en_15_we;
848 logic mio_pad_sleep_status_en_16_qs;
849 logic mio_pad_sleep_status_en_16_wd;
850 logic mio_pad_sleep_status_en_16_we;
851 logic mio_pad_sleep_status_en_17_qs;
852 logic mio_pad_sleep_status_en_17_wd;
853 logic mio_pad_sleep_status_en_17_we;
854 logic mio_pad_sleep_status_en_18_qs;
855 logic mio_pad_sleep_status_en_18_wd;
856 logic mio_pad_sleep_status_en_18_we;
857 logic mio_pad_sleep_status_en_19_qs;
858 logic mio_pad_sleep_status_en_19_wd;
859 logic mio_pad_sleep_status_en_19_we;
860 logic mio_pad_sleep_status_en_20_qs;
861 logic mio_pad_sleep_status_en_20_wd;
862 logic mio_pad_sleep_status_en_20_we;
863 logic mio_pad_sleep_status_en_21_qs;
864 logic mio_pad_sleep_status_en_21_wd;
865 logic mio_pad_sleep_status_en_21_we;
866 logic mio_pad_sleep_status_en_22_qs;
867 logic mio_pad_sleep_status_en_22_wd;
868 logic mio_pad_sleep_status_en_22_we;
869 logic mio_pad_sleep_status_en_23_qs;
870 logic mio_pad_sleep_status_en_23_wd;
871 logic mio_pad_sleep_status_en_23_we;
872 logic mio_pad_sleep_status_en_24_qs;
873 logic mio_pad_sleep_status_en_24_wd;
874 logic mio_pad_sleep_status_en_24_we;
875 logic mio_pad_sleep_status_en_25_qs;
876 logic mio_pad_sleep_status_en_25_wd;
877 logic mio_pad_sleep_status_en_25_we;
878 logic mio_pad_sleep_status_en_26_qs;
879 logic mio_pad_sleep_status_en_26_wd;
880 logic mio_pad_sleep_status_en_26_we;
881 logic mio_pad_sleep_status_en_27_qs;
882 logic mio_pad_sleep_status_en_27_wd;
883 logic mio_pad_sleep_status_en_27_we;
884 logic mio_pad_sleep_status_en_28_qs;
885 logic mio_pad_sleep_status_en_28_wd;
886 logic mio_pad_sleep_status_en_28_we;
887 logic mio_pad_sleep_status_en_29_qs;
888 logic mio_pad_sleep_status_en_29_wd;
889 logic mio_pad_sleep_status_en_29_we;
890 logic mio_pad_sleep_status_en_30_qs;
891 logic mio_pad_sleep_status_en_30_wd;
892 logic mio_pad_sleep_status_en_30_we;
893 logic mio_pad_sleep_status_en_31_qs;
894 logic mio_pad_sleep_status_en_31_wd;
895 logic mio_pad_sleep_status_en_31_we;
896 logic mio_pad_sleep_regwen_0_qs;
897 logic mio_pad_sleep_regwen_0_wd;
898 logic mio_pad_sleep_regwen_0_we;
899 logic mio_pad_sleep_regwen_1_qs;
900 logic mio_pad_sleep_regwen_1_wd;
901 logic mio_pad_sleep_regwen_1_we;
902 logic mio_pad_sleep_regwen_2_qs;
903 logic mio_pad_sleep_regwen_2_wd;
904 logic mio_pad_sleep_regwen_2_we;
905 logic mio_pad_sleep_regwen_3_qs;
906 logic mio_pad_sleep_regwen_3_wd;
907 logic mio_pad_sleep_regwen_3_we;
908 logic mio_pad_sleep_regwen_4_qs;
909 logic mio_pad_sleep_regwen_4_wd;
910 logic mio_pad_sleep_regwen_4_we;
911 logic mio_pad_sleep_regwen_5_qs;
912 logic mio_pad_sleep_regwen_5_wd;
913 logic mio_pad_sleep_regwen_5_we;
914 logic mio_pad_sleep_regwen_6_qs;
915 logic mio_pad_sleep_regwen_6_wd;
916 logic mio_pad_sleep_regwen_6_we;
917 logic mio_pad_sleep_regwen_7_qs;
918 logic mio_pad_sleep_regwen_7_wd;
919 logic mio_pad_sleep_regwen_7_we;
920 logic mio_pad_sleep_regwen_8_qs;
921 logic mio_pad_sleep_regwen_8_wd;
922 logic mio_pad_sleep_regwen_8_we;
923 logic mio_pad_sleep_regwen_9_qs;
924 logic mio_pad_sleep_regwen_9_wd;
925 logic mio_pad_sleep_regwen_9_we;
926 logic mio_pad_sleep_regwen_10_qs;
927 logic mio_pad_sleep_regwen_10_wd;
928 logic mio_pad_sleep_regwen_10_we;
929 logic mio_pad_sleep_regwen_11_qs;
930 logic mio_pad_sleep_regwen_11_wd;
931 logic mio_pad_sleep_regwen_11_we;
932 logic mio_pad_sleep_regwen_12_qs;
933 logic mio_pad_sleep_regwen_12_wd;
934 logic mio_pad_sleep_regwen_12_we;
935 logic mio_pad_sleep_regwen_13_qs;
936 logic mio_pad_sleep_regwen_13_wd;
937 logic mio_pad_sleep_regwen_13_we;
938 logic mio_pad_sleep_regwen_14_qs;
939 logic mio_pad_sleep_regwen_14_wd;
940 logic mio_pad_sleep_regwen_14_we;
941 logic mio_pad_sleep_regwen_15_qs;
942 logic mio_pad_sleep_regwen_15_wd;
943 logic mio_pad_sleep_regwen_15_we;
944 logic mio_pad_sleep_regwen_16_qs;
945 logic mio_pad_sleep_regwen_16_wd;
946 logic mio_pad_sleep_regwen_16_we;
947 logic mio_pad_sleep_regwen_17_qs;
948 logic mio_pad_sleep_regwen_17_wd;
949 logic mio_pad_sleep_regwen_17_we;
950 logic mio_pad_sleep_regwen_18_qs;
951 logic mio_pad_sleep_regwen_18_wd;
952 logic mio_pad_sleep_regwen_18_we;
953 logic mio_pad_sleep_regwen_19_qs;
954 logic mio_pad_sleep_regwen_19_wd;
955 logic mio_pad_sleep_regwen_19_we;
956 logic mio_pad_sleep_regwen_20_qs;
957 logic mio_pad_sleep_regwen_20_wd;
958 logic mio_pad_sleep_regwen_20_we;
959 logic mio_pad_sleep_regwen_21_qs;
960 logic mio_pad_sleep_regwen_21_wd;
961 logic mio_pad_sleep_regwen_21_we;
962 logic mio_pad_sleep_regwen_22_qs;
963 logic mio_pad_sleep_regwen_22_wd;
964 logic mio_pad_sleep_regwen_22_we;
965 logic mio_pad_sleep_regwen_23_qs;
966 logic mio_pad_sleep_regwen_23_wd;
967 logic mio_pad_sleep_regwen_23_we;
968 logic mio_pad_sleep_regwen_24_qs;
969 logic mio_pad_sleep_regwen_24_wd;
970 logic mio_pad_sleep_regwen_24_we;
971 logic mio_pad_sleep_regwen_25_qs;
972 logic mio_pad_sleep_regwen_25_wd;
973 logic mio_pad_sleep_regwen_25_we;
974 logic mio_pad_sleep_regwen_26_qs;
975 logic mio_pad_sleep_regwen_26_wd;
976 logic mio_pad_sleep_regwen_26_we;
977 logic mio_pad_sleep_regwen_27_qs;
978 logic mio_pad_sleep_regwen_27_wd;
979 logic mio_pad_sleep_regwen_27_we;
980 logic mio_pad_sleep_regwen_28_qs;
981 logic mio_pad_sleep_regwen_28_wd;
982 logic mio_pad_sleep_regwen_28_we;
983 logic mio_pad_sleep_regwen_29_qs;
984 logic mio_pad_sleep_regwen_29_wd;
985 logic mio_pad_sleep_regwen_29_we;
986 logic mio_pad_sleep_regwen_30_qs;
987 logic mio_pad_sleep_regwen_30_wd;
988 logic mio_pad_sleep_regwen_30_we;
989 logic mio_pad_sleep_regwen_31_qs;
990 logic mio_pad_sleep_regwen_31_wd;
991 logic mio_pad_sleep_regwen_31_we;
992 logic mio_pad_sleep_en_0_qs;
993 logic mio_pad_sleep_en_0_wd;
994 logic mio_pad_sleep_en_0_we;
995 logic mio_pad_sleep_en_1_qs;
996 logic mio_pad_sleep_en_1_wd;
997 logic mio_pad_sleep_en_1_we;
998 logic mio_pad_sleep_en_2_qs;
999 logic mio_pad_sleep_en_2_wd;
1000 logic mio_pad_sleep_en_2_we;
1001 logic mio_pad_sleep_en_3_qs;
1002 logic mio_pad_sleep_en_3_wd;
1003 logic mio_pad_sleep_en_3_we;
1004 logic mio_pad_sleep_en_4_qs;
1005 logic mio_pad_sleep_en_4_wd;
1006 logic mio_pad_sleep_en_4_we;
1007 logic mio_pad_sleep_en_5_qs;
1008 logic mio_pad_sleep_en_5_wd;
1009 logic mio_pad_sleep_en_5_we;
1010 logic mio_pad_sleep_en_6_qs;
1011 logic mio_pad_sleep_en_6_wd;
1012 logic mio_pad_sleep_en_6_we;
1013 logic mio_pad_sleep_en_7_qs;
1014 logic mio_pad_sleep_en_7_wd;
1015 logic mio_pad_sleep_en_7_we;
1016 logic mio_pad_sleep_en_8_qs;
1017 logic mio_pad_sleep_en_8_wd;
1018 logic mio_pad_sleep_en_8_we;
1019 logic mio_pad_sleep_en_9_qs;
1020 logic mio_pad_sleep_en_9_wd;
1021 logic mio_pad_sleep_en_9_we;
1022 logic mio_pad_sleep_en_10_qs;
1023 logic mio_pad_sleep_en_10_wd;
1024 logic mio_pad_sleep_en_10_we;
1025 logic mio_pad_sleep_en_11_qs;
1026 logic mio_pad_sleep_en_11_wd;
1027 logic mio_pad_sleep_en_11_we;
1028 logic mio_pad_sleep_en_12_qs;
1029 logic mio_pad_sleep_en_12_wd;
1030 logic mio_pad_sleep_en_12_we;
1031 logic mio_pad_sleep_en_13_qs;
1032 logic mio_pad_sleep_en_13_wd;
1033 logic mio_pad_sleep_en_13_we;
1034 logic mio_pad_sleep_en_14_qs;
1035 logic mio_pad_sleep_en_14_wd;
1036 logic mio_pad_sleep_en_14_we;
1037 logic mio_pad_sleep_en_15_qs;
1038 logic mio_pad_sleep_en_15_wd;
1039 logic mio_pad_sleep_en_15_we;
1040 logic mio_pad_sleep_en_16_qs;
1041 logic mio_pad_sleep_en_16_wd;
1042 logic mio_pad_sleep_en_16_we;
1043 logic mio_pad_sleep_en_17_qs;
1044 logic mio_pad_sleep_en_17_wd;
1045 logic mio_pad_sleep_en_17_we;
1046 logic mio_pad_sleep_en_18_qs;
1047 logic mio_pad_sleep_en_18_wd;
1048 logic mio_pad_sleep_en_18_we;
1049 logic mio_pad_sleep_en_19_qs;
1050 logic mio_pad_sleep_en_19_wd;
1051 logic mio_pad_sleep_en_19_we;
1052 logic mio_pad_sleep_en_20_qs;
1053 logic mio_pad_sleep_en_20_wd;
1054 logic mio_pad_sleep_en_20_we;
1055 logic mio_pad_sleep_en_21_qs;
1056 logic mio_pad_sleep_en_21_wd;
1057 logic mio_pad_sleep_en_21_we;
1058 logic mio_pad_sleep_en_22_qs;
1059 logic mio_pad_sleep_en_22_wd;
1060 logic mio_pad_sleep_en_22_we;
1061 logic mio_pad_sleep_en_23_qs;
1062 logic mio_pad_sleep_en_23_wd;
1063 logic mio_pad_sleep_en_23_we;
1064 logic mio_pad_sleep_en_24_qs;
1065 logic mio_pad_sleep_en_24_wd;
1066 logic mio_pad_sleep_en_24_we;
1067 logic mio_pad_sleep_en_25_qs;
1068 logic mio_pad_sleep_en_25_wd;
1069 logic mio_pad_sleep_en_25_we;
1070 logic mio_pad_sleep_en_26_qs;
1071 logic mio_pad_sleep_en_26_wd;
1072 logic mio_pad_sleep_en_26_we;
1073 logic mio_pad_sleep_en_27_qs;
1074 logic mio_pad_sleep_en_27_wd;
1075 logic mio_pad_sleep_en_27_we;
1076 logic mio_pad_sleep_en_28_qs;
1077 logic mio_pad_sleep_en_28_wd;
1078 logic mio_pad_sleep_en_28_we;
1079 logic mio_pad_sleep_en_29_qs;
1080 logic mio_pad_sleep_en_29_wd;
1081 logic mio_pad_sleep_en_29_we;
1082 logic mio_pad_sleep_en_30_qs;
1083 logic mio_pad_sleep_en_30_wd;
1084 logic mio_pad_sleep_en_30_we;
1085 logic mio_pad_sleep_en_31_qs;
1086 logic mio_pad_sleep_en_31_wd;
1087 logic mio_pad_sleep_en_31_we;
1088 logic [1:0] mio_pad_sleep_mode_0_qs;
1089 logic [1:0] mio_pad_sleep_mode_0_wd;
1090 logic mio_pad_sleep_mode_0_we;
1091 logic [1:0] mio_pad_sleep_mode_1_qs;
1092 logic [1:0] mio_pad_sleep_mode_1_wd;
1093 logic mio_pad_sleep_mode_1_we;
1094 logic [1:0] mio_pad_sleep_mode_2_qs;
1095 logic [1:0] mio_pad_sleep_mode_2_wd;
1096 logic mio_pad_sleep_mode_2_we;
1097 logic [1:0] mio_pad_sleep_mode_3_qs;
1098 logic [1:0] mio_pad_sleep_mode_3_wd;
1099 logic mio_pad_sleep_mode_3_we;
1100 logic [1:0] mio_pad_sleep_mode_4_qs;
1101 logic [1:0] mio_pad_sleep_mode_4_wd;
1102 logic mio_pad_sleep_mode_4_we;
1103 logic [1:0] mio_pad_sleep_mode_5_qs;
1104 logic [1:0] mio_pad_sleep_mode_5_wd;
1105 logic mio_pad_sleep_mode_5_we;
1106 logic [1:0] mio_pad_sleep_mode_6_qs;
1107 logic [1:0] mio_pad_sleep_mode_6_wd;
1108 logic mio_pad_sleep_mode_6_we;
1109 logic [1:0] mio_pad_sleep_mode_7_qs;
1110 logic [1:0] mio_pad_sleep_mode_7_wd;
1111 logic mio_pad_sleep_mode_7_we;
1112 logic [1:0] mio_pad_sleep_mode_8_qs;
1113 logic [1:0] mio_pad_sleep_mode_8_wd;
1114 logic mio_pad_sleep_mode_8_we;
1115 logic [1:0] mio_pad_sleep_mode_9_qs;
1116 logic [1:0] mio_pad_sleep_mode_9_wd;
1117 logic mio_pad_sleep_mode_9_we;
1118 logic [1:0] mio_pad_sleep_mode_10_qs;
1119 logic [1:0] mio_pad_sleep_mode_10_wd;
1120 logic mio_pad_sleep_mode_10_we;
1121 logic [1:0] mio_pad_sleep_mode_11_qs;
1122 logic [1:0] mio_pad_sleep_mode_11_wd;
1123 logic mio_pad_sleep_mode_11_we;
1124 logic [1:0] mio_pad_sleep_mode_12_qs;
1125 logic [1:0] mio_pad_sleep_mode_12_wd;
1126 logic mio_pad_sleep_mode_12_we;
1127 logic [1:0] mio_pad_sleep_mode_13_qs;
1128 logic [1:0] mio_pad_sleep_mode_13_wd;
1129 logic mio_pad_sleep_mode_13_we;
1130 logic [1:0] mio_pad_sleep_mode_14_qs;
1131 logic [1:0] mio_pad_sleep_mode_14_wd;
1132 logic mio_pad_sleep_mode_14_we;
1133 logic [1:0] mio_pad_sleep_mode_15_qs;
1134 logic [1:0] mio_pad_sleep_mode_15_wd;
1135 logic mio_pad_sleep_mode_15_we;
1136 logic [1:0] mio_pad_sleep_mode_16_qs;
1137 logic [1:0] mio_pad_sleep_mode_16_wd;
1138 logic mio_pad_sleep_mode_16_we;
1139 logic [1:0] mio_pad_sleep_mode_17_qs;
1140 logic [1:0] mio_pad_sleep_mode_17_wd;
1141 logic mio_pad_sleep_mode_17_we;
1142 logic [1:0] mio_pad_sleep_mode_18_qs;
1143 logic [1:0] mio_pad_sleep_mode_18_wd;
1144 logic mio_pad_sleep_mode_18_we;
1145 logic [1:0] mio_pad_sleep_mode_19_qs;
1146 logic [1:0] mio_pad_sleep_mode_19_wd;
1147 logic mio_pad_sleep_mode_19_we;
1148 logic [1:0] mio_pad_sleep_mode_20_qs;
1149 logic [1:0] mio_pad_sleep_mode_20_wd;
1150 logic mio_pad_sleep_mode_20_we;
1151 logic [1:0] mio_pad_sleep_mode_21_qs;
1152 logic [1:0] mio_pad_sleep_mode_21_wd;
1153 logic mio_pad_sleep_mode_21_we;
1154 logic [1:0] mio_pad_sleep_mode_22_qs;
1155 logic [1:0] mio_pad_sleep_mode_22_wd;
1156 logic mio_pad_sleep_mode_22_we;
1157 logic [1:0] mio_pad_sleep_mode_23_qs;
1158 logic [1:0] mio_pad_sleep_mode_23_wd;
1159 logic mio_pad_sleep_mode_23_we;
1160 logic [1:0] mio_pad_sleep_mode_24_qs;
1161 logic [1:0] mio_pad_sleep_mode_24_wd;
1162 logic mio_pad_sleep_mode_24_we;
1163 logic [1:0] mio_pad_sleep_mode_25_qs;
1164 logic [1:0] mio_pad_sleep_mode_25_wd;
1165 logic mio_pad_sleep_mode_25_we;
1166 logic [1:0] mio_pad_sleep_mode_26_qs;
1167 logic [1:0] mio_pad_sleep_mode_26_wd;
1168 logic mio_pad_sleep_mode_26_we;
1169 logic [1:0] mio_pad_sleep_mode_27_qs;
1170 logic [1:0] mio_pad_sleep_mode_27_wd;
1171 logic mio_pad_sleep_mode_27_we;
1172 logic [1:0] mio_pad_sleep_mode_28_qs;
1173 logic [1:0] mio_pad_sleep_mode_28_wd;
1174 logic mio_pad_sleep_mode_28_we;
1175 logic [1:0] mio_pad_sleep_mode_29_qs;
1176 logic [1:0] mio_pad_sleep_mode_29_wd;
1177 logic mio_pad_sleep_mode_29_we;
1178 logic [1:0] mio_pad_sleep_mode_30_qs;
1179 logic [1:0] mio_pad_sleep_mode_30_wd;
1180 logic mio_pad_sleep_mode_30_we;
1181 logic [1:0] mio_pad_sleep_mode_31_qs;
1182 logic [1:0] mio_pad_sleep_mode_31_wd;
1183 logic mio_pad_sleep_mode_31_we;
1184 logic dio_pad_sleep_status_en_0_qs;
1185 logic dio_pad_sleep_status_en_0_wd;
1186 logic dio_pad_sleep_status_en_0_we;
1187 logic dio_pad_sleep_status_en_1_qs;
1188 logic dio_pad_sleep_status_en_1_wd;
1189 logic dio_pad_sleep_status_en_1_we;
1190 logic dio_pad_sleep_status_en_2_qs;
1191 logic dio_pad_sleep_status_en_2_wd;
1192 logic dio_pad_sleep_status_en_2_we;
1193 logic dio_pad_sleep_status_en_3_qs;
1194 logic dio_pad_sleep_status_en_3_wd;
1195 logic dio_pad_sleep_status_en_3_we;
1196 logic dio_pad_sleep_status_en_4_qs;
1197 logic dio_pad_sleep_status_en_4_wd;
1198 logic dio_pad_sleep_status_en_4_we;
1199 logic dio_pad_sleep_status_en_5_qs;
1200 logic dio_pad_sleep_status_en_5_wd;
1201 logic dio_pad_sleep_status_en_5_we;
1202 logic dio_pad_sleep_status_en_6_qs;
1203 logic dio_pad_sleep_status_en_6_wd;
1204 logic dio_pad_sleep_status_en_6_we;
1205 logic dio_pad_sleep_status_en_7_qs;
1206 logic dio_pad_sleep_status_en_7_wd;
1207 logic dio_pad_sleep_status_en_7_we;
1208 logic dio_pad_sleep_status_en_8_qs;
1209 logic dio_pad_sleep_status_en_8_wd;
1210 logic dio_pad_sleep_status_en_8_we;
1211 logic dio_pad_sleep_status_en_9_qs;
1212 logic dio_pad_sleep_status_en_9_wd;
1213 logic dio_pad_sleep_status_en_9_we;
1214 logic dio_pad_sleep_status_en_10_qs;
1215 logic dio_pad_sleep_status_en_10_wd;
1216 logic dio_pad_sleep_status_en_10_we;
1217 logic dio_pad_sleep_status_en_11_qs;
1218 logic dio_pad_sleep_status_en_11_wd;
1219 logic dio_pad_sleep_status_en_11_we;
1220 logic dio_pad_sleep_status_en_12_qs;
1221 logic dio_pad_sleep_status_en_12_wd;
1222 logic dio_pad_sleep_status_en_12_we;
1223 logic dio_pad_sleep_status_en_13_qs;
1224 logic dio_pad_sleep_status_en_13_wd;
1225 logic dio_pad_sleep_status_en_13_we;
1226 logic dio_pad_sleep_status_en_14_qs;
1227 logic dio_pad_sleep_status_en_14_wd;
1228 logic dio_pad_sleep_status_en_14_we;
1229 logic dio_pad_sleep_status_en_15_qs;
1230 logic dio_pad_sleep_status_en_15_wd;
1231 logic dio_pad_sleep_status_en_15_we;
1232 logic dio_pad_sleep_regwen_0_qs;
1233 logic dio_pad_sleep_regwen_0_wd;
1234 logic dio_pad_sleep_regwen_0_we;
1235 logic dio_pad_sleep_regwen_1_qs;
1236 logic dio_pad_sleep_regwen_1_wd;
1237 logic dio_pad_sleep_regwen_1_we;
1238 logic dio_pad_sleep_regwen_2_qs;
1239 logic dio_pad_sleep_regwen_2_wd;
1240 logic dio_pad_sleep_regwen_2_we;
1241 logic dio_pad_sleep_regwen_3_qs;
1242 logic dio_pad_sleep_regwen_3_wd;
1243 logic dio_pad_sleep_regwen_3_we;
1244 logic dio_pad_sleep_regwen_4_qs;
1245 logic dio_pad_sleep_regwen_4_wd;
1246 logic dio_pad_sleep_regwen_4_we;
1247 logic dio_pad_sleep_regwen_5_qs;
1248 logic dio_pad_sleep_regwen_5_wd;
1249 logic dio_pad_sleep_regwen_5_we;
1250 logic dio_pad_sleep_regwen_6_qs;
1251 logic dio_pad_sleep_regwen_6_wd;
1252 logic dio_pad_sleep_regwen_6_we;
1253 logic dio_pad_sleep_regwen_7_qs;
1254 logic dio_pad_sleep_regwen_7_wd;
1255 logic dio_pad_sleep_regwen_7_we;
1256 logic dio_pad_sleep_regwen_8_qs;
1257 logic dio_pad_sleep_regwen_8_wd;
1258 logic dio_pad_sleep_regwen_8_we;
1259 logic dio_pad_sleep_regwen_9_qs;
1260 logic dio_pad_sleep_regwen_9_wd;
1261 logic dio_pad_sleep_regwen_9_we;
1262 logic dio_pad_sleep_regwen_10_qs;
1263 logic dio_pad_sleep_regwen_10_wd;
1264 logic dio_pad_sleep_regwen_10_we;
1265 logic dio_pad_sleep_regwen_11_qs;
1266 logic dio_pad_sleep_regwen_11_wd;
1267 logic dio_pad_sleep_regwen_11_we;
1268 logic dio_pad_sleep_regwen_12_qs;
1269 logic dio_pad_sleep_regwen_12_wd;
1270 logic dio_pad_sleep_regwen_12_we;
1271 logic dio_pad_sleep_regwen_13_qs;
1272 logic dio_pad_sleep_regwen_13_wd;
1273 logic dio_pad_sleep_regwen_13_we;
1274 logic dio_pad_sleep_regwen_14_qs;
1275 logic dio_pad_sleep_regwen_14_wd;
1276 logic dio_pad_sleep_regwen_14_we;
1277 logic dio_pad_sleep_regwen_15_qs;
1278 logic dio_pad_sleep_regwen_15_wd;
1279 logic dio_pad_sleep_regwen_15_we;
1280 logic dio_pad_sleep_en_0_qs;
1281 logic dio_pad_sleep_en_0_wd;
1282 logic dio_pad_sleep_en_0_we;
1283 logic dio_pad_sleep_en_1_qs;
1284 logic dio_pad_sleep_en_1_wd;
1285 logic dio_pad_sleep_en_1_we;
1286 logic dio_pad_sleep_en_2_qs;
1287 logic dio_pad_sleep_en_2_wd;
1288 logic dio_pad_sleep_en_2_we;
1289 logic dio_pad_sleep_en_3_qs;
1290 logic dio_pad_sleep_en_3_wd;
1291 logic dio_pad_sleep_en_3_we;
1292 logic dio_pad_sleep_en_4_qs;
1293 logic dio_pad_sleep_en_4_wd;
1294 logic dio_pad_sleep_en_4_we;
1295 logic dio_pad_sleep_en_5_qs;
1296 logic dio_pad_sleep_en_5_wd;
1297 logic dio_pad_sleep_en_5_we;
1298 logic dio_pad_sleep_en_6_qs;
1299 logic dio_pad_sleep_en_6_wd;
1300 logic dio_pad_sleep_en_6_we;
1301 logic dio_pad_sleep_en_7_qs;
1302 logic dio_pad_sleep_en_7_wd;
1303 logic dio_pad_sleep_en_7_we;
1304 logic dio_pad_sleep_en_8_qs;
1305 logic dio_pad_sleep_en_8_wd;
1306 logic dio_pad_sleep_en_8_we;
1307 logic dio_pad_sleep_en_9_qs;
1308 logic dio_pad_sleep_en_9_wd;
1309 logic dio_pad_sleep_en_9_we;
1310 logic dio_pad_sleep_en_10_qs;
1311 logic dio_pad_sleep_en_10_wd;
1312 logic dio_pad_sleep_en_10_we;
1313 logic dio_pad_sleep_en_11_qs;
1314 logic dio_pad_sleep_en_11_wd;
1315 logic dio_pad_sleep_en_11_we;
1316 logic dio_pad_sleep_en_12_qs;
1317 logic dio_pad_sleep_en_12_wd;
1318 logic dio_pad_sleep_en_12_we;
1319 logic dio_pad_sleep_en_13_qs;
1320 logic dio_pad_sleep_en_13_wd;
1321 logic dio_pad_sleep_en_13_we;
1322 logic dio_pad_sleep_en_14_qs;
1323 logic dio_pad_sleep_en_14_wd;
1324 logic dio_pad_sleep_en_14_we;
1325 logic dio_pad_sleep_en_15_qs;
1326 logic dio_pad_sleep_en_15_wd;
1327 logic dio_pad_sleep_en_15_we;
1328 logic [1:0] dio_pad_sleep_mode_0_qs;
1329 logic [1:0] dio_pad_sleep_mode_0_wd;
1330 logic dio_pad_sleep_mode_0_we;
1331 logic [1:0] dio_pad_sleep_mode_1_qs;
1332 logic [1:0] dio_pad_sleep_mode_1_wd;
1333 logic dio_pad_sleep_mode_1_we;
1334 logic [1:0] dio_pad_sleep_mode_2_qs;
1335 logic [1:0] dio_pad_sleep_mode_2_wd;
1336 logic dio_pad_sleep_mode_2_we;
1337 logic [1:0] dio_pad_sleep_mode_3_qs;
1338 logic [1:0] dio_pad_sleep_mode_3_wd;
1339 logic dio_pad_sleep_mode_3_we;
1340 logic [1:0] dio_pad_sleep_mode_4_qs;
1341 logic [1:0] dio_pad_sleep_mode_4_wd;
1342 logic dio_pad_sleep_mode_4_we;
1343 logic [1:0] dio_pad_sleep_mode_5_qs;
1344 logic [1:0] dio_pad_sleep_mode_5_wd;
1345 logic dio_pad_sleep_mode_5_we;
1346 logic [1:0] dio_pad_sleep_mode_6_qs;
1347 logic [1:0] dio_pad_sleep_mode_6_wd;
1348 logic dio_pad_sleep_mode_6_we;
1349 logic [1:0] dio_pad_sleep_mode_7_qs;
1350 logic [1:0] dio_pad_sleep_mode_7_wd;
1351 logic dio_pad_sleep_mode_7_we;
1352 logic [1:0] dio_pad_sleep_mode_8_qs;
1353 logic [1:0] dio_pad_sleep_mode_8_wd;
1354 logic dio_pad_sleep_mode_8_we;
1355 logic [1:0] dio_pad_sleep_mode_9_qs;
1356 logic [1:0] dio_pad_sleep_mode_9_wd;
1357 logic dio_pad_sleep_mode_9_we;
1358 logic [1:0] dio_pad_sleep_mode_10_qs;
1359 logic [1:0] dio_pad_sleep_mode_10_wd;
1360 logic dio_pad_sleep_mode_10_we;
1361 logic [1:0] dio_pad_sleep_mode_11_qs;
1362 logic [1:0] dio_pad_sleep_mode_11_wd;
1363 logic dio_pad_sleep_mode_11_we;
1364 logic [1:0] dio_pad_sleep_mode_12_qs;
1365 logic [1:0] dio_pad_sleep_mode_12_wd;
1366 logic dio_pad_sleep_mode_12_we;
1367 logic [1:0] dio_pad_sleep_mode_13_qs;
1368 logic [1:0] dio_pad_sleep_mode_13_wd;
1369 logic dio_pad_sleep_mode_13_we;
1370 logic [1:0] dio_pad_sleep_mode_14_qs;
1371 logic [1:0] dio_pad_sleep_mode_14_wd;
1372 logic dio_pad_sleep_mode_14_we;
1373 logic [1:0] dio_pad_sleep_mode_15_qs;
1374 logic [1:0] dio_pad_sleep_mode_15_wd;
1375 logic dio_pad_sleep_mode_15_we;
Michael Schaffner06fdb112021-02-10 16:52:56 -08001376 logic wkup_detector_regwen_0_qs;
1377 logic wkup_detector_regwen_0_wd;
1378 logic wkup_detector_regwen_0_we;
1379 logic wkup_detector_regwen_1_qs;
1380 logic wkup_detector_regwen_1_wd;
1381 logic wkup_detector_regwen_1_we;
1382 logic wkup_detector_regwen_2_qs;
1383 logic wkup_detector_regwen_2_wd;
1384 logic wkup_detector_regwen_2_we;
1385 logic wkup_detector_regwen_3_qs;
1386 logic wkup_detector_regwen_3_wd;
1387 logic wkup_detector_regwen_3_we;
1388 logic wkup_detector_regwen_4_qs;
1389 logic wkup_detector_regwen_4_wd;
1390 logic wkup_detector_regwen_4_we;
1391 logic wkup_detector_regwen_5_qs;
1392 logic wkup_detector_regwen_5_wd;
1393 logic wkup_detector_regwen_5_we;
1394 logic wkup_detector_regwen_6_qs;
1395 logic wkup_detector_regwen_6_wd;
1396 logic wkup_detector_regwen_6_we;
1397 logic wkup_detector_regwen_7_qs;
1398 logic wkup_detector_regwen_7_wd;
1399 logic wkup_detector_regwen_7_we;
1400 logic wkup_detector_en_0_qs;
1401 logic wkup_detector_en_0_wd;
1402 logic wkup_detector_en_0_we;
1403 logic wkup_detector_en_1_qs;
1404 logic wkup_detector_en_1_wd;
1405 logic wkup_detector_en_1_we;
1406 logic wkup_detector_en_2_qs;
1407 logic wkup_detector_en_2_wd;
1408 logic wkup_detector_en_2_we;
1409 logic wkup_detector_en_3_qs;
1410 logic wkup_detector_en_3_wd;
1411 logic wkup_detector_en_3_we;
1412 logic wkup_detector_en_4_qs;
1413 logic wkup_detector_en_4_wd;
1414 logic wkup_detector_en_4_we;
1415 logic wkup_detector_en_5_qs;
1416 logic wkup_detector_en_5_wd;
1417 logic wkup_detector_en_5_we;
1418 logic wkup_detector_en_6_qs;
1419 logic wkup_detector_en_6_wd;
1420 logic wkup_detector_en_6_we;
1421 logic wkup_detector_en_7_qs;
1422 logic wkup_detector_en_7_wd;
1423 logic wkup_detector_en_7_we;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +02001424 logic [2:0] wkup_detector_0_mode_0_qs;
1425 logic [2:0] wkup_detector_0_mode_0_wd;
1426 logic wkup_detector_0_mode_0_we;
1427 logic wkup_detector_0_filter_0_qs;
1428 logic wkup_detector_0_filter_0_wd;
1429 logic wkup_detector_0_filter_0_we;
1430 logic wkup_detector_0_miodio_0_qs;
1431 logic wkup_detector_0_miodio_0_wd;
1432 logic wkup_detector_0_miodio_0_we;
1433 logic [2:0] wkup_detector_1_mode_1_qs;
1434 logic [2:0] wkup_detector_1_mode_1_wd;
1435 logic wkup_detector_1_mode_1_we;
1436 logic wkup_detector_1_filter_1_qs;
1437 logic wkup_detector_1_filter_1_wd;
1438 logic wkup_detector_1_filter_1_we;
1439 logic wkup_detector_1_miodio_1_qs;
1440 logic wkup_detector_1_miodio_1_wd;
1441 logic wkup_detector_1_miodio_1_we;
1442 logic [2:0] wkup_detector_2_mode_2_qs;
1443 logic [2:0] wkup_detector_2_mode_2_wd;
1444 logic wkup_detector_2_mode_2_we;
1445 logic wkup_detector_2_filter_2_qs;
1446 logic wkup_detector_2_filter_2_wd;
1447 logic wkup_detector_2_filter_2_we;
1448 logic wkup_detector_2_miodio_2_qs;
1449 logic wkup_detector_2_miodio_2_wd;
1450 logic wkup_detector_2_miodio_2_we;
1451 logic [2:0] wkup_detector_3_mode_3_qs;
1452 logic [2:0] wkup_detector_3_mode_3_wd;
1453 logic wkup_detector_3_mode_3_we;
1454 logic wkup_detector_3_filter_3_qs;
1455 logic wkup_detector_3_filter_3_wd;
1456 logic wkup_detector_3_filter_3_we;
1457 logic wkup_detector_3_miodio_3_qs;
1458 logic wkup_detector_3_miodio_3_wd;
1459 logic wkup_detector_3_miodio_3_we;
1460 logic [2:0] wkup_detector_4_mode_4_qs;
1461 logic [2:0] wkup_detector_4_mode_4_wd;
1462 logic wkup_detector_4_mode_4_we;
1463 logic wkup_detector_4_filter_4_qs;
1464 logic wkup_detector_4_filter_4_wd;
1465 logic wkup_detector_4_filter_4_we;
1466 logic wkup_detector_4_miodio_4_qs;
1467 logic wkup_detector_4_miodio_4_wd;
1468 logic wkup_detector_4_miodio_4_we;
1469 logic [2:0] wkup_detector_5_mode_5_qs;
1470 logic [2:0] wkup_detector_5_mode_5_wd;
1471 logic wkup_detector_5_mode_5_we;
1472 logic wkup_detector_5_filter_5_qs;
1473 logic wkup_detector_5_filter_5_wd;
1474 logic wkup_detector_5_filter_5_we;
1475 logic wkup_detector_5_miodio_5_qs;
1476 logic wkup_detector_5_miodio_5_wd;
1477 logic wkup_detector_5_miodio_5_we;
1478 logic [2:0] wkup_detector_6_mode_6_qs;
1479 logic [2:0] wkup_detector_6_mode_6_wd;
1480 logic wkup_detector_6_mode_6_we;
1481 logic wkup_detector_6_filter_6_qs;
1482 logic wkup_detector_6_filter_6_wd;
1483 logic wkup_detector_6_filter_6_we;
1484 logic wkup_detector_6_miodio_6_qs;
1485 logic wkup_detector_6_miodio_6_wd;
1486 logic wkup_detector_6_miodio_6_we;
1487 logic [2:0] wkup_detector_7_mode_7_qs;
1488 logic [2:0] wkup_detector_7_mode_7_wd;
1489 logic wkup_detector_7_mode_7_we;
1490 logic wkup_detector_7_filter_7_qs;
1491 logic wkup_detector_7_filter_7_wd;
1492 logic wkup_detector_7_filter_7_we;
1493 logic wkup_detector_7_miodio_7_qs;
1494 logic wkup_detector_7_miodio_7_wd;
1495 logic wkup_detector_7_miodio_7_we;
Michael Schaffner06fdb112021-02-10 16:52:56 -08001496 logic [7:0] wkup_detector_cnt_th_0_qs;
1497 logic [7:0] wkup_detector_cnt_th_0_wd;
1498 logic wkup_detector_cnt_th_0_we;
1499 logic [7:0] wkup_detector_cnt_th_1_qs;
1500 logic [7:0] wkup_detector_cnt_th_1_wd;
1501 logic wkup_detector_cnt_th_1_we;
1502 logic [7:0] wkup_detector_cnt_th_2_qs;
1503 logic [7:0] wkup_detector_cnt_th_2_wd;
1504 logic wkup_detector_cnt_th_2_we;
1505 logic [7:0] wkup_detector_cnt_th_3_qs;
1506 logic [7:0] wkup_detector_cnt_th_3_wd;
1507 logic wkup_detector_cnt_th_3_we;
1508 logic [7:0] wkup_detector_cnt_th_4_qs;
1509 logic [7:0] wkup_detector_cnt_th_4_wd;
1510 logic wkup_detector_cnt_th_4_we;
1511 logic [7:0] wkup_detector_cnt_th_5_qs;
1512 logic [7:0] wkup_detector_cnt_th_5_wd;
1513 logic wkup_detector_cnt_th_5_we;
1514 logic [7:0] wkup_detector_cnt_th_6_qs;
1515 logic [7:0] wkup_detector_cnt_th_6_wd;
1516 logic wkup_detector_cnt_th_6_we;
1517 logic [7:0] wkup_detector_cnt_th_7_qs;
1518 logic [7:0] wkup_detector_cnt_th_7_wd;
1519 logic wkup_detector_cnt_th_7_we;
1520 logic [5:0] wkup_detector_padsel_0_qs;
1521 logic [5:0] wkup_detector_padsel_0_wd;
1522 logic wkup_detector_padsel_0_we;
1523 logic [5:0] wkup_detector_padsel_1_qs;
1524 logic [5:0] wkup_detector_padsel_1_wd;
1525 logic wkup_detector_padsel_1_we;
1526 logic [5:0] wkup_detector_padsel_2_qs;
1527 logic [5:0] wkup_detector_padsel_2_wd;
1528 logic wkup_detector_padsel_2_we;
1529 logic [5:0] wkup_detector_padsel_3_qs;
1530 logic [5:0] wkup_detector_padsel_3_wd;
1531 logic wkup_detector_padsel_3_we;
1532 logic [5:0] wkup_detector_padsel_4_qs;
1533 logic [5:0] wkup_detector_padsel_4_wd;
1534 logic wkup_detector_padsel_4_we;
1535 logic [5:0] wkup_detector_padsel_5_qs;
1536 logic [5:0] wkup_detector_padsel_5_wd;
1537 logic wkup_detector_padsel_5_we;
1538 logic [5:0] wkup_detector_padsel_6_qs;
1539 logic [5:0] wkup_detector_padsel_6_wd;
1540 logic wkup_detector_padsel_6_we;
1541 logic [5:0] wkup_detector_padsel_7_qs;
1542 logic [5:0] wkup_detector_padsel_7_wd;
1543 logic wkup_detector_padsel_7_we;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +02001544 logic wkup_cause_cause_0_qs;
1545 logic wkup_cause_cause_0_wd;
1546 logic wkup_cause_cause_0_we;
1547 logic wkup_cause_cause_0_re;
1548 logic wkup_cause_cause_1_qs;
1549 logic wkup_cause_cause_1_wd;
1550 logic wkup_cause_cause_1_we;
1551 logic wkup_cause_cause_1_re;
1552 logic wkup_cause_cause_2_qs;
1553 logic wkup_cause_cause_2_wd;
1554 logic wkup_cause_cause_2_we;
1555 logic wkup_cause_cause_2_re;
1556 logic wkup_cause_cause_3_qs;
1557 logic wkup_cause_cause_3_wd;
1558 logic wkup_cause_cause_3_we;
1559 logic wkup_cause_cause_3_re;
1560 logic wkup_cause_cause_4_qs;
1561 logic wkup_cause_cause_4_wd;
1562 logic wkup_cause_cause_4_we;
1563 logic wkup_cause_cause_4_re;
1564 logic wkup_cause_cause_5_qs;
1565 logic wkup_cause_cause_5_wd;
1566 logic wkup_cause_cause_5_we;
1567 logic wkup_cause_cause_5_re;
1568 logic wkup_cause_cause_6_qs;
1569 logic wkup_cause_cause_6_wd;
1570 logic wkup_cause_cause_6_we;
1571 logic wkup_cause_cause_6_re;
1572 logic wkup_cause_cause_7_qs;
1573 logic wkup_cause_cause_7_wd;
1574 logic wkup_cause_cause_7_we;
1575 logic wkup_cause_cause_7_re;
Michael Schaffner51c61442019-10-01 15:49:10 -07001576
1577 // Register instances
Michael Schaffner06fdb112021-02-10 16:52:56 -08001578
1579 // Subregister 0 of Multireg mio_periph_insel_regwen
1580 // R[mio_periph_insel_regwen_0]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001581
1582 prim_subreg #(
1583 .DW (1),
Michael Schaffnerd86ff082019-10-01 17:22:59 -07001584 .SWACCESS("W0C"),
Michael Schaffner51c61442019-10-01 15:49:10 -07001585 .RESVAL (1'h1)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001586 ) u_mio_periph_insel_regwen_0 (
Michael Schaffner51c61442019-10-01 15:49:10 -07001587 .clk_i (clk_i ),
1588 .rst_ni (rst_ni ),
1589
1590 // from register interface
Michael Schaffner06fdb112021-02-10 16:52:56 -08001591 .we (mio_periph_insel_regwen_0_we),
1592 .wd (mio_periph_insel_regwen_0_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001593
1594 // from internal hardware
1595 .de (1'b0),
1596 .d ('0 ),
1597
1598 // to internal hardware
1599 .qe (),
1600 .q (),
1601
1602 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001603 .qs (mio_periph_insel_regwen_0_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001604 );
1605
Michael Schaffner06fdb112021-02-10 16:52:56 -08001606 // Subregister 1 of Multireg mio_periph_insel_regwen
1607 // R[mio_periph_insel_regwen_1]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001608
Michael Schaffner51c61442019-10-01 15:49:10 -07001609 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001610 .DW (1),
1611 .SWACCESS("W0C"),
1612 .RESVAL (1'h1)
1613 ) u_mio_periph_insel_regwen_1 (
Michael Schaffner51c61442019-10-01 15:49:10 -07001614 .clk_i (clk_i ),
1615 .rst_ni (rst_ni ),
1616
Michael Schaffner06fdb112021-02-10 16:52:56 -08001617 // from register interface
1618 .we (mio_periph_insel_regwen_1_we),
1619 .wd (mio_periph_insel_regwen_1_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001620
1621 // from internal hardware
1622 .de (1'b0),
1623 .d ('0 ),
1624
1625 // to internal hardware
1626 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001627 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001628
1629 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001630 .qs (mio_periph_insel_regwen_1_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001631 );
1632
Michael Schaffner06fdb112021-02-10 16:52:56 -08001633 // Subregister 2 of Multireg mio_periph_insel_regwen
1634 // R[mio_periph_insel_regwen_2]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001635
Michael Schaffner51c61442019-10-01 15:49:10 -07001636 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001637 .DW (1),
1638 .SWACCESS("W0C"),
1639 .RESVAL (1'h1)
1640 ) u_mio_periph_insel_regwen_2 (
Michael Schaffner51c61442019-10-01 15:49:10 -07001641 .clk_i (clk_i ),
1642 .rst_ni (rst_ni ),
1643
Michael Schaffner06fdb112021-02-10 16:52:56 -08001644 // from register interface
1645 .we (mio_periph_insel_regwen_2_we),
1646 .wd (mio_periph_insel_regwen_2_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001647
1648 // from internal hardware
1649 .de (1'b0),
1650 .d ('0 ),
1651
1652 // to internal hardware
1653 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001654 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001655
1656 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001657 .qs (mio_periph_insel_regwen_2_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001658 );
1659
Michael Schaffner06fdb112021-02-10 16:52:56 -08001660 // Subregister 3 of Multireg mio_periph_insel_regwen
1661 // R[mio_periph_insel_regwen_3]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001662
Michael Schaffner51c61442019-10-01 15:49:10 -07001663 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001664 .DW (1),
1665 .SWACCESS("W0C"),
1666 .RESVAL (1'h1)
1667 ) u_mio_periph_insel_regwen_3 (
Michael Schaffner51c61442019-10-01 15:49:10 -07001668 .clk_i (clk_i ),
1669 .rst_ni (rst_ni ),
1670
Michael Schaffner06fdb112021-02-10 16:52:56 -08001671 // from register interface
1672 .we (mio_periph_insel_regwen_3_we),
1673 .wd (mio_periph_insel_regwen_3_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001674
1675 // from internal hardware
1676 .de (1'b0),
1677 .d ('0 ),
1678
1679 // to internal hardware
1680 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001681 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001682
1683 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001684 .qs (mio_periph_insel_regwen_3_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001685 );
1686
Michael Schaffner06fdb112021-02-10 16:52:56 -08001687 // Subregister 4 of Multireg mio_periph_insel_regwen
1688 // R[mio_periph_insel_regwen_4]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001689
Michael Schaffner51c61442019-10-01 15:49:10 -07001690 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001691 .DW (1),
1692 .SWACCESS("W0C"),
1693 .RESVAL (1'h1)
1694 ) u_mio_periph_insel_regwen_4 (
Michael Schaffner51c61442019-10-01 15:49:10 -07001695 .clk_i (clk_i ),
1696 .rst_ni (rst_ni ),
1697
Michael Schaffner06fdb112021-02-10 16:52:56 -08001698 // from register interface
1699 .we (mio_periph_insel_regwen_4_we),
1700 .wd (mio_periph_insel_regwen_4_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001701
1702 // from internal hardware
1703 .de (1'b0),
1704 .d ('0 ),
1705
1706 // to internal hardware
1707 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001708 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001709
1710 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001711 .qs (mio_periph_insel_regwen_4_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001712 );
1713
Michael Schaffner06fdb112021-02-10 16:52:56 -08001714 // Subregister 5 of Multireg mio_periph_insel_regwen
1715 // R[mio_periph_insel_regwen_5]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001716
Michael Schaffner51c61442019-10-01 15:49:10 -07001717 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001718 .DW (1),
1719 .SWACCESS("W0C"),
1720 .RESVAL (1'h1)
1721 ) u_mio_periph_insel_regwen_5 (
Michael Schaffner51c61442019-10-01 15:49:10 -07001722 .clk_i (clk_i ),
1723 .rst_ni (rst_ni ),
1724
Michael Schaffner06fdb112021-02-10 16:52:56 -08001725 // from register interface
1726 .we (mio_periph_insel_regwen_5_we),
1727 .wd (mio_periph_insel_regwen_5_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001728
1729 // from internal hardware
1730 .de (1'b0),
1731 .d ('0 ),
1732
1733 // to internal hardware
1734 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001735 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001736
1737 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001738 .qs (mio_periph_insel_regwen_5_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001739 );
1740
Michael Schaffner06fdb112021-02-10 16:52:56 -08001741 // Subregister 6 of Multireg mio_periph_insel_regwen
1742 // R[mio_periph_insel_regwen_6]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001743
Michael Schaffner51c61442019-10-01 15:49:10 -07001744 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001745 .DW (1),
1746 .SWACCESS("W0C"),
1747 .RESVAL (1'h1)
1748 ) u_mio_periph_insel_regwen_6 (
Michael Schaffner51c61442019-10-01 15:49:10 -07001749 .clk_i (clk_i ),
1750 .rst_ni (rst_ni ),
1751
Michael Schaffner06fdb112021-02-10 16:52:56 -08001752 // from register interface
1753 .we (mio_periph_insel_regwen_6_we),
1754 .wd (mio_periph_insel_regwen_6_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001755
1756 // from internal hardware
1757 .de (1'b0),
1758 .d ('0 ),
1759
1760 // to internal hardware
1761 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001762 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001763
1764 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001765 .qs (mio_periph_insel_regwen_6_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001766 );
1767
Michael Schaffner06fdb112021-02-10 16:52:56 -08001768 // Subregister 7 of Multireg mio_periph_insel_regwen
1769 // R[mio_periph_insel_regwen_7]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001770
Michael Schaffner51c61442019-10-01 15:49:10 -07001771 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001772 .DW (1),
1773 .SWACCESS("W0C"),
1774 .RESVAL (1'h1)
1775 ) u_mio_periph_insel_regwen_7 (
Michael Schaffner51c61442019-10-01 15:49:10 -07001776 .clk_i (clk_i ),
1777 .rst_ni (rst_ni ),
1778
Michael Schaffner06fdb112021-02-10 16:52:56 -08001779 // from register interface
1780 .we (mio_periph_insel_regwen_7_we),
1781 .wd (mio_periph_insel_regwen_7_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001782
1783 // from internal hardware
1784 .de (1'b0),
1785 .d ('0 ),
1786
1787 // to internal hardware
1788 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001789 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001790
1791 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001792 .qs (mio_periph_insel_regwen_7_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07001793 );
1794
Michael Schaffner06fdb112021-02-10 16:52:56 -08001795 // Subregister 8 of Multireg mio_periph_insel_regwen
1796 // R[mio_periph_insel_regwen_8]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07001797
Michael Schaffner51c61442019-10-01 15:49:10 -07001798 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001799 .DW (1),
1800 .SWACCESS("W0C"),
1801 .RESVAL (1'h1)
1802 ) u_mio_periph_insel_regwen_8 (
Michael Schaffner51c61442019-10-01 15:49:10 -07001803 .clk_i (clk_i ),
1804 .rst_ni (rst_ni ),
1805
Michael Schaffner06fdb112021-02-10 16:52:56 -08001806 // from register interface
1807 .we (mio_periph_insel_regwen_8_we),
1808 .wd (mio_periph_insel_regwen_8_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07001809
1810 // from internal hardware
1811 .de (1'b0),
1812 .d ('0 ),
1813
1814 // to internal hardware
1815 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001816 .q (),
Michael Schaffner51c61442019-10-01 15:49:10 -07001817
1818 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001819 .qs (mio_periph_insel_regwen_8_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001820 );
1821
Michael Schaffner06fdb112021-02-10 16:52:56 -08001822 // Subregister 9 of Multireg mio_periph_insel_regwen
1823 // R[mio_periph_insel_regwen_9]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001824
Michael Schaffnerfb801932019-10-02 10:49:15 -07001825 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001826 .DW (1),
1827 .SWACCESS("W0C"),
1828 .RESVAL (1'h1)
1829 ) u_mio_periph_insel_regwen_9 (
Michael Schaffnerfb801932019-10-02 10:49:15 -07001830 .clk_i (clk_i ),
1831 .rst_ni (rst_ni ),
1832
Michael Schaffner06fdb112021-02-10 16:52:56 -08001833 // from register interface
1834 .we (mio_periph_insel_regwen_9_we),
1835 .wd (mio_periph_insel_regwen_9_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001836
1837 // from internal hardware
1838 .de (1'b0),
1839 .d ('0 ),
1840
1841 // to internal hardware
1842 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001843 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001844
1845 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001846 .qs (mio_periph_insel_regwen_9_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001847 );
1848
Michael Schaffner06fdb112021-02-10 16:52:56 -08001849 // Subregister 10 of Multireg mio_periph_insel_regwen
1850 // R[mio_periph_insel_regwen_10]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001851
Michael Schaffnerfb801932019-10-02 10:49:15 -07001852 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001853 .DW (1),
1854 .SWACCESS("W0C"),
1855 .RESVAL (1'h1)
1856 ) u_mio_periph_insel_regwen_10 (
Michael Schaffnerfb801932019-10-02 10:49:15 -07001857 .clk_i (clk_i ),
1858 .rst_ni (rst_ni ),
1859
Michael Schaffner06fdb112021-02-10 16:52:56 -08001860 // from register interface
1861 .we (mio_periph_insel_regwen_10_we),
1862 .wd (mio_periph_insel_regwen_10_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001863
1864 // from internal hardware
1865 .de (1'b0),
1866 .d ('0 ),
1867
1868 // to internal hardware
1869 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001870 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001871
1872 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001873 .qs (mio_periph_insel_regwen_10_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001874 );
1875
Michael Schaffner06fdb112021-02-10 16:52:56 -08001876 // Subregister 11 of Multireg mio_periph_insel_regwen
1877 // R[mio_periph_insel_regwen_11]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001878
Michael Schaffnerfb801932019-10-02 10:49:15 -07001879 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001880 .DW (1),
1881 .SWACCESS("W0C"),
1882 .RESVAL (1'h1)
1883 ) u_mio_periph_insel_regwen_11 (
Michael Schaffnerfb801932019-10-02 10:49:15 -07001884 .clk_i (clk_i ),
1885 .rst_ni (rst_ni ),
1886
Michael Schaffner06fdb112021-02-10 16:52:56 -08001887 // from register interface
1888 .we (mio_periph_insel_regwen_11_we),
1889 .wd (mio_periph_insel_regwen_11_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001890
1891 // from internal hardware
1892 .de (1'b0),
1893 .d ('0 ),
1894
1895 // to internal hardware
1896 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001897 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001898
1899 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001900 .qs (mio_periph_insel_regwen_11_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001901 );
1902
Michael Schaffner06fdb112021-02-10 16:52:56 -08001903 // Subregister 12 of Multireg mio_periph_insel_regwen
1904 // R[mio_periph_insel_regwen_12]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001905
Michael Schaffnerfb801932019-10-02 10:49:15 -07001906 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001907 .DW (1),
1908 .SWACCESS("W0C"),
1909 .RESVAL (1'h1)
1910 ) u_mio_periph_insel_regwen_12 (
Michael Schaffnerfb801932019-10-02 10:49:15 -07001911 .clk_i (clk_i ),
1912 .rst_ni (rst_ni ),
1913
Michael Schaffner06fdb112021-02-10 16:52:56 -08001914 // from register interface
1915 .we (mio_periph_insel_regwen_12_we),
1916 .wd (mio_periph_insel_regwen_12_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001917
1918 // from internal hardware
1919 .de (1'b0),
1920 .d ('0 ),
1921
1922 // to internal hardware
1923 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001924 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001925
1926 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001927 .qs (mio_periph_insel_regwen_12_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001928 );
1929
Michael Schaffner06fdb112021-02-10 16:52:56 -08001930 // Subregister 13 of Multireg mio_periph_insel_regwen
1931 // R[mio_periph_insel_regwen_13]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001932
Michael Schaffnerfb801932019-10-02 10:49:15 -07001933 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001934 .DW (1),
1935 .SWACCESS("W0C"),
1936 .RESVAL (1'h1)
1937 ) u_mio_periph_insel_regwen_13 (
Michael Schaffnerfb801932019-10-02 10:49:15 -07001938 .clk_i (clk_i ),
1939 .rst_ni (rst_ni ),
1940
Michael Schaffner06fdb112021-02-10 16:52:56 -08001941 // from register interface
1942 .we (mio_periph_insel_regwen_13_we),
1943 .wd (mio_periph_insel_regwen_13_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001944
1945 // from internal hardware
1946 .de (1'b0),
1947 .d ('0 ),
1948
1949 // to internal hardware
1950 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001951 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001952
1953 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001954 .qs (mio_periph_insel_regwen_13_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001955 );
1956
Michael Schaffner06fdb112021-02-10 16:52:56 -08001957 // Subregister 14 of Multireg mio_periph_insel_regwen
1958 // R[mio_periph_insel_regwen_14]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001959
Michael Schaffnerfb801932019-10-02 10:49:15 -07001960 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001961 .DW (1),
1962 .SWACCESS("W0C"),
1963 .RESVAL (1'h1)
1964 ) u_mio_periph_insel_regwen_14 (
Michael Schaffnerfb801932019-10-02 10:49:15 -07001965 .clk_i (clk_i ),
1966 .rst_ni (rst_ni ),
1967
Michael Schaffner06fdb112021-02-10 16:52:56 -08001968 // from register interface
1969 .we (mio_periph_insel_regwen_14_we),
1970 .wd (mio_periph_insel_regwen_14_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001971
1972 // from internal hardware
1973 .de (1'b0),
1974 .d ('0 ),
1975
1976 // to internal hardware
1977 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08001978 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001979
1980 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08001981 .qs (mio_periph_insel_regwen_14_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001982 );
1983
Michael Schaffner06fdb112021-02-10 16:52:56 -08001984 // Subregister 15 of Multireg mio_periph_insel_regwen
1985 // R[mio_periph_insel_regwen_15]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07001986
Michael Schaffnerfb801932019-10-02 10:49:15 -07001987 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08001988 .DW (1),
1989 .SWACCESS("W0C"),
1990 .RESVAL (1'h1)
1991 ) u_mio_periph_insel_regwen_15 (
Michael Schaffnerfb801932019-10-02 10:49:15 -07001992 .clk_i (clk_i ),
1993 .rst_ni (rst_ni ),
1994
Michael Schaffner06fdb112021-02-10 16:52:56 -08001995 // from register interface
1996 .we (mio_periph_insel_regwen_15_we),
1997 .wd (mio_periph_insel_regwen_15_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07001998
1999 // from internal hardware
2000 .de (1'b0),
2001 .d ('0 ),
2002
2003 // to internal hardware
2004 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002005 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002006
2007 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002008 .qs (mio_periph_insel_regwen_15_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07002009 );
2010
Michael Schaffner06fdb112021-02-10 16:52:56 -08002011 // Subregister 16 of Multireg mio_periph_insel_regwen
2012 // R[mio_periph_insel_regwen_16]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07002013
Michael Schaffnerfb801932019-10-02 10:49:15 -07002014 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002015 .DW (1),
2016 .SWACCESS("W0C"),
2017 .RESVAL (1'h1)
2018 ) u_mio_periph_insel_regwen_16 (
Michael Schaffnerfb801932019-10-02 10:49:15 -07002019 .clk_i (clk_i ),
2020 .rst_ni (rst_ni ),
2021
Michael Schaffner06fdb112021-02-10 16:52:56 -08002022 // from register interface
2023 .we (mio_periph_insel_regwen_16_we),
2024 .wd (mio_periph_insel_regwen_16_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002025
2026 // from internal hardware
2027 .de (1'b0),
2028 .d ('0 ),
2029
2030 // to internal hardware
2031 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002032 .q (),
Michael Schaffnerfb801932019-10-02 10:49:15 -07002033
2034 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002035 .qs (mio_periph_insel_regwen_16_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002036 );
2037
Michael Schaffner06fdb112021-02-10 16:52:56 -08002038 // Subregister 17 of Multireg mio_periph_insel_regwen
2039 // R[mio_periph_insel_regwen_17]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002040
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002041 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002042 .DW (1),
2043 .SWACCESS("W0C"),
2044 .RESVAL (1'h1)
2045 ) u_mio_periph_insel_regwen_17 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002046 .clk_i (clk_i ),
2047 .rst_ni (rst_ni ),
2048
Michael Schaffner06fdb112021-02-10 16:52:56 -08002049 // from register interface
2050 .we (mio_periph_insel_regwen_17_we),
2051 .wd (mio_periph_insel_regwen_17_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002052
2053 // from internal hardware
2054 .de (1'b0),
2055 .d ('0 ),
2056
2057 // to internal hardware
2058 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002059 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002060
2061 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002062 .qs (mio_periph_insel_regwen_17_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002063 );
2064
Michael Schaffner06fdb112021-02-10 16:52:56 -08002065 // Subregister 18 of Multireg mio_periph_insel_regwen
2066 // R[mio_periph_insel_regwen_18]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002067
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002068 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002069 .DW (1),
2070 .SWACCESS("W0C"),
2071 .RESVAL (1'h1)
2072 ) u_mio_periph_insel_regwen_18 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002073 .clk_i (clk_i ),
2074 .rst_ni (rst_ni ),
2075
Michael Schaffner06fdb112021-02-10 16:52:56 -08002076 // from register interface
2077 .we (mio_periph_insel_regwen_18_we),
2078 .wd (mio_periph_insel_regwen_18_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002079
2080 // from internal hardware
2081 .de (1'b0),
2082 .d ('0 ),
2083
2084 // to internal hardware
2085 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002086 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002087
2088 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002089 .qs (mio_periph_insel_regwen_18_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002090 );
2091
Michael Schaffner06fdb112021-02-10 16:52:56 -08002092 // Subregister 19 of Multireg mio_periph_insel_regwen
2093 // R[mio_periph_insel_regwen_19]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002094
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002095 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002096 .DW (1),
2097 .SWACCESS("W0C"),
2098 .RESVAL (1'h1)
2099 ) u_mio_periph_insel_regwen_19 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002100 .clk_i (clk_i ),
2101 .rst_ni (rst_ni ),
2102
Michael Schaffner06fdb112021-02-10 16:52:56 -08002103 // from register interface
2104 .we (mio_periph_insel_regwen_19_we),
2105 .wd (mio_periph_insel_regwen_19_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002106
2107 // from internal hardware
2108 .de (1'b0),
2109 .d ('0 ),
2110
2111 // to internal hardware
2112 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002113 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002114
2115 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002116 .qs (mio_periph_insel_regwen_19_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002117 );
2118
Michael Schaffner06fdb112021-02-10 16:52:56 -08002119 // Subregister 20 of Multireg mio_periph_insel_regwen
2120 // R[mio_periph_insel_regwen_20]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002121
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002122 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002123 .DW (1),
2124 .SWACCESS("W0C"),
2125 .RESVAL (1'h1)
2126 ) u_mio_periph_insel_regwen_20 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002127 .clk_i (clk_i ),
2128 .rst_ni (rst_ni ),
2129
Michael Schaffner06fdb112021-02-10 16:52:56 -08002130 // from register interface
2131 .we (mio_periph_insel_regwen_20_we),
2132 .wd (mio_periph_insel_regwen_20_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002133
2134 // from internal hardware
2135 .de (1'b0),
2136 .d ('0 ),
2137
2138 // to internal hardware
2139 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002140 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002141
2142 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002143 .qs (mio_periph_insel_regwen_20_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002144 );
2145
Michael Schaffner06fdb112021-02-10 16:52:56 -08002146 // Subregister 21 of Multireg mio_periph_insel_regwen
2147 // R[mio_periph_insel_regwen_21]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002148
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002149 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002150 .DW (1),
2151 .SWACCESS("W0C"),
2152 .RESVAL (1'h1)
2153 ) u_mio_periph_insel_regwen_21 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002154 .clk_i (clk_i ),
2155 .rst_ni (rst_ni ),
2156
Michael Schaffner06fdb112021-02-10 16:52:56 -08002157 // from register interface
2158 .we (mio_periph_insel_regwen_21_we),
2159 .wd (mio_periph_insel_regwen_21_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002160
2161 // from internal hardware
2162 .de (1'b0),
2163 .d ('0 ),
2164
2165 // to internal hardware
2166 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002167 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002168
2169 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002170 .qs (mio_periph_insel_regwen_21_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002171 );
2172
Michael Schaffner06fdb112021-02-10 16:52:56 -08002173 // Subregister 22 of Multireg mio_periph_insel_regwen
2174 // R[mio_periph_insel_regwen_22]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002175
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002176 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002177 .DW (1),
2178 .SWACCESS("W0C"),
2179 .RESVAL (1'h1)
2180 ) u_mio_periph_insel_regwen_22 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002181 .clk_i (clk_i ),
2182 .rst_ni (rst_ni ),
2183
Michael Schaffner06fdb112021-02-10 16:52:56 -08002184 // from register interface
2185 .we (mio_periph_insel_regwen_22_we),
2186 .wd (mio_periph_insel_regwen_22_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002187
2188 // from internal hardware
2189 .de (1'b0),
2190 .d ('0 ),
2191
2192 // to internal hardware
2193 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002194 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002195
2196 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002197 .qs (mio_periph_insel_regwen_22_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002198 );
2199
Michael Schaffner06fdb112021-02-10 16:52:56 -08002200 // Subregister 23 of Multireg mio_periph_insel_regwen
2201 // R[mio_periph_insel_regwen_23]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002202
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002203 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002204 .DW (1),
2205 .SWACCESS("W0C"),
2206 .RESVAL (1'h1)
2207 ) u_mio_periph_insel_regwen_23 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002208 .clk_i (clk_i ),
2209 .rst_ni (rst_ni ),
2210
Michael Schaffner06fdb112021-02-10 16:52:56 -08002211 // from register interface
2212 .we (mio_periph_insel_regwen_23_we),
2213 .wd (mio_periph_insel_regwen_23_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002214
2215 // from internal hardware
2216 .de (1'b0),
2217 .d ('0 ),
2218
2219 // to internal hardware
2220 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002221 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002222
2223 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002224 .qs (mio_periph_insel_regwen_23_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002225 );
2226
Michael Schaffner06fdb112021-02-10 16:52:56 -08002227 // Subregister 24 of Multireg mio_periph_insel_regwen
2228 // R[mio_periph_insel_regwen_24]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002229
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002230 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002231 .DW (1),
2232 .SWACCESS("W0C"),
2233 .RESVAL (1'h1)
2234 ) u_mio_periph_insel_regwen_24 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002235 .clk_i (clk_i ),
2236 .rst_ni (rst_ni ),
2237
Michael Schaffner06fdb112021-02-10 16:52:56 -08002238 // from register interface
2239 .we (mio_periph_insel_regwen_24_we),
2240 .wd (mio_periph_insel_regwen_24_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002241
2242 // from internal hardware
2243 .de (1'b0),
2244 .d ('0 ),
2245
2246 // to internal hardware
2247 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002248 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002249
2250 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002251 .qs (mio_periph_insel_regwen_24_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002252 );
2253
Michael Schaffner06fdb112021-02-10 16:52:56 -08002254 // Subregister 25 of Multireg mio_periph_insel_regwen
2255 // R[mio_periph_insel_regwen_25]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002256
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002257 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002258 .DW (1),
2259 .SWACCESS("W0C"),
2260 .RESVAL (1'h1)
2261 ) u_mio_periph_insel_regwen_25 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002262 .clk_i (clk_i ),
2263 .rst_ni (rst_ni ),
2264
Michael Schaffner06fdb112021-02-10 16:52:56 -08002265 // from register interface
2266 .we (mio_periph_insel_regwen_25_we),
2267 .wd (mio_periph_insel_regwen_25_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002268
2269 // from internal hardware
2270 .de (1'b0),
2271 .d ('0 ),
2272
2273 // to internal hardware
2274 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002275 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002276
2277 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002278 .qs (mio_periph_insel_regwen_25_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002279 );
2280
Michael Schaffner06fdb112021-02-10 16:52:56 -08002281 // Subregister 26 of Multireg mio_periph_insel_regwen
2282 // R[mio_periph_insel_regwen_26]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002283
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002284 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002285 .DW (1),
2286 .SWACCESS("W0C"),
2287 .RESVAL (1'h1)
2288 ) u_mio_periph_insel_regwen_26 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002289 .clk_i (clk_i ),
2290 .rst_ni (rst_ni ),
2291
Michael Schaffner06fdb112021-02-10 16:52:56 -08002292 // from register interface
2293 .we (mio_periph_insel_regwen_26_we),
2294 .wd (mio_periph_insel_regwen_26_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002295
2296 // from internal hardware
2297 .de (1'b0),
2298 .d ('0 ),
2299
2300 // to internal hardware
2301 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002302 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002303
2304 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002305 .qs (mio_periph_insel_regwen_26_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002306 );
2307
Michael Schaffner06fdb112021-02-10 16:52:56 -08002308 // Subregister 27 of Multireg mio_periph_insel_regwen
2309 // R[mio_periph_insel_regwen_27]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002310
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002311 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002312 .DW (1),
2313 .SWACCESS("W0C"),
2314 .RESVAL (1'h1)
2315 ) u_mio_periph_insel_regwen_27 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002316 .clk_i (clk_i ),
2317 .rst_ni (rst_ni ),
2318
Michael Schaffner06fdb112021-02-10 16:52:56 -08002319 // from register interface
2320 .we (mio_periph_insel_regwen_27_we),
2321 .wd (mio_periph_insel_regwen_27_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002322
2323 // from internal hardware
2324 .de (1'b0),
2325 .d ('0 ),
2326
2327 // to internal hardware
2328 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002329 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002330
2331 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002332 .qs (mio_periph_insel_regwen_27_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002333 );
2334
Michael Schaffner06fdb112021-02-10 16:52:56 -08002335 // Subregister 28 of Multireg mio_periph_insel_regwen
2336 // R[mio_periph_insel_regwen_28]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002337
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002338 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002339 .DW (1),
2340 .SWACCESS("W0C"),
2341 .RESVAL (1'h1)
2342 ) u_mio_periph_insel_regwen_28 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002343 .clk_i (clk_i ),
2344 .rst_ni (rst_ni ),
2345
Michael Schaffner06fdb112021-02-10 16:52:56 -08002346 // from register interface
2347 .we (mio_periph_insel_regwen_28_we),
2348 .wd (mio_periph_insel_regwen_28_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002349
2350 // from internal hardware
2351 .de (1'b0),
2352 .d ('0 ),
2353
2354 // to internal hardware
2355 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002356 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002357
2358 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002359 .qs (mio_periph_insel_regwen_28_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002360 );
2361
Michael Schaffner06fdb112021-02-10 16:52:56 -08002362 // Subregister 29 of Multireg mio_periph_insel_regwen
2363 // R[mio_periph_insel_regwen_29]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002364
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002365 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002366 .DW (1),
2367 .SWACCESS("W0C"),
2368 .RESVAL (1'h1)
2369 ) u_mio_periph_insel_regwen_29 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002370 .clk_i (clk_i ),
2371 .rst_ni (rst_ni ),
2372
Michael Schaffner06fdb112021-02-10 16:52:56 -08002373 // from register interface
2374 .we (mio_periph_insel_regwen_29_we),
2375 .wd (mio_periph_insel_regwen_29_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002376
2377 // from internal hardware
2378 .de (1'b0),
2379 .d ('0 ),
2380
2381 // to internal hardware
2382 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002383 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002384
2385 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002386 .qs (mio_periph_insel_regwen_29_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002387 );
2388
Michael Schaffner06fdb112021-02-10 16:52:56 -08002389 // Subregister 30 of Multireg mio_periph_insel_regwen
2390 // R[mio_periph_insel_regwen_30]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002391
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002392 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002393 .DW (1),
2394 .SWACCESS("W0C"),
2395 .RESVAL (1'h1)
2396 ) u_mio_periph_insel_regwen_30 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002397 .clk_i (clk_i ),
2398 .rst_ni (rst_ni ),
2399
Michael Schaffner06fdb112021-02-10 16:52:56 -08002400 // from register interface
2401 .we (mio_periph_insel_regwen_30_we),
2402 .wd (mio_periph_insel_regwen_30_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002403
2404 // from internal hardware
2405 .de (1'b0),
2406 .d ('0 ),
2407
2408 // to internal hardware
2409 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002410 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002411
2412 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002413 .qs (mio_periph_insel_regwen_30_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002414 );
2415
Michael Schaffner06fdb112021-02-10 16:52:56 -08002416 // Subregister 31 of Multireg mio_periph_insel_regwen
2417 // R[mio_periph_insel_regwen_31]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002418
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002419 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002420 .DW (1),
2421 .SWACCESS("W0C"),
2422 .RESVAL (1'h1)
2423 ) u_mio_periph_insel_regwen_31 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002424 .clk_i (clk_i ),
2425 .rst_ni (rst_ni ),
2426
Michael Schaffner06fdb112021-02-10 16:52:56 -08002427 // from register interface
2428 .we (mio_periph_insel_regwen_31_we),
2429 .wd (mio_periph_insel_regwen_31_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002430
2431 // from internal hardware
2432 .de (1'b0),
2433 .d ('0 ),
2434
2435 // to internal hardware
2436 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002437 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002438
2439 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002440 .qs (mio_periph_insel_regwen_31_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002441 );
2442
Michael Schaffner06fdb112021-02-10 16:52:56 -08002443 // Subregister 32 of Multireg mio_periph_insel_regwen
2444 // R[mio_periph_insel_regwen_32]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002445
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002446 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -08002447 .DW (1),
2448 .SWACCESS("W0C"),
2449 .RESVAL (1'h1)
2450 ) u_mio_periph_insel_regwen_32 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002451 .clk_i (clk_i ),
2452 .rst_ni (rst_ni ),
2453
Michael Schaffner06fdb112021-02-10 16:52:56 -08002454 // from register interface
2455 .we (mio_periph_insel_regwen_32_we),
2456 .wd (mio_periph_insel_regwen_32_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002457
2458 // from internal hardware
2459 .de (1'b0),
2460 .d ('0 ),
2461
2462 // to internal hardware
2463 .qe (),
Michael Schaffner06fdb112021-02-10 16:52:56 -08002464 .q (),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07002465
2466 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08002467 .qs (mio_periph_insel_regwen_32_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07002468 );
2469
2470
2471
Michael Schaffner06fdb112021-02-10 16:52:56 -08002472 // Subregister 0 of Multireg mio_periph_insel
2473 // R[mio_periph_insel_0]: V(False)
2474
2475 prim_subreg #(
2476 .DW (6),
2477 .SWACCESS("RW"),
2478 .RESVAL (6'h0)
2479 ) u_mio_periph_insel_0 (
2480 .clk_i (clk_i ),
2481 .rst_ni (rst_ni ),
2482
2483 // from register interface (qualified with register enable)
2484 .we (mio_periph_insel_0_we & mio_periph_insel_regwen_0_qs),
2485 .wd (mio_periph_insel_0_wd),
2486
2487 // from internal hardware
2488 .de (1'b0),
2489 .d ('0 ),
2490
2491 // to internal hardware
2492 .qe (),
2493 .q (reg2hw.mio_periph_insel[0].q ),
2494
2495 // to register interface (read)
2496 .qs (mio_periph_insel_0_qs)
2497 );
2498
2499 // Subregister 1 of Multireg mio_periph_insel
2500 // R[mio_periph_insel_1]: V(False)
2501
2502 prim_subreg #(
2503 .DW (6),
2504 .SWACCESS("RW"),
2505 .RESVAL (6'h0)
2506 ) u_mio_periph_insel_1 (
2507 .clk_i (clk_i ),
2508 .rst_ni (rst_ni ),
2509
2510 // from register interface (qualified with register enable)
2511 .we (mio_periph_insel_1_we & mio_periph_insel_regwen_1_qs),
2512 .wd (mio_periph_insel_1_wd),
2513
2514 // from internal hardware
2515 .de (1'b0),
2516 .d ('0 ),
2517
2518 // to internal hardware
2519 .qe (),
2520 .q (reg2hw.mio_periph_insel[1].q ),
2521
2522 // to register interface (read)
2523 .qs (mio_periph_insel_1_qs)
2524 );
2525
2526 // Subregister 2 of Multireg mio_periph_insel
2527 // R[mio_periph_insel_2]: V(False)
2528
2529 prim_subreg #(
2530 .DW (6),
2531 .SWACCESS("RW"),
2532 .RESVAL (6'h0)
2533 ) u_mio_periph_insel_2 (
2534 .clk_i (clk_i ),
2535 .rst_ni (rst_ni ),
2536
2537 // from register interface (qualified with register enable)
2538 .we (mio_periph_insel_2_we & mio_periph_insel_regwen_2_qs),
2539 .wd (mio_periph_insel_2_wd),
2540
2541 // from internal hardware
2542 .de (1'b0),
2543 .d ('0 ),
2544
2545 // to internal hardware
2546 .qe (),
2547 .q (reg2hw.mio_periph_insel[2].q ),
2548
2549 // to register interface (read)
2550 .qs (mio_periph_insel_2_qs)
2551 );
2552
2553 // Subregister 3 of Multireg mio_periph_insel
2554 // R[mio_periph_insel_3]: V(False)
2555
2556 prim_subreg #(
2557 .DW (6),
2558 .SWACCESS("RW"),
2559 .RESVAL (6'h0)
2560 ) u_mio_periph_insel_3 (
2561 .clk_i (clk_i ),
2562 .rst_ni (rst_ni ),
2563
2564 // from register interface (qualified with register enable)
2565 .we (mio_periph_insel_3_we & mio_periph_insel_regwen_3_qs),
2566 .wd (mio_periph_insel_3_wd),
2567
2568 // from internal hardware
2569 .de (1'b0),
2570 .d ('0 ),
2571
2572 // to internal hardware
2573 .qe (),
2574 .q (reg2hw.mio_periph_insel[3].q ),
2575
2576 // to register interface (read)
2577 .qs (mio_periph_insel_3_qs)
2578 );
2579
2580 // Subregister 4 of Multireg mio_periph_insel
2581 // R[mio_periph_insel_4]: V(False)
2582
2583 prim_subreg #(
2584 .DW (6),
2585 .SWACCESS("RW"),
2586 .RESVAL (6'h0)
2587 ) u_mio_periph_insel_4 (
2588 .clk_i (clk_i ),
2589 .rst_ni (rst_ni ),
2590
2591 // from register interface (qualified with register enable)
2592 .we (mio_periph_insel_4_we & mio_periph_insel_regwen_4_qs),
2593 .wd (mio_periph_insel_4_wd),
2594
2595 // from internal hardware
2596 .de (1'b0),
2597 .d ('0 ),
2598
2599 // to internal hardware
2600 .qe (),
2601 .q (reg2hw.mio_periph_insel[4].q ),
2602
2603 // to register interface (read)
2604 .qs (mio_periph_insel_4_qs)
2605 );
2606
2607 // Subregister 5 of Multireg mio_periph_insel
2608 // R[mio_periph_insel_5]: V(False)
2609
2610 prim_subreg #(
2611 .DW (6),
2612 .SWACCESS("RW"),
2613 .RESVAL (6'h0)
2614 ) u_mio_periph_insel_5 (
2615 .clk_i (clk_i ),
2616 .rst_ni (rst_ni ),
2617
2618 // from register interface (qualified with register enable)
2619 .we (mio_periph_insel_5_we & mio_periph_insel_regwen_5_qs),
2620 .wd (mio_periph_insel_5_wd),
2621
2622 // from internal hardware
2623 .de (1'b0),
2624 .d ('0 ),
2625
2626 // to internal hardware
2627 .qe (),
2628 .q (reg2hw.mio_periph_insel[5].q ),
2629
2630 // to register interface (read)
2631 .qs (mio_periph_insel_5_qs)
2632 );
2633
2634 // Subregister 6 of Multireg mio_periph_insel
2635 // R[mio_periph_insel_6]: V(False)
2636
2637 prim_subreg #(
2638 .DW (6),
2639 .SWACCESS("RW"),
2640 .RESVAL (6'h0)
2641 ) u_mio_periph_insel_6 (
2642 .clk_i (clk_i ),
2643 .rst_ni (rst_ni ),
2644
2645 // from register interface (qualified with register enable)
2646 .we (mio_periph_insel_6_we & mio_periph_insel_regwen_6_qs),
2647 .wd (mio_periph_insel_6_wd),
2648
2649 // from internal hardware
2650 .de (1'b0),
2651 .d ('0 ),
2652
2653 // to internal hardware
2654 .qe (),
2655 .q (reg2hw.mio_periph_insel[6].q ),
2656
2657 // to register interface (read)
2658 .qs (mio_periph_insel_6_qs)
2659 );
2660
2661 // Subregister 7 of Multireg mio_periph_insel
2662 // R[mio_periph_insel_7]: V(False)
2663
2664 prim_subreg #(
2665 .DW (6),
2666 .SWACCESS("RW"),
2667 .RESVAL (6'h0)
2668 ) u_mio_periph_insel_7 (
2669 .clk_i (clk_i ),
2670 .rst_ni (rst_ni ),
2671
2672 // from register interface (qualified with register enable)
2673 .we (mio_periph_insel_7_we & mio_periph_insel_regwen_7_qs),
2674 .wd (mio_periph_insel_7_wd),
2675
2676 // from internal hardware
2677 .de (1'b0),
2678 .d ('0 ),
2679
2680 // to internal hardware
2681 .qe (),
2682 .q (reg2hw.mio_periph_insel[7].q ),
2683
2684 // to register interface (read)
2685 .qs (mio_periph_insel_7_qs)
2686 );
2687
2688 // Subregister 8 of Multireg mio_periph_insel
2689 // R[mio_periph_insel_8]: V(False)
2690
2691 prim_subreg #(
2692 .DW (6),
2693 .SWACCESS("RW"),
2694 .RESVAL (6'h0)
2695 ) u_mio_periph_insel_8 (
2696 .clk_i (clk_i ),
2697 .rst_ni (rst_ni ),
2698
2699 // from register interface (qualified with register enable)
2700 .we (mio_periph_insel_8_we & mio_periph_insel_regwen_8_qs),
2701 .wd (mio_periph_insel_8_wd),
2702
2703 // from internal hardware
2704 .de (1'b0),
2705 .d ('0 ),
2706
2707 // to internal hardware
2708 .qe (),
2709 .q (reg2hw.mio_periph_insel[8].q ),
2710
2711 // to register interface (read)
2712 .qs (mio_periph_insel_8_qs)
2713 );
2714
2715 // Subregister 9 of Multireg mio_periph_insel
2716 // R[mio_periph_insel_9]: V(False)
2717
2718 prim_subreg #(
2719 .DW (6),
2720 .SWACCESS("RW"),
2721 .RESVAL (6'h0)
2722 ) u_mio_periph_insel_9 (
2723 .clk_i (clk_i ),
2724 .rst_ni (rst_ni ),
2725
2726 // from register interface (qualified with register enable)
2727 .we (mio_periph_insel_9_we & mio_periph_insel_regwen_9_qs),
2728 .wd (mio_periph_insel_9_wd),
2729
2730 // from internal hardware
2731 .de (1'b0),
2732 .d ('0 ),
2733
2734 // to internal hardware
2735 .qe (),
2736 .q (reg2hw.mio_periph_insel[9].q ),
2737
2738 // to register interface (read)
2739 .qs (mio_periph_insel_9_qs)
2740 );
2741
2742 // Subregister 10 of Multireg mio_periph_insel
2743 // R[mio_periph_insel_10]: V(False)
2744
2745 prim_subreg #(
2746 .DW (6),
2747 .SWACCESS("RW"),
2748 .RESVAL (6'h0)
2749 ) u_mio_periph_insel_10 (
2750 .clk_i (clk_i ),
2751 .rst_ni (rst_ni ),
2752
2753 // from register interface (qualified with register enable)
2754 .we (mio_periph_insel_10_we & mio_periph_insel_regwen_10_qs),
2755 .wd (mio_periph_insel_10_wd),
2756
2757 // from internal hardware
2758 .de (1'b0),
2759 .d ('0 ),
2760
2761 // to internal hardware
2762 .qe (),
2763 .q (reg2hw.mio_periph_insel[10].q ),
2764
2765 // to register interface (read)
2766 .qs (mio_periph_insel_10_qs)
2767 );
2768
2769 // Subregister 11 of Multireg mio_periph_insel
2770 // R[mio_periph_insel_11]: V(False)
2771
2772 prim_subreg #(
2773 .DW (6),
2774 .SWACCESS("RW"),
2775 .RESVAL (6'h0)
2776 ) u_mio_periph_insel_11 (
2777 .clk_i (clk_i ),
2778 .rst_ni (rst_ni ),
2779
2780 // from register interface (qualified with register enable)
2781 .we (mio_periph_insel_11_we & mio_periph_insel_regwen_11_qs),
2782 .wd (mio_periph_insel_11_wd),
2783
2784 // from internal hardware
2785 .de (1'b0),
2786 .d ('0 ),
2787
2788 // to internal hardware
2789 .qe (),
2790 .q (reg2hw.mio_periph_insel[11].q ),
2791
2792 // to register interface (read)
2793 .qs (mio_periph_insel_11_qs)
2794 );
2795
2796 // Subregister 12 of Multireg mio_periph_insel
2797 // R[mio_periph_insel_12]: V(False)
2798
2799 prim_subreg #(
2800 .DW (6),
2801 .SWACCESS("RW"),
2802 .RESVAL (6'h0)
2803 ) u_mio_periph_insel_12 (
2804 .clk_i (clk_i ),
2805 .rst_ni (rst_ni ),
2806
2807 // from register interface (qualified with register enable)
2808 .we (mio_periph_insel_12_we & mio_periph_insel_regwen_12_qs),
2809 .wd (mio_periph_insel_12_wd),
2810
2811 // from internal hardware
2812 .de (1'b0),
2813 .d ('0 ),
2814
2815 // to internal hardware
2816 .qe (),
2817 .q (reg2hw.mio_periph_insel[12].q ),
2818
2819 // to register interface (read)
2820 .qs (mio_periph_insel_12_qs)
2821 );
2822
2823 // Subregister 13 of Multireg mio_periph_insel
2824 // R[mio_periph_insel_13]: V(False)
2825
2826 prim_subreg #(
2827 .DW (6),
2828 .SWACCESS("RW"),
2829 .RESVAL (6'h0)
2830 ) u_mio_periph_insel_13 (
2831 .clk_i (clk_i ),
2832 .rst_ni (rst_ni ),
2833
2834 // from register interface (qualified with register enable)
2835 .we (mio_periph_insel_13_we & mio_periph_insel_regwen_13_qs),
2836 .wd (mio_periph_insel_13_wd),
2837
2838 // from internal hardware
2839 .de (1'b0),
2840 .d ('0 ),
2841
2842 // to internal hardware
2843 .qe (),
2844 .q (reg2hw.mio_periph_insel[13].q ),
2845
2846 // to register interface (read)
2847 .qs (mio_periph_insel_13_qs)
2848 );
2849
2850 // Subregister 14 of Multireg mio_periph_insel
2851 // R[mio_periph_insel_14]: V(False)
2852
2853 prim_subreg #(
2854 .DW (6),
2855 .SWACCESS("RW"),
2856 .RESVAL (6'h0)
2857 ) u_mio_periph_insel_14 (
2858 .clk_i (clk_i ),
2859 .rst_ni (rst_ni ),
2860
2861 // from register interface (qualified with register enable)
2862 .we (mio_periph_insel_14_we & mio_periph_insel_regwen_14_qs),
2863 .wd (mio_periph_insel_14_wd),
2864
2865 // from internal hardware
2866 .de (1'b0),
2867 .d ('0 ),
2868
2869 // to internal hardware
2870 .qe (),
2871 .q (reg2hw.mio_periph_insel[14].q ),
2872
2873 // to register interface (read)
2874 .qs (mio_periph_insel_14_qs)
2875 );
2876
2877 // Subregister 15 of Multireg mio_periph_insel
2878 // R[mio_periph_insel_15]: V(False)
2879
2880 prim_subreg #(
2881 .DW (6),
2882 .SWACCESS("RW"),
2883 .RESVAL (6'h0)
2884 ) u_mio_periph_insel_15 (
2885 .clk_i (clk_i ),
2886 .rst_ni (rst_ni ),
2887
2888 // from register interface (qualified with register enable)
2889 .we (mio_periph_insel_15_we & mio_periph_insel_regwen_15_qs),
2890 .wd (mio_periph_insel_15_wd),
2891
2892 // from internal hardware
2893 .de (1'b0),
2894 .d ('0 ),
2895
2896 // to internal hardware
2897 .qe (),
2898 .q (reg2hw.mio_periph_insel[15].q ),
2899
2900 // to register interface (read)
2901 .qs (mio_periph_insel_15_qs)
2902 );
2903
2904 // Subregister 16 of Multireg mio_periph_insel
2905 // R[mio_periph_insel_16]: V(False)
2906
2907 prim_subreg #(
2908 .DW (6),
2909 .SWACCESS("RW"),
2910 .RESVAL (6'h0)
2911 ) u_mio_periph_insel_16 (
2912 .clk_i (clk_i ),
2913 .rst_ni (rst_ni ),
2914
2915 // from register interface (qualified with register enable)
2916 .we (mio_periph_insel_16_we & mio_periph_insel_regwen_16_qs),
2917 .wd (mio_periph_insel_16_wd),
2918
2919 // from internal hardware
2920 .de (1'b0),
2921 .d ('0 ),
2922
2923 // to internal hardware
2924 .qe (),
2925 .q (reg2hw.mio_periph_insel[16].q ),
2926
2927 // to register interface (read)
2928 .qs (mio_periph_insel_16_qs)
2929 );
2930
2931 // Subregister 17 of Multireg mio_periph_insel
2932 // R[mio_periph_insel_17]: V(False)
2933
2934 prim_subreg #(
2935 .DW (6),
2936 .SWACCESS("RW"),
2937 .RESVAL (6'h0)
2938 ) u_mio_periph_insel_17 (
2939 .clk_i (clk_i ),
2940 .rst_ni (rst_ni ),
2941
2942 // from register interface (qualified with register enable)
2943 .we (mio_periph_insel_17_we & mio_periph_insel_regwen_17_qs),
2944 .wd (mio_periph_insel_17_wd),
2945
2946 // from internal hardware
2947 .de (1'b0),
2948 .d ('0 ),
2949
2950 // to internal hardware
2951 .qe (),
2952 .q (reg2hw.mio_periph_insel[17].q ),
2953
2954 // to register interface (read)
2955 .qs (mio_periph_insel_17_qs)
2956 );
2957
2958 // Subregister 18 of Multireg mio_periph_insel
2959 // R[mio_periph_insel_18]: V(False)
2960
2961 prim_subreg #(
2962 .DW (6),
2963 .SWACCESS("RW"),
2964 .RESVAL (6'h0)
2965 ) u_mio_periph_insel_18 (
2966 .clk_i (clk_i ),
2967 .rst_ni (rst_ni ),
2968
2969 // from register interface (qualified with register enable)
2970 .we (mio_periph_insel_18_we & mio_periph_insel_regwen_18_qs),
2971 .wd (mio_periph_insel_18_wd),
2972
2973 // from internal hardware
2974 .de (1'b0),
2975 .d ('0 ),
2976
2977 // to internal hardware
2978 .qe (),
2979 .q (reg2hw.mio_periph_insel[18].q ),
2980
2981 // to register interface (read)
2982 .qs (mio_periph_insel_18_qs)
2983 );
2984
2985 // Subregister 19 of Multireg mio_periph_insel
2986 // R[mio_periph_insel_19]: V(False)
2987
2988 prim_subreg #(
2989 .DW (6),
2990 .SWACCESS("RW"),
2991 .RESVAL (6'h0)
2992 ) u_mio_periph_insel_19 (
2993 .clk_i (clk_i ),
2994 .rst_ni (rst_ni ),
2995
2996 // from register interface (qualified with register enable)
2997 .we (mio_periph_insel_19_we & mio_periph_insel_regwen_19_qs),
2998 .wd (mio_periph_insel_19_wd),
2999
3000 // from internal hardware
3001 .de (1'b0),
3002 .d ('0 ),
3003
3004 // to internal hardware
3005 .qe (),
3006 .q (reg2hw.mio_periph_insel[19].q ),
3007
3008 // to register interface (read)
3009 .qs (mio_periph_insel_19_qs)
3010 );
3011
3012 // Subregister 20 of Multireg mio_periph_insel
3013 // R[mio_periph_insel_20]: V(False)
3014
3015 prim_subreg #(
3016 .DW (6),
3017 .SWACCESS("RW"),
3018 .RESVAL (6'h0)
3019 ) u_mio_periph_insel_20 (
3020 .clk_i (clk_i ),
3021 .rst_ni (rst_ni ),
3022
3023 // from register interface (qualified with register enable)
3024 .we (mio_periph_insel_20_we & mio_periph_insel_regwen_20_qs),
3025 .wd (mio_periph_insel_20_wd),
3026
3027 // from internal hardware
3028 .de (1'b0),
3029 .d ('0 ),
3030
3031 // to internal hardware
3032 .qe (),
3033 .q (reg2hw.mio_periph_insel[20].q ),
3034
3035 // to register interface (read)
3036 .qs (mio_periph_insel_20_qs)
3037 );
3038
3039 // Subregister 21 of Multireg mio_periph_insel
3040 // R[mio_periph_insel_21]: V(False)
3041
3042 prim_subreg #(
3043 .DW (6),
3044 .SWACCESS("RW"),
3045 .RESVAL (6'h0)
3046 ) u_mio_periph_insel_21 (
3047 .clk_i (clk_i ),
3048 .rst_ni (rst_ni ),
3049
3050 // from register interface (qualified with register enable)
3051 .we (mio_periph_insel_21_we & mio_periph_insel_regwen_21_qs),
3052 .wd (mio_periph_insel_21_wd),
3053
3054 // from internal hardware
3055 .de (1'b0),
3056 .d ('0 ),
3057
3058 // to internal hardware
3059 .qe (),
3060 .q (reg2hw.mio_periph_insel[21].q ),
3061
3062 // to register interface (read)
3063 .qs (mio_periph_insel_21_qs)
3064 );
3065
3066 // Subregister 22 of Multireg mio_periph_insel
3067 // R[mio_periph_insel_22]: V(False)
3068
3069 prim_subreg #(
3070 .DW (6),
3071 .SWACCESS("RW"),
3072 .RESVAL (6'h0)
3073 ) u_mio_periph_insel_22 (
3074 .clk_i (clk_i ),
3075 .rst_ni (rst_ni ),
3076
3077 // from register interface (qualified with register enable)
3078 .we (mio_periph_insel_22_we & mio_periph_insel_regwen_22_qs),
3079 .wd (mio_periph_insel_22_wd),
3080
3081 // from internal hardware
3082 .de (1'b0),
3083 .d ('0 ),
3084
3085 // to internal hardware
3086 .qe (),
3087 .q (reg2hw.mio_periph_insel[22].q ),
3088
3089 // to register interface (read)
3090 .qs (mio_periph_insel_22_qs)
3091 );
3092
3093 // Subregister 23 of Multireg mio_periph_insel
3094 // R[mio_periph_insel_23]: V(False)
3095
3096 prim_subreg #(
3097 .DW (6),
3098 .SWACCESS("RW"),
3099 .RESVAL (6'h0)
3100 ) u_mio_periph_insel_23 (
3101 .clk_i (clk_i ),
3102 .rst_ni (rst_ni ),
3103
3104 // from register interface (qualified with register enable)
3105 .we (mio_periph_insel_23_we & mio_periph_insel_regwen_23_qs),
3106 .wd (mio_periph_insel_23_wd),
3107
3108 // from internal hardware
3109 .de (1'b0),
3110 .d ('0 ),
3111
3112 // to internal hardware
3113 .qe (),
3114 .q (reg2hw.mio_periph_insel[23].q ),
3115
3116 // to register interface (read)
3117 .qs (mio_periph_insel_23_qs)
3118 );
3119
3120 // Subregister 24 of Multireg mio_periph_insel
3121 // R[mio_periph_insel_24]: V(False)
3122
3123 prim_subreg #(
3124 .DW (6),
3125 .SWACCESS("RW"),
3126 .RESVAL (6'h0)
3127 ) u_mio_periph_insel_24 (
3128 .clk_i (clk_i ),
3129 .rst_ni (rst_ni ),
3130
3131 // from register interface (qualified with register enable)
3132 .we (mio_periph_insel_24_we & mio_periph_insel_regwen_24_qs),
3133 .wd (mio_periph_insel_24_wd),
3134
3135 // from internal hardware
3136 .de (1'b0),
3137 .d ('0 ),
3138
3139 // to internal hardware
3140 .qe (),
3141 .q (reg2hw.mio_periph_insel[24].q ),
3142
3143 // to register interface (read)
3144 .qs (mio_periph_insel_24_qs)
3145 );
3146
3147 // Subregister 25 of Multireg mio_periph_insel
3148 // R[mio_periph_insel_25]: V(False)
3149
3150 prim_subreg #(
3151 .DW (6),
3152 .SWACCESS("RW"),
3153 .RESVAL (6'h0)
3154 ) u_mio_periph_insel_25 (
3155 .clk_i (clk_i ),
3156 .rst_ni (rst_ni ),
3157
3158 // from register interface (qualified with register enable)
3159 .we (mio_periph_insel_25_we & mio_periph_insel_regwen_25_qs),
3160 .wd (mio_periph_insel_25_wd),
3161
3162 // from internal hardware
3163 .de (1'b0),
3164 .d ('0 ),
3165
3166 // to internal hardware
3167 .qe (),
3168 .q (reg2hw.mio_periph_insel[25].q ),
3169
3170 // to register interface (read)
3171 .qs (mio_periph_insel_25_qs)
3172 );
3173
3174 // Subregister 26 of Multireg mio_periph_insel
3175 // R[mio_periph_insel_26]: V(False)
3176
3177 prim_subreg #(
3178 .DW (6),
3179 .SWACCESS("RW"),
3180 .RESVAL (6'h0)
3181 ) u_mio_periph_insel_26 (
3182 .clk_i (clk_i ),
3183 .rst_ni (rst_ni ),
3184
3185 // from register interface (qualified with register enable)
3186 .we (mio_periph_insel_26_we & mio_periph_insel_regwen_26_qs),
3187 .wd (mio_periph_insel_26_wd),
3188
3189 // from internal hardware
3190 .de (1'b0),
3191 .d ('0 ),
3192
3193 // to internal hardware
3194 .qe (),
3195 .q (reg2hw.mio_periph_insel[26].q ),
3196
3197 // to register interface (read)
3198 .qs (mio_periph_insel_26_qs)
3199 );
3200
3201 // Subregister 27 of Multireg mio_periph_insel
3202 // R[mio_periph_insel_27]: V(False)
3203
3204 prim_subreg #(
3205 .DW (6),
3206 .SWACCESS("RW"),
3207 .RESVAL (6'h0)
3208 ) u_mio_periph_insel_27 (
3209 .clk_i (clk_i ),
3210 .rst_ni (rst_ni ),
3211
3212 // from register interface (qualified with register enable)
3213 .we (mio_periph_insel_27_we & mio_periph_insel_regwen_27_qs),
3214 .wd (mio_periph_insel_27_wd),
3215
3216 // from internal hardware
3217 .de (1'b0),
3218 .d ('0 ),
3219
3220 // to internal hardware
3221 .qe (),
3222 .q (reg2hw.mio_periph_insel[27].q ),
3223
3224 // to register interface (read)
3225 .qs (mio_periph_insel_27_qs)
3226 );
3227
3228 // Subregister 28 of Multireg mio_periph_insel
3229 // R[mio_periph_insel_28]: V(False)
3230
3231 prim_subreg #(
3232 .DW (6),
3233 .SWACCESS("RW"),
3234 .RESVAL (6'h0)
3235 ) u_mio_periph_insel_28 (
3236 .clk_i (clk_i ),
3237 .rst_ni (rst_ni ),
3238
3239 // from register interface (qualified with register enable)
3240 .we (mio_periph_insel_28_we & mio_periph_insel_regwen_28_qs),
3241 .wd (mio_periph_insel_28_wd),
3242
3243 // from internal hardware
3244 .de (1'b0),
3245 .d ('0 ),
3246
3247 // to internal hardware
3248 .qe (),
3249 .q (reg2hw.mio_periph_insel[28].q ),
3250
3251 // to register interface (read)
3252 .qs (mio_periph_insel_28_qs)
3253 );
3254
3255 // Subregister 29 of Multireg mio_periph_insel
3256 // R[mio_periph_insel_29]: V(False)
3257
3258 prim_subreg #(
3259 .DW (6),
3260 .SWACCESS("RW"),
3261 .RESVAL (6'h0)
3262 ) u_mio_periph_insel_29 (
3263 .clk_i (clk_i ),
3264 .rst_ni (rst_ni ),
3265
3266 // from register interface (qualified with register enable)
3267 .we (mio_periph_insel_29_we & mio_periph_insel_regwen_29_qs),
3268 .wd (mio_periph_insel_29_wd),
3269
3270 // from internal hardware
3271 .de (1'b0),
3272 .d ('0 ),
3273
3274 // to internal hardware
3275 .qe (),
3276 .q (reg2hw.mio_periph_insel[29].q ),
3277
3278 // to register interface (read)
3279 .qs (mio_periph_insel_29_qs)
3280 );
3281
3282 // Subregister 30 of Multireg mio_periph_insel
3283 // R[mio_periph_insel_30]: V(False)
3284
3285 prim_subreg #(
3286 .DW (6),
3287 .SWACCESS("RW"),
3288 .RESVAL (6'h0)
3289 ) u_mio_periph_insel_30 (
3290 .clk_i (clk_i ),
3291 .rst_ni (rst_ni ),
3292
3293 // from register interface (qualified with register enable)
3294 .we (mio_periph_insel_30_we & mio_periph_insel_regwen_30_qs),
3295 .wd (mio_periph_insel_30_wd),
3296
3297 // from internal hardware
3298 .de (1'b0),
3299 .d ('0 ),
3300
3301 // to internal hardware
3302 .qe (),
3303 .q (reg2hw.mio_periph_insel[30].q ),
3304
3305 // to register interface (read)
3306 .qs (mio_periph_insel_30_qs)
3307 );
3308
3309 // Subregister 31 of Multireg mio_periph_insel
3310 // R[mio_periph_insel_31]: V(False)
3311
3312 prim_subreg #(
3313 .DW (6),
3314 .SWACCESS("RW"),
3315 .RESVAL (6'h0)
3316 ) u_mio_periph_insel_31 (
3317 .clk_i (clk_i ),
3318 .rst_ni (rst_ni ),
3319
3320 // from register interface (qualified with register enable)
3321 .we (mio_periph_insel_31_we & mio_periph_insel_regwen_31_qs),
3322 .wd (mio_periph_insel_31_wd),
3323
3324 // from internal hardware
3325 .de (1'b0),
3326 .d ('0 ),
3327
3328 // to internal hardware
3329 .qe (),
3330 .q (reg2hw.mio_periph_insel[31].q ),
3331
3332 // to register interface (read)
3333 .qs (mio_periph_insel_31_qs)
3334 );
3335
3336 // Subregister 32 of Multireg mio_periph_insel
3337 // R[mio_periph_insel_32]: V(False)
3338
3339 prim_subreg #(
3340 .DW (6),
3341 .SWACCESS("RW"),
3342 .RESVAL (6'h0)
3343 ) u_mio_periph_insel_32 (
3344 .clk_i (clk_i ),
3345 .rst_ni (rst_ni ),
3346
3347 // from register interface (qualified with register enable)
3348 .we (mio_periph_insel_32_we & mio_periph_insel_regwen_32_qs),
3349 .wd (mio_periph_insel_32_wd),
3350
3351 // from internal hardware
3352 .de (1'b0),
3353 .d ('0 ),
3354
3355 // to internal hardware
3356 .qe (),
3357 .q (reg2hw.mio_periph_insel[32].q ),
3358
3359 // to register interface (read)
3360 .qs (mio_periph_insel_32_qs)
3361 );
3362
3363
3364
3365 // Subregister 0 of Multireg mio_outsel_regwen
3366 // R[mio_outsel_regwen_0]: V(False)
3367
3368 prim_subreg #(
3369 .DW (1),
3370 .SWACCESS("W0C"),
3371 .RESVAL (1'h1)
3372 ) u_mio_outsel_regwen_0 (
3373 .clk_i (clk_i ),
3374 .rst_ni (rst_ni ),
3375
3376 // from register interface
3377 .we (mio_outsel_regwen_0_we),
3378 .wd (mio_outsel_regwen_0_wd),
3379
3380 // from internal hardware
3381 .de (1'b0),
3382 .d ('0 ),
3383
3384 // to internal hardware
3385 .qe (),
3386 .q (),
3387
3388 // to register interface (read)
3389 .qs (mio_outsel_regwen_0_qs)
3390 );
3391
3392 // Subregister 1 of Multireg mio_outsel_regwen
3393 // R[mio_outsel_regwen_1]: V(False)
3394
3395 prim_subreg #(
3396 .DW (1),
3397 .SWACCESS("W0C"),
3398 .RESVAL (1'h1)
3399 ) u_mio_outsel_regwen_1 (
3400 .clk_i (clk_i ),
3401 .rst_ni (rst_ni ),
3402
3403 // from register interface
3404 .we (mio_outsel_regwen_1_we),
3405 .wd (mio_outsel_regwen_1_wd),
3406
3407 // from internal hardware
3408 .de (1'b0),
3409 .d ('0 ),
3410
3411 // to internal hardware
3412 .qe (),
3413 .q (),
3414
3415 // to register interface (read)
3416 .qs (mio_outsel_regwen_1_qs)
3417 );
3418
3419 // Subregister 2 of Multireg mio_outsel_regwen
3420 // R[mio_outsel_regwen_2]: V(False)
3421
3422 prim_subreg #(
3423 .DW (1),
3424 .SWACCESS("W0C"),
3425 .RESVAL (1'h1)
3426 ) u_mio_outsel_regwen_2 (
3427 .clk_i (clk_i ),
3428 .rst_ni (rst_ni ),
3429
3430 // from register interface
3431 .we (mio_outsel_regwen_2_we),
3432 .wd (mio_outsel_regwen_2_wd),
3433
3434 // from internal hardware
3435 .de (1'b0),
3436 .d ('0 ),
3437
3438 // to internal hardware
3439 .qe (),
3440 .q (),
3441
3442 // to register interface (read)
3443 .qs (mio_outsel_regwen_2_qs)
3444 );
3445
3446 // Subregister 3 of Multireg mio_outsel_regwen
3447 // R[mio_outsel_regwen_3]: V(False)
3448
3449 prim_subreg #(
3450 .DW (1),
3451 .SWACCESS("W0C"),
3452 .RESVAL (1'h1)
3453 ) u_mio_outsel_regwen_3 (
3454 .clk_i (clk_i ),
3455 .rst_ni (rst_ni ),
3456
3457 // from register interface
3458 .we (mio_outsel_regwen_3_we),
3459 .wd (mio_outsel_regwen_3_wd),
3460
3461 // from internal hardware
3462 .de (1'b0),
3463 .d ('0 ),
3464
3465 // to internal hardware
3466 .qe (),
3467 .q (),
3468
3469 // to register interface (read)
3470 .qs (mio_outsel_regwen_3_qs)
3471 );
3472
3473 // Subregister 4 of Multireg mio_outsel_regwen
3474 // R[mio_outsel_regwen_4]: V(False)
3475
3476 prim_subreg #(
3477 .DW (1),
3478 .SWACCESS("W0C"),
3479 .RESVAL (1'h1)
3480 ) u_mio_outsel_regwen_4 (
3481 .clk_i (clk_i ),
3482 .rst_ni (rst_ni ),
3483
3484 // from register interface
3485 .we (mio_outsel_regwen_4_we),
3486 .wd (mio_outsel_regwen_4_wd),
3487
3488 // from internal hardware
3489 .de (1'b0),
3490 .d ('0 ),
3491
3492 // to internal hardware
3493 .qe (),
3494 .q (),
3495
3496 // to register interface (read)
3497 .qs (mio_outsel_regwen_4_qs)
3498 );
3499
3500 // Subregister 5 of Multireg mio_outsel_regwen
3501 // R[mio_outsel_regwen_5]: V(False)
3502
3503 prim_subreg #(
3504 .DW (1),
3505 .SWACCESS("W0C"),
3506 .RESVAL (1'h1)
3507 ) u_mio_outsel_regwen_5 (
3508 .clk_i (clk_i ),
3509 .rst_ni (rst_ni ),
3510
3511 // from register interface
3512 .we (mio_outsel_regwen_5_we),
3513 .wd (mio_outsel_regwen_5_wd),
3514
3515 // from internal hardware
3516 .de (1'b0),
3517 .d ('0 ),
3518
3519 // to internal hardware
3520 .qe (),
3521 .q (),
3522
3523 // to register interface (read)
3524 .qs (mio_outsel_regwen_5_qs)
3525 );
3526
3527 // Subregister 6 of Multireg mio_outsel_regwen
3528 // R[mio_outsel_regwen_6]: V(False)
3529
3530 prim_subreg #(
3531 .DW (1),
3532 .SWACCESS("W0C"),
3533 .RESVAL (1'h1)
3534 ) u_mio_outsel_regwen_6 (
3535 .clk_i (clk_i ),
3536 .rst_ni (rst_ni ),
3537
3538 // from register interface
3539 .we (mio_outsel_regwen_6_we),
3540 .wd (mio_outsel_regwen_6_wd),
3541
3542 // from internal hardware
3543 .de (1'b0),
3544 .d ('0 ),
3545
3546 // to internal hardware
3547 .qe (),
3548 .q (),
3549
3550 // to register interface (read)
3551 .qs (mio_outsel_regwen_6_qs)
3552 );
3553
3554 // Subregister 7 of Multireg mio_outsel_regwen
3555 // R[mio_outsel_regwen_7]: V(False)
3556
3557 prim_subreg #(
3558 .DW (1),
3559 .SWACCESS("W0C"),
3560 .RESVAL (1'h1)
3561 ) u_mio_outsel_regwen_7 (
3562 .clk_i (clk_i ),
3563 .rst_ni (rst_ni ),
3564
3565 // from register interface
3566 .we (mio_outsel_regwen_7_we),
3567 .wd (mio_outsel_regwen_7_wd),
3568
3569 // from internal hardware
3570 .de (1'b0),
3571 .d ('0 ),
3572
3573 // to internal hardware
3574 .qe (),
3575 .q (),
3576
3577 // to register interface (read)
3578 .qs (mio_outsel_regwen_7_qs)
3579 );
3580
3581 // Subregister 8 of Multireg mio_outsel_regwen
3582 // R[mio_outsel_regwen_8]: V(False)
3583
3584 prim_subreg #(
3585 .DW (1),
3586 .SWACCESS("W0C"),
3587 .RESVAL (1'h1)
3588 ) u_mio_outsel_regwen_8 (
3589 .clk_i (clk_i ),
3590 .rst_ni (rst_ni ),
3591
3592 // from register interface
3593 .we (mio_outsel_regwen_8_we),
3594 .wd (mio_outsel_regwen_8_wd),
3595
3596 // from internal hardware
3597 .de (1'b0),
3598 .d ('0 ),
3599
3600 // to internal hardware
3601 .qe (),
3602 .q (),
3603
3604 // to register interface (read)
3605 .qs (mio_outsel_regwen_8_qs)
3606 );
3607
3608 // Subregister 9 of Multireg mio_outsel_regwen
3609 // R[mio_outsel_regwen_9]: V(False)
3610
3611 prim_subreg #(
3612 .DW (1),
3613 .SWACCESS("W0C"),
3614 .RESVAL (1'h1)
3615 ) u_mio_outsel_regwen_9 (
3616 .clk_i (clk_i ),
3617 .rst_ni (rst_ni ),
3618
3619 // from register interface
3620 .we (mio_outsel_regwen_9_we),
3621 .wd (mio_outsel_regwen_9_wd),
3622
3623 // from internal hardware
3624 .de (1'b0),
3625 .d ('0 ),
3626
3627 // to internal hardware
3628 .qe (),
3629 .q (),
3630
3631 // to register interface (read)
3632 .qs (mio_outsel_regwen_9_qs)
3633 );
3634
3635 // Subregister 10 of Multireg mio_outsel_regwen
3636 // R[mio_outsel_regwen_10]: V(False)
3637
3638 prim_subreg #(
3639 .DW (1),
3640 .SWACCESS("W0C"),
3641 .RESVAL (1'h1)
3642 ) u_mio_outsel_regwen_10 (
3643 .clk_i (clk_i ),
3644 .rst_ni (rst_ni ),
3645
3646 // from register interface
3647 .we (mio_outsel_regwen_10_we),
3648 .wd (mio_outsel_regwen_10_wd),
3649
3650 // from internal hardware
3651 .de (1'b0),
3652 .d ('0 ),
3653
3654 // to internal hardware
3655 .qe (),
3656 .q (),
3657
3658 // to register interface (read)
3659 .qs (mio_outsel_regwen_10_qs)
3660 );
3661
3662 // Subregister 11 of Multireg mio_outsel_regwen
3663 // R[mio_outsel_regwen_11]: V(False)
3664
3665 prim_subreg #(
3666 .DW (1),
3667 .SWACCESS("W0C"),
3668 .RESVAL (1'h1)
3669 ) u_mio_outsel_regwen_11 (
3670 .clk_i (clk_i ),
3671 .rst_ni (rst_ni ),
3672
3673 // from register interface
3674 .we (mio_outsel_regwen_11_we),
3675 .wd (mio_outsel_regwen_11_wd),
3676
3677 // from internal hardware
3678 .de (1'b0),
3679 .d ('0 ),
3680
3681 // to internal hardware
3682 .qe (),
3683 .q (),
3684
3685 // to register interface (read)
3686 .qs (mio_outsel_regwen_11_qs)
3687 );
3688
3689 // Subregister 12 of Multireg mio_outsel_regwen
3690 // R[mio_outsel_regwen_12]: V(False)
3691
3692 prim_subreg #(
3693 .DW (1),
3694 .SWACCESS("W0C"),
3695 .RESVAL (1'h1)
3696 ) u_mio_outsel_regwen_12 (
3697 .clk_i (clk_i ),
3698 .rst_ni (rst_ni ),
3699
3700 // from register interface
3701 .we (mio_outsel_regwen_12_we),
3702 .wd (mio_outsel_regwen_12_wd),
3703
3704 // from internal hardware
3705 .de (1'b0),
3706 .d ('0 ),
3707
3708 // to internal hardware
3709 .qe (),
3710 .q (),
3711
3712 // to register interface (read)
3713 .qs (mio_outsel_regwen_12_qs)
3714 );
3715
3716 // Subregister 13 of Multireg mio_outsel_regwen
3717 // R[mio_outsel_regwen_13]: V(False)
3718
3719 prim_subreg #(
3720 .DW (1),
3721 .SWACCESS("W0C"),
3722 .RESVAL (1'h1)
3723 ) u_mio_outsel_regwen_13 (
3724 .clk_i (clk_i ),
3725 .rst_ni (rst_ni ),
3726
3727 // from register interface
3728 .we (mio_outsel_regwen_13_we),
3729 .wd (mio_outsel_regwen_13_wd),
3730
3731 // from internal hardware
3732 .de (1'b0),
3733 .d ('0 ),
3734
3735 // to internal hardware
3736 .qe (),
3737 .q (),
3738
3739 // to register interface (read)
3740 .qs (mio_outsel_regwen_13_qs)
3741 );
3742
3743 // Subregister 14 of Multireg mio_outsel_regwen
3744 // R[mio_outsel_regwen_14]: V(False)
3745
3746 prim_subreg #(
3747 .DW (1),
3748 .SWACCESS("W0C"),
3749 .RESVAL (1'h1)
3750 ) u_mio_outsel_regwen_14 (
3751 .clk_i (clk_i ),
3752 .rst_ni (rst_ni ),
3753
3754 // from register interface
3755 .we (mio_outsel_regwen_14_we),
3756 .wd (mio_outsel_regwen_14_wd),
3757
3758 // from internal hardware
3759 .de (1'b0),
3760 .d ('0 ),
3761
3762 // to internal hardware
3763 .qe (),
3764 .q (),
3765
3766 // to register interface (read)
3767 .qs (mio_outsel_regwen_14_qs)
3768 );
3769
3770 // Subregister 15 of Multireg mio_outsel_regwen
3771 // R[mio_outsel_regwen_15]: V(False)
3772
3773 prim_subreg #(
3774 .DW (1),
3775 .SWACCESS("W0C"),
3776 .RESVAL (1'h1)
3777 ) u_mio_outsel_regwen_15 (
3778 .clk_i (clk_i ),
3779 .rst_ni (rst_ni ),
3780
3781 // from register interface
3782 .we (mio_outsel_regwen_15_we),
3783 .wd (mio_outsel_regwen_15_wd),
3784
3785 // from internal hardware
3786 .de (1'b0),
3787 .d ('0 ),
3788
3789 // to internal hardware
3790 .qe (),
3791 .q (),
3792
3793 // to register interface (read)
3794 .qs (mio_outsel_regwen_15_qs)
3795 );
3796
3797 // Subregister 16 of Multireg mio_outsel_regwen
3798 // R[mio_outsel_regwen_16]: V(False)
3799
3800 prim_subreg #(
3801 .DW (1),
3802 .SWACCESS("W0C"),
3803 .RESVAL (1'h1)
3804 ) u_mio_outsel_regwen_16 (
3805 .clk_i (clk_i ),
3806 .rst_ni (rst_ni ),
3807
3808 // from register interface
3809 .we (mio_outsel_regwen_16_we),
3810 .wd (mio_outsel_regwen_16_wd),
3811
3812 // from internal hardware
3813 .de (1'b0),
3814 .d ('0 ),
3815
3816 // to internal hardware
3817 .qe (),
3818 .q (),
3819
3820 // to register interface (read)
3821 .qs (mio_outsel_regwen_16_qs)
3822 );
3823
3824 // Subregister 17 of Multireg mio_outsel_regwen
3825 // R[mio_outsel_regwen_17]: V(False)
3826
3827 prim_subreg #(
3828 .DW (1),
3829 .SWACCESS("W0C"),
3830 .RESVAL (1'h1)
3831 ) u_mio_outsel_regwen_17 (
3832 .clk_i (clk_i ),
3833 .rst_ni (rst_ni ),
3834
3835 // from register interface
3836 .we (mio_outsel_regwen_17_we),
3837 .wd (mio_outsel_regwen_17_wd),
3838
3839 // from internal hardware
3840 .de (1'b0),
3841 .d ('0 ),
3842
3843 // to internal hardware
3844 .qe (),
3845 .q (),
3846
3847 // to register interface (read)
3848 .qs (mio_outsel_regwen_17_qs)
3849 );
3850
3851 // Subregister 18 of Multireg mio_outsel_regwen
3852 // R[mio_outsel_regwen_18]: V(False)
3853
3854 prim_subreg #(
3855 .DW (1),
3856 .SWACCESS("W0C"),
3857 .RESVAL (1'h1)
3858 ) u_mio_outsel_regwen_18 (
3859 .clk_i (clk_i ),
3860 .rst_ni (rst_ni ),
3861
3862 // from register interface
3863 .we (mio_outsel_regwen_18_we),
3864 .wd (mio_outsel_regwen_18_wd),
3865
3866 // from internal hardware
3867 .de (1'b0),
3868 .d ('0 ),
3869
3870 // to internal hardware
3871 .qe (),
3872 .q (),
3873
3874 // to register interface (read)
3875 .qs (mio_outsel_regwen_18_qs)
3876 );
3877
3878 // Subregister 19 of Multireg mio_outsel_regwen
3879 // R[mio_outsel_regwen_19]: V(False)
3880
3881 prim_subreg #(
3882 .DW (1),
3883 .SWACCESS("W0C"),
3884 .RESVAL (1'h1)
3885 ) u_mio_outsel_regwen_19 (
3886 .clk_i (clk_i ),
3887 .rst_ni (rst_ni ),
3888
3889 // from register interface
3890 .we (mio_outsel_regwen_19_we),
3891 .wd (mio_outsel_regwen_19_wd),
3892
3893 // from internal hardware
3894 .de (1'b0),
3895 .d ('0 ),
3896
3897 // to internal hardware
3898 .qe (),
3899 .q (),
3900
3901 // to register interface (read)
3902 .qs (mio_outsel_regwen_19_qs)
3903 );
3904
3905 // Subregister 20 of Multireg mio_outsel_regwen
3906 // R[mio_outsel_regwen_20]: V(False)
3907
3908 prim_subreg #(
3909 .DW (1),
3910 .SWACCESS("W0C"),
3911 .RESVAL (1'h1)
3912 ) u_mio_outsel_regwen_20 (
3913 .clk_i (clk_i ),
3914 .rst_ni (rst_ni ),
3915
3916 // from register interface
3917 .we (mio_outsel_regwen_20_we),
3918 .wd (mio_outsel_regwen_20_wd),
3919
3920 // from internal hardware
3921 .de (1'b0),
3922 .d ('0 ),
3923
3924 // to internal hardware
3925 .qe (),
3926 .q (),
3927
3928 // to register interface (read)
3929 .qs (mio_outsel_regwen_20_qs)
3930 );
3931
3932 // Subregister 21 of Multireg mio_outsel_regwen
3933 // R[mio_outsel_regwen_21]: V(False)
3934
3935 prim_subreg #(
3936 .DW (1),
3937 .SWACCESS("W0C"),
3938 .RESVAL (1'h1)
3939 ) u_mio_outsel_regwen_21 (
3940 .clk_i (clk_i ),
3941 .rst_ni (rst_ni ),
3942
3943 // from register interface
3944 .we (mio_outsel_regwen_21_we),
3945 .wd (mio_outsel_regwen_21_wd),
3946
3947 // from internal hardware
3948 .de (1'b0),
3949 .d ('0 ),
3950
3951 // to internal hardware
3952 .qe (),
3953 .q (),
3954
3955 // to register interface (read)
3956 .qs (mio_outsel_regwen_21_qs)
3957 );
3958
3959 // Subregister 22 of Multireg mio_outsel_regwen
3960 // R[mio_outsel_regwen_22]: V(False)
3961
3962 prim_subreg #(
3963 .DW (1),
3964 .SWACCESS("W0C"),
3965 .RESVAL (1'h1)
3966 ) u_mio_outsel_regwen_22 (
3967 .clk_i (clk_i ),
3968 .rst_ni (rst_ni ),
3969
3970 // from register interface
3971 .we (mio_outsel_regwen_22_we),
3972 .wd (mio_outsel_regwen_22_wd),
3973
3974 // from internal hardware
3975 .de (1'b0),
3976 .d ('0 ),
3977
3978 // to internal hardware
3979 .qe (),
3980 .q (),
3981
3982 // to register interface (read)
3983 .qs (mio_outsel_regwen_22_qs)
3984 );
3985
3986 // Subregister 23 of Multireg mio_outsel_regwen
3987 // R[mio_outsel_regwen_23]: V(False)
3988
3989 prim_subreg #(
3990 .DW (1),
3991 .SWACCESS("W0C"),
3992 .RESVAL (1'h1)
3993 ) u_mio_outsel_regwen_23 (
3994 .clk_i (clk_i ),
3995 .rst_ni (rst_ni ),
3996
3997 // from register interface
3998 .we (mio_outsel_regwen_23_we),
3999 .wd (mio_outsel_regwen_23_wd),
4000
4001 // from internal hardware
4002 .de (1'b0),
4003 .d ('0 ),
4004
4005 // to internal hardware
4006 .qe (),
4007 .q (),
4008
4009 // to register interface (read)
4010 .qs (mio_outsel_regwen_23_qs)
4011 );
4012
4013 // Subregister 24 of Multireg mio_outsel_regwen
4014 // R[mio_outsel_regwen_24]: V(False)
4015
4016 prim_subreg #(
4017 .DW (1),
4018 .SWACCESS("W0C"),
4019 .RESVAL (1'h1)
4020 ) u_mio_outsel_regwen_24 (
4021 .clk_i (clk_i ),
4022 .rst_ni (rst_ni ),
4023
4024 // from register interface
4025 .we (mio_outsel_regwen_24_we),
4026 .wd (mio_outsel_regwen_24_wd),
4027
4028 // from internal hardware
4029 .de (1'b0),
4030 .d ('0 ),
4031
4032 // to internal hardware
4033 .qe (),
4034 .q (),
4035
4036 // to register interface (read)
4037 .qs (mio_outsel_regwen_24_qs)
4038 );
4039
4040 // Subregister 25 of Multireg mio_outsel_regwen
4041 // R[mio_outsel_regwen_25]: V(False)
4042
4043 prim_subreg #(
4044 .DW (1),
4045 .SWACCESS("W0C"),
4046 .RESVAL (1'h1)
4047 ) u_mio_outsel_regwen_25 (
4048 .clk_i (clk_i ),
4049 .rst_ni (rst_ni ),
4050
4051 // from register interface
4052 .we (mio_outsel_regwen_25_we),
4053 .wd (mio_outsel_regwen_25_wd),
4054
4055 // from internal hardware
4056 .de (1'b0),
4057 .d ('0 ),
4058
4059 // to internal hardware
4060 .qe (),
4061 .q (),
4062
4063 // to register interface (read)
4064 .qs (mio_outsel_regwen_25_qs)
4065 );
4066
4067 // Subregister 26 of Multireg mio_outsel_regwen
4068 // R[mio_outsel_regwen_26]: V(False)
4069
4070 prim_subreg #(
4071 .DW (1),
4072 .SWACCESS("W0C"),
4073 .RESVAL (1'h1)
4074 ) u_mio_outsel_regwen_26 (
4075 .clk_i (clk_i ),
4076 .rst_ni (rst_ni ),
4077
4078 // from register interface
4079 .we (mio_outsel_regwen_26_we),
4080 .wd (mio_outsel_regwen_26_wd),
4081
4082 // from internal hardware
4083 .de (1'b0),
4084 .d ('0 ),
4085
4086 // to internal hardware
4087 .qe (),
4088 .q (),
4089
4090 // to register interface (read)
4091 .qs (mio_outsel_regwen_26_qs)
4092 );
4093
4094 // Subregister 27 of Multireg mio_outsel_regwen
4095 // R[mio_outsel_regwen_27]: V(False)
4096
4097 prim_subreg #(
4098 .DW (1),
4099 .SWACCESS("W0C"),
4100 .RESVAL (1'h1)
4101 ) u_mio_outsel_regwen_27 (
4102 .clk_i (clk_i ),
4103 .rst_ni (rst_ni ),
4104
4105 // from register interface
4106 .we (mio_outsel_regwen_27_we),
4107 .wd (mio_outsel_regwen_27_wd),
4108
4109 // from internal hardware
4110 .de (1'b0),
4111 .d ('0 ),
4112
4113 // to internal hardware
4114 .qe (),
4115 .q (),
4116
4117 // to register interface (read)
4118 .qs (mio_outsel_regwen_27_qs)
4119 );
4120
4121 // Subregister 28 of Multireg mio_outsel_regwen
4122 // R[mio_outsel_regwen_28]: V(False)
4123
4124 prim_subreg #(
4125 .DW (1),
4126 .SWACCESS("W0C"),
4127 .RESVAL (1'h1)
4128 ) u_mio_outsel_regwen_28 (
4129 .clk_i (clk_i ),
4130 .rst_ni (rst_ni ),
4131
4132 // from register interface
4133 .we (mio_outsel_regwen_28_we),
4134 .wd (mio_outsel_regwen_28_wd),
4135
4136 // from internal hardware
4137 .de (1'b0),
4138 .d ('0 ),
4139
4140 // to internal hardware
4141 .qe (),
4142 .q (),
4143
4144 // to register interface (read)
4145 .qs (mio_outsel_regwen_28_qs)
4146 );
4147
4148 // Subregister 29 of Multireg mio_outsel_regwen
4149 // R[mio_outsel_regwen_29]: V(False)
4150
4151 prim_subreg #(
4152 .DW (1),
4153 .SWACCESS("W0C"),
4154 .RESVAL (1'h1)
4155 ) u_mio_outsel_regwen_29 (
4156 .clk_i (clk_i ),
4157 .rst_ni (rst_ni ),
4158
4159 // from register interface
4160 .we (mio_outsel_regwen_29_we),
4161 .wd (mio_outsel_regwen_29_wd),
4162
4163 // from internal hardware
4164 .de (1'b0),
4165 .d ('0 ),
4166
4167 // to internal hardware
4168 .qe (),
4169 .q (),
4170
4171 // to register interface (read)
4172 .qs (mio_outsel_regwen_29_qs)
4173 );
4174
4175 // Subregister 30 of Multireg mio_outsel_regwen
4176 // R[mio_outsel_regwen_30]: V(False)
4177
4178 prim_subreg #(
4179 .DW (1),
4180 .SWACCESS("W0C"),
4181 .RESVAL (1'h1)
4182 ) u_mio_outsel_regwen_30 (
4183 .clk_i (clk_i ),
4184 .rst_ni (rst_ni ),
4185
4186 // from register interface
4187 .we (mio_outsel_regwen_30_we),
4188 .wd (mio_outsel_regwen_30_wd),
4189
4190 // from internal hardware
4191 .de (1'b0),
4192 .d ('0 ),
4193
4194 // to internal hardware
4195 .qe (),
4196 .q (),
4197
4198 // to register interface (read)
4199 .qs (mio_outsel_regwen_30_qs)
4200 );
4201
4202 // Subregister 31 of Multireg mio_outsel_regwen
4203 // R[mio_outsel_regwen_31]: V(False)
4204
4205 prim_subreg #(
4206 .DW (1),
4207 .SWACCESS("W0C"),
4208 .RESVAL (1'h1)
4209 ) u_mio_outsel_regwen_31 (
4210 .clk_i (clk_i ),
4211 .rst_ni (rst_ni ),
4212
4213 // from register interface
4214 .we (mio_outsel_regwen_31_we),
4215 .wd (mio_outsel_regwen_31_wd),
4216
4217 // from internal hardware
4218 .de (1'b0),
4219 .d ('0 ),
4220
4221 // to internal hardware
4222 .qe (),
4223 .q (),
4224
4225 // to register interface (read)
4226 .qs (mio_outsel_regwen_31_qs)
4227 );
4228
4229
Michael Schaffner51c61442019-10-01 15:49:10 -07004230
4231 // Subregister 0 of Multireg mio_outsel
Pirmin Vogel4ec676e2020-08-05 08:33:06 +02004232 // R[mio_outsel_0]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004233
Michael Schaffner51c61442019-10-01 15:49:10 -07004234 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004235 .DW (6),
Michael Schaffner51c61442019-10-01 15:49:10 -07004236 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004237 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004238 ) u_mio_outsel_0 (
Michael Schaffner51c61442019-10-01 15:49:10 -07004239 .clk_i (clk_i ),
4240 .rst_ni (rst_ni ),
4241
4242 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004243 .we (mio_outsel_0_we & mio_outsel_regwen_0_qs),
4244 .wd (mio_outsel_0_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07004245
4246 // from internal hardware
4247 .de (1'b0),
4248 .d ('0 ),
4249
4250 // to internal hardware
4251 .qe (),
4252 .q (reg2hw.mio_outsel[0].q ),
4253
4254 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004255 .qs (mio_outsel_0_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07004256 );
4257
Michael Schaffner06fdb112021-02-10 16:52:56 -08004258 // Subregister 1 of Multireg mio_outsel
4259 // R[mio_outsel_1]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004260
Michael Schaffner51c61442019-10-01 15:49:10 -07004261 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004262 .DW (6),
Michael Schaffner51c61442019-10-01 15:49:10 -07004263 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004264 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004265 ) u_mio_outsel_1 (
Michael Schaffner51c61442019-10-01 15:49:10 -07004266 .clk_i (clk_i ),
4267 .rst_ni (rst_ni ),
4268
4269 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004270 .we (mio_outsel_1_we & mio_outsel_regwen_1_qs),
4271 .wd (mio_outsel_1_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07004272
4273 // from internal hardware
4274 .de (1'b0),
4275 .d ('0 ),
4276
4277 // to internal hardware
4278 .qe (),
4279 .q (reg2hw.mio_outsel[1].q ),
4280
4281 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004282 .qs (mio_outsel_1_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07004283 );
4284
Michael Schaffner06fdb112021-02-10 16:52:56 -08004285 // Subregister 2 of Multireg mio_outsel
4286 // R[mio_outsel_2]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004287
Michael Schaffner51c61442019-10-01 15:49:10 -07004288 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004289 .DW (6),
Michael Schaffner51c61442019-10-01 15:49:10 -07004290 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004291 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004292 ) u_mio_outsel_2 (
Michael Schaffner51c61442019-10-01 15:49:10 -07004293 .clk_i (clk_i ),
4294 .rst_ni (rst_ni ),
4295
4296 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004297 .we (mio_outsel_2_we & mio_outsel_regwen_2_qs),
4298 .wd (mio_outsel_2_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07004299
4300 // from internal hardware
4301 .de (1'b0),
4302 .d ('0 ),
4303
4304 // to internal hardware
4305 .qe (),
4306 .q (reg2hw.mio_outsel[2].q ),
4307
4308 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004309 .qs (mio_outsel_2_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07004310 );
4311
Michael Schaffner06fdb112021-02-10 16:52:56 -08004312 // Subregister 3 of Multireg mio_outsel
4313 // R[mio_outsel_3]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004314
Michael Schaffner51c61442019-10-01 15:49:10 -07004315 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004316 .DW (6),
Michael Schaffner51c61442019-10-01 15:49:10 -07004317 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004318 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004319 ) u_mio_outsel_3 (
Michael Schaffner51c61442019-10-01 15:49:10 -07004320 .clk_i (clk_i ),
4321 .rst_ni (rst_ni ),
4322
4323 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004324 .we (mio_outsel_3_we & mio_outsel_regwen_3_qs),
4325 .wd (mio_outsel_3_wd),
Michael Schaffner51c61442019-10-01 15:49:10 -07004326
4327 // from internal hardware
4328 .de (1'b0),
4329 .d ('0 ),
4330
4331 // to internal hardware
4332 .qe (),
4333 .q (reg2hw.mio_outsel[3].q ),
4334
4335 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004336 .qs (mio_outsel_3_qs)
Michael Schaffner51c61442019-10-01 15:49:10 -07004337 );
4338
Michael Schaffner06fdb112021-02-10 16:52:56 -08004339 // Subregister 4 of Multireg mio_outsel
4340 // R[mio_outsel_4]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004341
Michael Schaffnerfb801932019-10-02 10:49:15 -07004342 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004343 .DW (6),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004344 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004345 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004346 ) u_mio_outsel_4 (
Michael Schaffnerfb801932019-10-02 10:49:15 -07004347 .clk_i (clk_i ),
4348 .rst_ni (rst_ni ),
4349
4350 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004351 .we (mio_outsel_4_we & mio_outsel_regwen_4_qs),
4352 .wd (mio_outsel_4_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004353
4354 // from internal hardware
4355 .de (1'b0),
4356 .d ('0 ),
4357
4358 // to internal hardware
4359 .qe (),
4360 .q (reg2hw.mio_outsel[4].q ),
4361
4362 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004363 .qs (mio_outsel_4_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004364 );
4365
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004366 // Subregister 5 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004367 // R[mio_outsel_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004368
Michael Schaffnerfb801932019-10-02 10:49:15 -07004369 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004370 .DW (6),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004371 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004372 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004373 ) u_mio_outsel_5 (
Michael Schaffnerfb801932019-10-02 10:49:15 -07004374 .clk_i (clk_i ),
4375 .rst_ni (rst_ni ),
4376
4377 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004378 .we (mio_outsel_5_we & mio_outsel_regwen_5_qs),
4379 .wd (mio_outsel_5_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004380
4381 // from internal hardware
4382 .de (1'b0),
4383 .d ('0 ),
4384
4385 // to internal hardware
4386 .qe (),
4387 .q (reg2hw.mio_outsel[5].q ),
4388
4389 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004390 .qs (mio_outsel_5_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004391 );
4392
Michael Schaffner06fdb112021-02-10 16:52:56 -08004393 // Subregister 6 of Multireg mio_outsel
4394 // R[mio_outsel_6]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004395
Michael Schaffnerfb801932019-10-02 10:49:15 -07004396 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004397 .DW (6),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004398 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004399 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004400 ) u_mio_outsel_6 (
Michael Schaffnerfb801932019-10-02 10:49:15 -07004401 .clk_i (clk_i ),
4402 .rst_ni (rst_ni ),
4403
4404 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004405 .we (mio_outsel_6_we & mio_outsel_regwen_6_qs),
4406 .wd (mio_outsel_6_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004407
4408 // from internal hardware
4409 .de (1'b0),
4410 .d ('0 ),
4411
4412 // to internal hardware
4413 .qe (),
4414 .q (reg2hw.mio_outsel[6].q ),
4415
4416 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004417 .qs (mio_outsel_6_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004418 );
4419
Michael Schaffner06fdb112021-02-10 16:52:56 -08004420 // Subregister 7 of Multireg mio_outsel
4421 // R[mio_outsel_7]: V(False)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004422
Michael Schaffnerfb801932019-10-02 10:49:15 -07004423 prim_subreg #(
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004424 .DW (6),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004425 .SWACCESS("RW"),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004426 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004427 ) u_mio_outsel_7 (
Michael Schaffnerfb801932019-10-02 10:49:15 -07004428 .clk_i (clk_i ),
4429 .rst_ni (rst_ni ),
4430
4431 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004432 .we (mio_outsel_7_we & mio_outsel_regwen_7_qs),
4433 .wd (mio_outsel_7_wd),
Michael Schaffnerfb801932019-10-02 10:49:15 -07004434
4435 // from internal hardware
4436 .de (1'b0),
4437 .d ('0 ),
4438
4439 // to internal hardware
4440 .qe (),
4441 .q (reg2hw.mio_outsel[7].q ),
4442
4443 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004444 .qs (mio_outsel_7_qs)
Michael Schaffnerfb801932019-10-02 10:49:15 -07004445 );
Michael Schaffner51c61442019-10-01 15:49:10 -07004446
Michael Schaffner06fdb112021-02-10 16:52:56 -08004447 // Subregister 8 of Multireg mio_outsel
4448 // R[mio_outsel_8]: V(False)
Michael Schaffner51c61442019-10-01 15:49:10 -07004449
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004450 prim_subreg #(
4451 .DW (6),
4452 .SWACCESS("RW"),
4453 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004454 ) u_mio_outsel_8 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004455 .clk_i (clk_i ),
4456 .rst_ni (rst_ni ),
4457
4458 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004459 .we (mio_outsel_8_we & mio_outsel_regwen_8_qs),
4460 .wd (mio_outsel_8_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004461
4462 // from internal hardware
4463 .de (1'b0),
4464 .d ('0 ),
4465
4466 // to internal hardware
4467 .qe (),
4468 .q (reg2hw.mio_outsel[8].q ),
4469
4470 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004471 .qs (mio_outsel_8_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004472 );
4473
Michael Schaffner06fdb112021-02-10 16:52:56 -08004474 // Subregister 9 of Multireg mio_outsel
4475 // R[mio_outsel_9]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004476
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004477 prim_subreg #(
4478 .DW (6),
4479 .SWACCESS("RW"),
4480 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004481 ) u_mio_outsel_9 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004482 .clk_i (clk_i ),
4483 .rst_ni (rst_ni ),
4484
4485 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004486 .we (mio_outsel_9_we & mio_outsel_regwen_9_qs),
4487 .wd (mio_outsel_9_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004488
4489 // from internal hardware
4490 .de (1'b0),
4491 .d ('0 ),
4492
4493 // to internal hardware
4494 .qe (),
4495 .q (reg2hw.mio_outsel[9].q ),
4496
4497 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004498 .qs (mio_outsel_9_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004499 );
4500
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004501 // Subregister 10 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004502 // R[mio_outsel_10]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004503
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004504 prim_subreg #(
4505 .DW (6),
4506 .SWACCESS("RW"),
4507 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004508 ) u_mio_outsel_10 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004509 .clk_i (clk_i ),
4510 .rst_ni (rst_ni ),
4511
4512 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004513 .we (mio_outsel_10_we & mio_outsel_regwen_10_qs),
4514 .wd (mio_outsel_10_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004515
4516 // from internal hardware
4517 .de (1'b0),
4518 .d ('0 ),
4519
4520 // to internal hardware
4521 .qe (),
4522 .q (reg2hw.mio_outsel[10].q ),
4523
4524 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004525 .qs (mio_outsel_10_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004526 );
4527
Michael Schaffner06fdb112021-02-10 16:52:56 -08004528 // Subregister 11 of Multireg mio_outsel
4529 // R[mio_outsel_11]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004530
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004531 prim_subreg #(
4532 .DW (6),
4533 .SWACCESS("RW"),
4534 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004535 ) u_mio_outsel_11 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004536 .clk_i (clk_i ),
4537 .rst_ni (rst_ni ),
4538
4539 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004540 .we (mio_outsel_11_we & mio_outsel_regwen_11_qs),
4541 .wd (mio_outsel_11_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004542
4543 // from internal hardware
4544 .de (1'b0),
4545 .d ('0 ),
4546
4547 // to internal hardware
4548 .qe (),
4549 .q (reg2hw.mio_outsel[11].q ),
4550
4551 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004552 .qs (mio_outsel_11_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004553 );
4554
Michael Schaffner06fdb112021-02-10 16:52:56 -08004555 // Subregister 12 of Multireg mio_outsel
4556 // R[mio_outsel_12]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004557
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004558 prim_subreg #(
4559 .DW (6),
4560 .SWACCESS("RW"),
4561 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004562 ) u_mio_outsel_12 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004563 .clk_i (clk_i ),
4564 .rst_ni (rst_ni ),
4565
4566 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004567 .we (mio_outsel_12_we & mio_outsel_regwen_12_qs),
4568 .wd (mio_outsel_12_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004569
4570 // from internal hardware
4571 .de (1'b0),
4572 .d ('0 ),
4573
4574 // to internal hardware
4575 .qe (),
4576 .q (reg2hw.mio_outsel[12].q ),
4577
4578 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004579 .qs (mio_outsel_12_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004580 );
4581
Michael Schaffner06fdb112021-02-10 16:52:56 -08004582 // Subregister 13 of Multireg mio_outsel
4583 // R[mio_outsel_13]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004584
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004585 prim_subreg #(
4586 .DW (6),
4587 .SWACCESS("RW"),
4588 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004589 ) u_mio_outsel_13 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004590 .clk_i (clk_i ),
4591 .rst_ni (rst_ni ),
4592
4593 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004594 .we (mio_outsel_13_we & mio_outsel_regwen_13_qs),
4595 .wd (mio_outsel_13_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004596
4597 // from internal hardware
4598 .de (1'b0),
4599 .d ('0 ),
4600
4601 // to internal hardware
4602 .qe (),
4603 .q (reg2hw.mio_outsel[13].q ),
4604
4605 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004606 .qs (mio_outsel_13_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004607 );
4608
Michael Schaffner06fdb112021-02-10 16:52:56 -08004609 // Subregister 14 of Multireg mio_outsel
4610 // R[mio_outsel_14]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004611
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004612 prim_subreg #(
4613 .DW (6),
4614 .SWACCESS("RW"),
4615 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004616 ) u_mio_outsel_14 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004617 .clk_i (clk_i ),
4618 .rst_ni (rst_ni ),
4619
4620 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004621 .we (mio_outsel_14_we & mio_outsel_regwen_14_qs),
4622 .wd (mio_outsel_14_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004623
4624 // from internal hardware
4625 .de (1'b0),
4626 .d ('0 ),
4627
4628 // to internal hardware
4629 .qe (),
4630 .q (reg2hw.mio_outsel[14].q ),
4631
4632 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004633 .qs (mio_outsel_14_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004634 );
4635
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004636 // Subregister 15 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004637 // R[mio_outsel_15]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004638
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004639 prim_subreg #(
4640 .DW (6),
4641 .SWACCESS("RW"),
4642 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004643 ) u_mio_outsel_15 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004644 .clk_i (clk_i ),
4645 .rst_ni (rst_ni ),
4646
4647 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004648 .we (mio_outsel_15_we & mio_outsel_regwen_15_qs),
4649 .wd (mio_outsel_15_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004650
4651 // from internal hardware
4652 .de (1'b0),
4653 .d ('0 ),
4654
4655 // to internal hardware
4656 .qe (),
4657 .q (reg2hw.mio_outsel[15].q ),
4658
4659 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004660 .qs (mio_outsel_15_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004661 );
4662
Michael Schaffner06fdb112021-02-10 16:52:56 -08004663 // Subregister 16 of Multireg mio_outsel
4664 // R[mio_outsel_16]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004665
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004666 prim_subreg #(
4667 .DW (6),
4668 .SWACCESS("RW"),
4669 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004670 ) u_mio_outsel_16 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004671 .clk_i (clk_i ),
4672 .rst_ni (rst_ni ),
4673
4674 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004675 .we (mio_outsel_16_we & mio_outsel_regwen_16_qs),
4676 .wd (mio_outsel_16_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004677
4678 // from internal hardware
4679 .de (1'b0),
4680 .d ('0 ),
4681
4682 // to internal hardware
4683 .qe (),
4684 .q (reg2hw.mio_outsel[16].q ),
4685
4686 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004687 .qs (mio_outsel_16_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004688 );
4689
Michael Schaffner06fdb112021-02-10 16:52:56 -08004690 // Subregister 17 of Multireg mio_outsel
4691 // R[mio_outsel_17]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004692
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004693 prim_subreg #(
4694 .DW (6),
4695 .SWACCESS("RW"),
4696 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004697 ) u_mio_outsel_17 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004698 .clk_i (clk_i ),
4699 .rst_ni (rst_ni ),
4700
4701 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004702 .we (mio_outsel_17_we & mio_outsel_regwen_17_qs),
4703 .wd (mio_outsel_17_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004704
4705 // from internal hardware
4706 .de (1'b0),
4707 .d ('0 ),
4708
4709 // to internal hardware
4710 .qe (),
4711 .q (reg2hw.mio_outsel[17].q ),
4712
4713 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004714 .qs (mio_outsel_17_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004715 );
4716
Michael Schaffner06fdb112021-02-10 16:52:56 -08004717 // Subregister 18 of Multireg mio_outsel
4718 // R[mio_outsel_18]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004719
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004720 prim_subreg #(
4721 .DW (6),
4722 .SWACCESS("RW"),
4723 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004724 ) u_mio_outsel_18 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004725 .clk_i (clk_i ),
4726 .rst_ni (rst_ni ),
4727
4728 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004729 .we (mio_outsel_18_we & mio_outsel_regwen_18_qs),
4730 .wd (mio_outsel_18_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004731
4732 // from internal hardware
4733 .de (1'b0),
4734 .d ('0 ),
4735
4736 // to internal hardware
4737 .qe (),
4738 .q (reg2hw.mio_outsel[18].q ),
4739
4740 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004741 .qs (mio_outsel_18_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004742 );
4743
Michael Schaffner06fdb112021-02-10 16:52:56 -08004744 // Subregister 19 of Multireg mio_outsel
4745 // R[mio_outsel_19]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004746
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004747 prim_subreg #(
4748 .DW (6),
4749 .SWACCESS("RW"),
4750 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004751 ) u_mio_outsel_19 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004752 .clk_i (clk_i ),
4753 .rst_ni (rst_ni ),
4754
4755 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004756 .we (mio_outsel_19_we & mio_outsel_regwen_19_qs),
4757 .wd (mio_outsel_19_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004758
4759 // from internal hardware
4760 .de (1'b0),
4761 .d ('0 ),
4762
4763 // to internal hardware
4764 .qe (),
4765 .q (reg2hw.mio_outsel[19].q ),
4766
4767 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004768 .qs (mio_outsel_19_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004769 );
4770
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004771 // Subregister 20 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004772 // R[mio_outsel_20]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004773
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004774 prim_subreg #(
4775 .DW (6),
4776 .SWACCESS("RW"),
4777 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004778 ) u_mio_outsel_20 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004779 .clk_i (clk_i ),
4780 .rst_ni (rst_ni ),
4781
4782 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004783 .we (mio_outsel_20_we & mio_outsel_regwen_20_qs),
4784 .wd (mio_outsel_20_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004785
4786 // from internal hardware
4787 .de (1'b0),
4788 .d ('0 ),
4789
4790 // to internal hardware
4791 .qe (),
4792 .q (reg2hw.mio_outsel[20].q ),
4793
4794 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004795 .qs (mio_outsel_20_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004796 );
4797
Michael Schaffner06fdb112021-02-10 16:52:56 -08004798 // Subregister 21 of Multireg mio_outsel
4799 // R[mio_outsel_21]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004800
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004801 prim_subreg #(
4802 .DW (6),
4803 .SWACCESS("RW"),
4804 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004805 ) u_mio_outsel_21 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004806 .clk_i (clk_i ),
4807 .rst_ni (rst_ni ),
4808
4809 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004810 .we (mio_outsel_21_we & mio_outsel_regwen_21_qs),
4811 .wd (mio_outsel_21_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004812
4813 // from internal hardware
4814 .de (1'b0),
4815 .d ('0 ),
4816
4817 // to internal hardware
4818 .qe (),
4819 .q (reg2hw.mio_outsel[21].q ),
4820
4821 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004822 .qs (mio_outsel_21_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004823 );
4824
Michael Schaffner06fdb112021-02-10 16:52:56 -08004825 // Subregister 22 of Multireg mio_outsel
4826 // R[mio_outsel_22]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004827
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004828 prim_subreg #(
4829 .DW (6),
4830 .SWACCESS("RW"),
4831 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004832 ) u_mio_outsel_22 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004833 .clk_i (clk_i ),
4834 .rst_ni (rst_ni ),
4835
4836 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004837 .we (mio_outsel_22_we & mio_outsel_regwen_22_qs),
4838 .wd (mio_outsel_22_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004839
4840 // from internal hardware
4841 .de (1'b0),
4842 .d ('0 ),
4843
4844 // to internal hardware
4845 .qe (),
4846 .q (reg2hw.mio_outsel[22].q ),
4847
4848 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004849 .qs (mio_outsel_22_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004850 );
4851
Michael Schaffner06fdb112021-02-10 16:52:56 -08004852 // Subregister 23 of Multireg mio_outsel
4853 // R[mio_outsel_23]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004854
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004855 prim_subreg #(
4856 .DW (6),
4857 .SWACCESS("RW"),
4858 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004859 ) u_mio_outsel_23 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004860 .clk_i (clk_i ),
4861 .rst_ni (rst_ni ),
4862
4863 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004864 .we (mio_outsel_23_we & mio_outsel_regwen_23_qs),
4865 .wd (mio_outsel_23_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004866
4867 // from internal hardware
4868 .de (1'b0),
4869 .d ('0 ),
4870
4871 // to internal hardware
4872 .qe (),
4873 .q (reg2hw.mio_outsel[23].q ),
4874
4875 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004876 .qs (mio_outsel_23_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004877 );
4878
Michael Schaffner06fdb112021-02-10 16:52:56 -08004879 // Subregister 24 of Multireg mio_outsel
4880 // R[mio_outsel_24]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004881
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004882 prim_subreg #(
4883 .DW (6),
4884 .SWACCESS("RW"),
4885 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004886 ) u_mio_outsel_24 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004887 .clk_i (clk_i ),
4888 .rst_ni (rst_ni ),
4889
4890 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004891 .we (mio_outsel_24_we & mio_outsel_regwen_24_qs),
4892 .wd (mio_outsel_24_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004893
4894 // from internal hardware
4895 .de (1'b0),
4896 .d ('0 ),
4897
4898 // to internal hardware
4899 .qe (),
4900 .q (reg2hw.mio_outsel[24].q ),
4901
4902 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004903 .qs (mio_outsel_24_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004904 );
4905
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004906 // Subregister 25 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08004907 // R[mio_outsel_25]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004908
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004909 prim_subreg #(
4910 .DW (6),
4911 .SWACCESS("RW"),
4912 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004913 ) u_mio_outsel_25 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004914 .clk_i (clk_i ),
4915 .rst_ni (rst_ni ),
4916
4917 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004918 .we (mio_outsel_25_we & mio_outsel_regwen_25_qs),
4919 .wd (mio_outsel_25_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004920
4921 // from internal hardware
4922 .de (1'b0),
4923 .d ('0 ),
4924
4925 // to internal hardware
4926 .qe (),
4927 .q (reg2hw.mio_outsel[25].q ),
4928
4929 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004930 .qs (mio_outsel_25_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004931 );
4932
Michael Schaffner06fdb112021-02-10 16:52:56 -08004933 // Subregister 26 of Multireg mio_outsel
4934 // R[mio_outsel_26]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004935
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004936 prim_subreg #(
4937 .DW (6),
4938 .SWACCESS("RW"),
4939 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004940 ) u_mio_outsel_26 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004941 .clk_i (clk_i ),
4942 .rst_ni (rst_ni ),
4943
4944 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004945 .we (mio_outsel_26_we & mio_outsel_regwen_26_qs),
4946 .wd (mio_outsel_26_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004947
4948 // from internal hardware
4949 .de (1'b0),
4950 .d ('0 ),
4951
4952 // to internal hardware
4953 .qe (),
4954 .q (reg2hw.mio_outsel[26].q ),
4955
4956 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004957 .qs (mio_outsel_26_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004958 );
4959
Michael Schaffner06fdb112021-02-10 16:52:56 -08004960 // Subregister 27 of Multireg mio_outsel
4961 // R[mio_outsel_27]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004962
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004963 prim_subreg #(
4964 .DW (6),
4965 .SWACCESS("RW"),
4966 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004967 ) u_mio_outsel_27 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004968 .clk_i (clk_i ),
4969 .rst_ni (rst_ni ),
4970
4971 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004972 .we (mio_outsel_27_we & mio_outsel_regwen_27_qs),
4973 .wd (mio_outsel_27_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004974
4975 // from internal hardware
4976 .de (1'b0),
4977 .d ('0 ),
4978
4979 // to internal hardware
4980 .qe (),
4981 .q (reg2hw.mio_outsel[27].q ),
4982
4983 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004984 .qs (mio_outsel_27_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004985 );
4986
Michael Schaffner06fdb112021-02-10 16:52:56 -08004987 // Subregister 28 of Multireg mio_outsel
4988 // R[mio_outsel_28]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004989
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004990 prim_subreg #(
4991 .DW (6),
4992 .SWACCESS("RW"),
4993 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004994 ) u_mio_outsel_28 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07004995 .clk_i (clk_i ),
4996 .rst_ni (rst_ni ),
4997
4998 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08004999 .we (mio_outsel_28_we & mio_outsel_regwen_28_qs),
5000 .wd (mio_outsel_28_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005001
5002 // from internal hardware
5003 .de (1'b0),
5004 .d ('0 ),
5005
5006 // to internal hardware
5007 .qe (),
5008 .q (reg2hw.mio_outsel[28].q ),
5009
5010 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005011 .qs (mio_outsel_28_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005012 );
5013
Michael Schaffner06fdb112021-02-10 16:52:56 -08005014 // Subregister 29 of Multireg mio_outsel
5015 // R[mio_outsel_29]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005016
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005017 prim_subreg #(
5018 .DW (6),
5019 .SWACCESS("RW"),
5020 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005021 ) u_mio_outsel_29 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005022 .clk_i (clk_i ),
5023 .rst_ni (rst_ni ),
5024
5025 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005026 .we (mio_outsel_29_we & mio_outsel_regwen_29_qs),
5027 .wd (mio_outsel_29_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005028
5029 // from internal hardware
5030 .de (1'b0),
5031 .d ('0 ),
5032
5033 // to internal hardware
5034 .qe (),
5035 .q (reg2hw.mio_outsel[29].q ),
5036
5037 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005038 .qs (mio_outsel_29_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005039 );
5040
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005041 // Subregister 30 of Multireg mio_outsel
Michael Schaffner06fdb112021-02-10 16:52:56 -08005042 // R[mio_outsel_30]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005043
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005044 prim_subreg #(
5045 .DW (6),
5046 .SWACCESS("RW"),
5047 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005048 ) u_mio_outsel_30 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005049 .clk_i (clk_i ),
5050 .rst_ni (rst_ni ),
5051
5052 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005053 .we (mio_outsel_30_we & mio_outsel_regwen_30_qs),
5054 .wd (mio_outsel_30_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005055
5056 // from internal hardware
5057 .de (1'b0),
5058 .d ('0 ),
5059
5060 // to internal hardware
5061 .qe (),
5062 .q (reg2hw.mio_outsel[30].q ),
5063
5064 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005065 .qs (mio_outsel_30_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005066 );
5067
Michael Schaffner06fdb112021-02-10 16:52:56 -08005068 // Subregister 31 of Multireg mio_outsel
5069 // R[mio_outsel_31]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005070
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005071 prim_subreg #(
5072 .DW (6),
5073 .SWACCESS("RW"),
5074 .RESVAL (6'h2)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005075 ) u_mio_outsel_31 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005076 .clk_i (clk_i ),
5077 .rst_ni (rst_ni ),
5078
5079 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005080 .we (mio_outsel_31_we & mio_outsel_regwen_31_qs),
5081 .wd (mio_outsel_31_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005082
5083 // from internal hardware
5084 .de (1'b0),
5085 .d ('0 ),
5086
5087 // to internal hardware
5088 .qe (),
5089 .q (reg2hw.mio_outsel[31].q ),
5090
5091 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005092 .qs (mio_outsel_31_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005093 );
Michael Schaffner51c61442019-10-01 15:49:10 -07005094
Michael Schaffnerfb801932019-10-02 10:49:15 -07005095
5096
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005097 // Subregister 0 of Multireg mio_pad_attr_regwen
5098 // R[mio_pad_attr_regwen_0]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005099
5100 prim_subreg #(
5101 .DW (1),
5102 .SWACCESS("W0C"),
5103 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005104 ) u_mio_pad_attr_regwen_0 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005105 .clk_i (clk_i ),
5106 .rst_ni (rst_ni ),
5107
5108 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005109 .we (mio_pad_attr_regwen_0_we),
5110 .wd (mio_pad_attr_regwen_0_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005111
5112 // from internal hardware
5113 .de (1'b0),
5114 .d ('0 ),
5115
5116 // to internal hardware
5117 .qe (),
5118 .q (),
5119
5120 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005121 .qs (mio_pad_attr_regwen_0_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005122 );
5123
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005124 // Subregister 1 of Multireg mio_pad_attr_regwen
5125 // R[mio_pad_attr_regwen_1]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005126
5127 prim_subreg #(
5128 .DW (1),
5129 .SWACCESS("W0C"),
5130 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005131 ) u_mio_pad_attr_regwen_1 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005132 .clk_i (clk_i ),
5133 .rst_ni (rst_ni ),
5134
5135 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005136 .we (mio_pad_attr_regwen_1_we),
5137 .wd (mio_pad_attr_regwen_1_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005138
5139 // from internal hardware
5140 .de (1'b0),
5141 .d ('0 ),
5142
5143 // to internal hardware
5144 .qe (),
5145 .q (),
5146
5147 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005148 .qs (mio_pad_attr_regwen_1_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005149 );
5150
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005151 // Subregister 2 of Multireg mio_pad_attr_regwen
5152 // R[mio_pad_attr_regwen_2]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005153
5154 prim_subreg #(
5155 .DW (1),
5156 .SWACCESS("W0C"),
5157 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005158 ) u_mio_pad_attr_regwen_2 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005159 .clk_i (clk_i ),
5160 .rst_ni (rst_ni ),
5161
5162 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005163 .we (mio_pad_attr_regwen_2_we),
5164 .wd (mio_pad_attr_regwen_2_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005165
5166 // from internal hardware
5167 .de (1'b0),
5168 .d ('0 ),
5169
5170 // to internal hardware
5171 .qe (),
5172 .q (),
5173
5174 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005175 .qs (mio_pad_attr_regwen_2_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005176 );
5177
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005178 // Subregister 3 of Multireg mio_pad_attr_regwen
5179 // R[mio_pad_attr_regwen_3]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005180
5181 prim_subreg #(
5182 .DW (1),
5183 .SWACCESS("W0C"),
5184 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005185 ) u_mio_pad_attr_regwen_3 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005186 .clk_i (clk_i ),
5187 .rst_ni (rst_ni ),
5188
5189 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005190 .we (mio_pad_attr_regwen_3_we),
5191 .wd (mio_pad_attr_regwen_3_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005192
5193 // from internal hardware
5194 .de (1'b0),
5195 .d ('0 ),
5196
5197 // to internal hardware
5198 .qe (),
5199 .q (),
5200
5201 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005202 .qs (mio_pad_attr_regwen_3_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005203 );
5204
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005205 // Subregister 4 of Multireg mio_pad_attr_regwen
5206 // R[mio_pad_attr_regwen_4]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005207
5208 prim_subreg #(
5209 .DW (1),
5210 .SWACCESS("W0C"),
5211 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005212 ) u_mio_pad_attr_regwen_4 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005213 .clk_i (clk_i ),
5214 .rst_ni (rst_ni ),
5215
5216 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005217 .we (mio_pad_attr_regwen_4_we),
5218 .wd (mio_pad_attr_regwen_4_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005219
5220 // from internal hardware
5221 .de (1'b0),
5222 .d ('0 ),
5223
5224 // to internal hardware
5225 .qe (),
5226 .q (),
5227
5228 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005229 .qs (mio_pad_attr_regwen_4_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005230 );
5231
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005232 // Subregister 5 of Multireg mio_pad_attr_regwen
5233 // R[mio_pad_attr_regwen_5]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005234
5235 prim_subreg #(
5236 .DW (1),
5237 .SWACCESS("W0C"),
5238 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005239 ) u_mio_pad_attr_regwen_5 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005240 .clk_i (clk_i ),
5241 .rst_ni (rst_ni ),
5242
5243 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005244 .we (mio_pad_attr_regwen_5_we),
5245 .wd (mio_pad_attr_regwen_5_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005246
5247 // from internal hardware
5248 .de (1'b0),
5249 .d ('0 ),
5250
5251 // to internal hardware
5252 .qe (),
5253 .q (),
5254
5255 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005256 .qs (mio_pad_attr_regwen_5_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005257 );
5258
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005259 // Subregister 6 of Multireg mio_pad_attr_regwen
5260 // R[mio_pad_attr_regwen_6]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005261
5262 prim_subreg #(
5263 .DW (1),
5264 .SWACCESS("W0C"),
5265 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005266 ) u_mio_pad_attr_regwen_6 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005267 .clk_i (clk_i ),
5268 .rst_ni (rst_ni ),
5269
5270 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005271 .we (mio_pad_attr_regwen_6_we),
5272 .wd (mio_pad_attr_regwen_6_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005273
5274 // from internal hardware
5275 .de (1'b0),
5276 .d ('0 ),
5277
5278 // to internal hardware
5279 .qe (),
5280 .q (),
5281
5282 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005283 .qs (mio_pad_attr_regwen_6_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005284 );
5285
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005286 // Subregister 7 of Multireg mio_pad_attr_regwen
5287 // R[mio_pad_attr_regwen_7]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005288
5289 prim_subreg #(
5290 .DW (1),
5291 .SWACCESS("W0C"),
5292 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005293 ) u_mio_pad_attr_regwen_7 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005294 .clk_i (clk_i ),
5295 .rst_ni (rst_ni ),
5296
5297 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005298 .we (mio_pad_attr_regwen_7_we),
5299 .wd (mio_pad_attr_regwen_7_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005300
5301 // from internal hardware
5302 .de (1'b0),
5303 .d ('0 ),
5304
5305 // to internal hardware
5306 .qe (),
5307 .q (),
5308
5309 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005310 .qs (mio_pad_attr_regwen_7_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005311 );
5312
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005313 // Subregister 8 of Multireg mio_pad_attr_regwen
5314 // R[mio_pad_attr_regwen_8]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005315
5316 prim_subreg #(
5317 .DW (1),
5318 .SWACCESS("W0C"),
5319 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005320 ) u_mio_pad_attr_regwen_8 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005321 .clk_i (clk_i ),
5322 .rst_ni (rst_ni ),
5323
5324 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005325 .we (mio_pad_attr_regwen_8_we),
5326 .wd (mio_pad_attr_regwen_8_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005327
5328 // from internal hardware
5329 .de (1'b0),
5330 .d ('0 ),
5331
5332 // to internal hardware
5333 .qe (),
5334 .q (),
5335
5336 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005337 .qs (mio_pad_attr_regwen_8_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005338 );
5339
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005340 // Subregister 9 of Multireg mio_pad_attr_regwen
5341 // R[mio_pad_attr_regwen_9]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005342
5343 prim_subreg #(
5344 .DW (1),
5345 .SWACCESS("W0C"),
5346 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005347 ) u_mio_pad_attr_regwen_9 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005348 .clk_i (clk_i ),
5349 .rst_ni (rst_ni ),
5350
5351 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005352 .we (mio_pad_attr_regwen_9_we),
5353 .wd (mio_pad_attr_regwen_9_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005354
5355 // from internal hardware
5356 .de (1'b0),
5357 .d ('0 ),
5358
5359 // to internal hardware
5360 .qe (),
5361 .q (),
5362
5363 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005364 .qs (mio_pad_attr_regwen_9_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005365 );
5366
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005367 // Subregister 10 of Multireg mio_pad_attr_regwen
5368 // R[mio_pad_attr_regwen_10]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005369
5370 prim_subreg #(
5371 .DW (1),
5372 .SWACCESS("W0C"),
5373 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005374 ) u_mio_pad_attr_regwen_10 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005375 .clk_i (clk_i ),
5376 .rst_ni (rst_ni ),
5377
5378 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005379 .we (mio_pad_attr_regwen_10_we),
5380 .wd (mio_pad_attr_regwen_10_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005381
5382 // from internal hardware
5383 .de (1'b0),
5384 .d ('0 ),
5385
5386 // to internal hardware
5387 .qe (),
5388 .q (),
5389
5390 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005391 .qs (mio_pad_attr_regwen_10_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005392 );
5393
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005394 // Subregister 11 of Multireg mio_pad_attr_regwen
5395 // R[mio_pad_attr_regwen_11]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005396
5397 prim_subreg #(
5398 .DW (1),
5399 .SWACCESS("W0C"),
5400 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005401 ) u_mio_pad_attr_regwen_11 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005402 .clk_i (clk_i ),
5403 .rst_ni (rst_ni ),
5404
5405 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005406 .we (mio_pad_attr_regwen_11_we),
5407 .wd (mio_pad_attr_regwen_11_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005408
5409 // from internal hardware
5410 .de (1'b0),
5411 .d ('0 ),
5412
5413 // to internal hardware
5414 .qe (),
5415 .q (),
5416
5417 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005418 .qs (mio_pad_attr_regwen_11_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005419 );
5420
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005421 // Subregister 12 of Multireg mio_pad_attr_regwen
5422 // R[mio_pad_attr_regwen_12]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005423
5424 prim_subreg #(
5425 .DW (1),
5426 .SWACCESS("W0C"),
5427 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005428 ) u_mio_pad_attr_regwen_12 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005429 .clk_i (clk_i ),
5430 .rst_ni (rst_ni ),
5431
5432 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005433 .we (mio_pad_attr_regwen_12_we),
5434 .wd (mio_pad_attr_regwen_12_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005435
5436 // from internal hardware
5437 .de (1'b0),
5438 .d ('0 ),
5439
5440 // to internal hardware
5441 .qe (),
5442 .q (),
5443
5444 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005445 .qs (mio_pad_attr_regwen_12_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005446 );
5447
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005448 // Subregister 13 of Multireg mio_pad_attr_regwen
5449 // R[mio_pad_attr_regwen_13]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005450
5451 prim_subreg #(
5452 .DW (1),
5453 .SWACCESS("W0C"),
5454 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005455 ) u_mio_pad_attr_regwen_13 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005456 .clk_i (clk_i ),
5457 .rst_ni (rst_ni ),
5458
5459 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005460 .we (mio_pad_attr_regwen_13_we),
5461 .wd (mio_pad_attr_regwen_13_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005462
5463 // from internal hardware
5464 .de (1'b0),
5465 .d ('0 ),
5466
5467 // to internal hardware
5468 .qe (),
5469 .q (),
5470
5471 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005472 .qs (mio_pad_attr_regwen_13_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005473 );
5474
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005475 // Subregister 14 of Multireg mio_pad_attr_regwen
5476 // R[mio_pad_attr_regwen_14]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005477
5478 prim_subreg #(
5479 .DW (1),
5480 .SWACCESS("W0C"),
5481 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005482 ) u_mio_pad_attr_regwen_14 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005483 .clk_i (clk_i ),
5484 .rst_ni (rst_ni ),
5485
5486 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005487 .we (mio_pad_attr_regwen_14_we),
5488 .wd (mio_pad_attr_regwen_14_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005489
5490 // from internal hardware
5491 .de (1'b0),
5492 .d ('0 ),
5493
5494 // to internal hardware
5495 .qe (),
5496 .q (),
5497
5498 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005499 .qs (mio_pad_attr_regwen_14_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005500 );
5501
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005502 // Subregister 15 of Multireg mio_pad_attr_regwen
5503 // R[mio_pad_attr_regwen_15]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005504
5505 prim_subreg #(
5506 .DW (1),
5507 .SWACCESS("W0C"),
5508 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005509 ) u_mio_pad_attr_regwen_15 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005510 .clk_i (clk_i ),
5511 .rst_ni (rst_ni ),
5512
5513 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005514 .we (mio_pad_attr_regwen_15_we),
5515 .wd (mio_pad_attr_regwen_15_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005516
5517 // from internal hardware
5518 .de (1'b0),
5519 .d ('0 ),
5520
5521 // to internal hardware
5522 .qe (),
5523 .q (),
5524
5525 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005526 .qs (mio_pad_attr_regwen_15_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005527 );
5528
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005529 // Subregister 16 of Multireg mio_pad_attr_regwen
5530 // R[mio_pad_attr_regwen_16]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005531
5532 prim_subreg #(
5533 .DW (1),
5534 .SWACCESS("W0C"),
5535 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005536 ) u_mio_pad_attr_regwen_16 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005537 .clk_i (clk_i ),
5538 .rst_ni (rst_ni ),
5539
5540 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005541 .we (mio_pad_attr_regwen_16_we),
5542 .wd (mio_pad_attr_regwen_16_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005543
5544 // from internal hardware
5545 .de (1'b0),
5546 .d ('0 ),
5547
5548 // to internal hardware
5549 .qe (),
5550 .q (),
5551
5552 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005553 .qs (mio_pad_attr_regwen_16_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005554 );
5555
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005556 // Subregister 17 of Multireg mio_pad_attr_regwen
5557 // R[mio_pad_attr_regwen_17]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005558
5559 prim_subreg #(
5560 .DW (1),
5561 .SWACCESS("W0C"),
5562 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005563 ) u_mio_pad_attr_regwen_17 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005564 .clk_i (clk_i ),
5565 .rst_ni (rst_ni ),
5566
5567 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005568 .we (mio_pad_attr_regwen_17_we),
5569 .wd (mio_pad_attr_regwen_17_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005570
5571 // from internal hardware
5572 .de (1'b0),
5573 .d ('0 ),
5574
5575 // to internal hardware
5576 .qe (),
5577 .q (),
5578
5579 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005580 .qs (mio_pad_attr_regwen_17_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005581 );
5582
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005583 // Subregister 18 of Multireg mio_pad_attr_regwen
5584 // R[mio_pad_attr_regwen_18]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005585
5586 prim_subreg #(
5587 .DW (1),
5588 .SWACCESS("W0C"),
5589 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005590 ) u_mio_pad_attr_regwen_18 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005591 .clk_i (clk_i ),
5592 .rst_ni (rst_ni ),
5593
5594 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005595 .we (mio_pad_attr_regwen_18_we),
5596 .wd (mio_pad_attr_regwen_18_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005597
5598 // from internal hardware
5599 .de (1'b0),
5600 .d ('0 ),
5601
5602 // to internal hardware
5603 .qe (),
5604 .q (),
5605
5606 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005607 .qs (mio_pad_attr_regwen_18_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005608 );
5609
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005610 // Subregister 19 of Multireg mio_pad_attr_regwen
5611 // R[mio_pad_attr_regwen_19]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005612
5613 prim_subreg #(
5614 .DW (1),
5615 .SWACCESS("W0C"),
5616 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005617 ) u_mio_pad_attr_regwen_19 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005618 .clk_i (clk_i ),
5619 .rst_ni (rst_ni ),
5620
5621 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005622 .we (mio_pad_attr_regwen_19_we),
5623 .wd (mio_pad_attr_regwen_19_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005624
5625 // from internal hardware
5626 .de (1'b0),
5627 .d ('0 ),
5628
5629 // to internal hardware
5630 .qe (),
5631 .q (),
5632
5633 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005634 .qs (mio_pad_attr_regwen_19_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005635 );
5636
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005637 // Subregister 20 of Multireg mio_pad_attr_regwen
5638 // R[mio_pad_attr_regwen_20]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005639
5640 prim_subreg #(
5641 .DW (1),
5642 .SWACCESS("W0C"),
5643 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005644 ) u_mio_pad_attr_regwen_20 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005645 .clk_i (clk_i ),
5646 .rst_ni (rst_ni ),
5647
5648 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005649 .we (mio_pad_attr_regwen_20_we),
5650 .wd (mio_pad_attr_regwen_20_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005651
5652 // from internal hardware
5653 .de (1'b0),
5654 .d ('0 ),
5655
5656 // to internal hardware
5657 .qe (),
5658 .q (),
5659
5660 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005661 .qs (mio_pad_attr_regwen_20_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005662 );
5663
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005664 // Subregister 21 of Multireg mio_pad_attr_regwen
5665 // R[mio_pad_attr_regwen_21]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005666
5667 prim_subreg #(
5668 .DW (1),
5669 .SWACCESS("W0C"),
5670 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005671 ) u_mio_pad_attr_regwen_21 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005672 .clk_i (clk_i ),
5673 .rst_ni (rst_ni ),
5674
5675 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005676 .we (mio_pad_attr_regwen_21_we),
5677 .wd (mio_pad_attr_regwen_21_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005678
5679 // from internal hardware
5680 .de (1'b0),
5681 .d ('0 ),
5682
5683 // to internal hardware
5684 .qe (),
5685 .q (),
5686
5687 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005688 .qs (mio_pad_attr_regwen_21_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005689 );
5690
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005691 // Subregister 22 of Multireg mio_pad_attr_regwen
5692 // R[mio_pad_attr_regwen_22]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005693
5694 prim_subreg #(
5695 .DW (1),
5696 .SWACCESS("W0C"),
5697 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005698 ) u_mio_pad_attr_regwen_22 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005699 .clk_i (clk_i ),
5700 .rst_ni (rst_ni ),
5701
5702 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005703 .we (mio_pad_attr_regwen_22_we),
5704 .wd (mio_pad_attr_regwen_22_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005705
5706 // from internal hardware
5707 .de (1'b0),
5708 .d ('0 ),
5709
5710 // to internal hardware
5711 .qe (),
5712 .q (),
5713
5714 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005715 .qs (mio_pad_attr_regwen_22_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005716 );
5717
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005718 // Subregister 23 of Multireg mio_pad_attr_regwen
5719 // R[mio_pad_attr_regwen_23]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005720
5721 prim_subreg #(
5722 .DW (1),
5723 .SWACCESS("W0C"),
5724 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005725 ) u_mio_pad_attr_regwen_23 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005726 .clk_i (clk_i ),
5727 .rst_ni (rst_ni ),
5728
5729 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005730 .we (mio_pad_attr_regwen_23_we),
5731 .wd (mio_pad_attr_regwen_23_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005732
5733 // from internal hardware
5734 .de (1'b0),
5735 .d ('0 ),
5736
5737 // to internal hardware
5738 .qe (),
5739 .q (),
5740
5741 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005742 .qs (mio_pad_attr_regwen_23_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005743 );
5744
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005745 // Subregister 24 of Multireg mio_pad_attr_regwen
5746 // R[mio_pad_attr_regwen_24]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005747
5748 prim_subreg #(
5749 .DW (1),
5750 .SWACCESS("W0C"),
5751 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005752 ) u_mio_pad_attr_regwen_24 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005753 .clk_i (clk_i ),
5754 .rst_ni (rst_ni ),
5755
5756 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005757 .we (mio_pad_attr_regwen_24_we),
5758 .wd (mio_pad_attr_regwen_24_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005759
5760 // from internal hardware
5761 .de (1'b0),
5762 .d ('0 ),
5763
5764 // to internal hardware
5765 .qe (),
5766 .q (),
5767
5768 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005769 .qs (mio_pad_attr_regwen_24_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005770 );
5771
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005772 // Subregister 25 of Multireg mio_pad_attr_regwen
5773 // R[mio_pad_attr_regwen_25]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005774
5775 prim_subreg #(
5776 .DW (1),
5777 .SWACCESS("W0C"),
5778 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005779 ) u_mio_pad_attr_regwen_25 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005780 .clk_i (clk_i ),
5781 .rst_ni (rst_ni ),
5782
5783 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005784 .we (mio_pad_attr_regwen_25_we),
5785 .wd (mio_pad_attr_regwen_25_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005786
5787 // from internal hardware
5788 .de (1'b0),
5789 .d ('0 ),
5790
5791 // to internal hardware
5792 .qe (),
5793 .q (),
5794
5795 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005796 .qs (mio_pad_attr_regwen_25_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005797 );
5798
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005799 // Subregister 26 of Multireg mio_pad_attr_regwen
5800 // R[mio_pad_attr_regwen_26]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005801
5802 prim_subreg #(
5803 .DW (1),
5804 .SWACCESS("W0C"),
5805 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005806 ) u_mio_pad_attr_regwen_26 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005807 .clk_i (clk_i ),
5808 .rst_ni (rst_ni ),
5809
5810 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005811 .we (mio_pad_attr_regwen_26_we),
5812 .wd (mio_pad_attr_regwen_26_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005813
5814 // from internal hardware
5815 .de (1'b0),
5816 .d ('0 ),
5817
5818 // to internal hardware
5819 .qe (),
5820 .q (),
5821
5822 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005823 .qs (mio_pad_attr_regwen_26_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005824 );
5825
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005826 // Subregister 27 of Multireg mio_pad_attr_regwen
5827 // R[mio_pad_attr_regwen_27]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005828
5829 prim_subreg #(
5830 .DW (1),
5831 .SWACCESS("W0C"),
5832 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005833 ) u_mio_pad_attr_regwen_27 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005834 .clk_i (clk_i ),
5835 .rst_ni (rst_ni ),
5836
5837 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005838 .we (mio_pad_attr_regwen_27_we),
5839 .wd (mio_pad_attr_regwen_27_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005840
5841 // from internal hardware
5842 .de (1'b0),
5843 .d ('0 ),
5844
5845 // to internal hardware
5846 .qe (),
5847 .q (),
5848
5849 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005850 .qs (mio_pad_attr_regwen_27_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005851 );
5852
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005853 // Subregister 28 of Multireg mio_pad_attr_regwen
5854 // R[mio_pad_attr_regwen_28]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005855
5856 prim_subreg #(
5857 .DW (1),
5858 .SWACCESS("W0C"),
5859 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005860 ) u_mio_pad_attr_regwen_28 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005861 .clk_i (clk_i ),
5862 .rst_ni (rst_ni ),
5863
5864 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005865 .we (mio_pad_attr_regwen_28_we),
5866 .wd (mio_pad_attr_regwen_28_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005867
5868 // from internal hardware
5869 .de (1'b0),
5870 .d ('0 ),
5871
5872 // to internal hardware
5873 .qe (),
5874 .q (),
5875
5876 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005877 .qs (mio_pad_attr_regwen_28_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005878 );
5879
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005880 // Subregister 29 of Multireg mio_pad_attr_regwen
5881 // R[mio_pad_attr_regwen_29]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005882
5883 prim_subreg #(
5884 .DW (1),
5885 .SWACCESS("W0C"),
5886 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005887 ) u_mio_pad_attr_regwen_29 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005888 .clk_i (clk_i ),
5889 .rst_ni (rst_ni ),
5890
5891 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005892 .we (mio_pad_attr_regwen_29_we),
5893 .wd (mio_pad_attr_regwen_29_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005894
5895 // from internal hardware
5896 .de (1'b0),
5897 .d ('0 ),
5898
5899 // to internal hardware
5900 .qe (),
5901 .q (),
5902
5903 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005904 .qs (mio_pad_attr_regwen_29_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005905 );
5906
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005907 // Subregister 30 of Multireg mio_pad_attr_regwen
5908 // R[mio_pad_attr_regwen_30]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005909
5910 prim_subreg #(
5911 .DW (1),
5912 .SWACCESS("W0C"),
5913 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005914 ) u_mio_pad_attr_regwen_30 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005915 .clk_i (clk_i ),
5916 .rst_ni (rst_ni ),
5917
5918 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005919 .we (mio_pad_attr_regwen_30_we),
5920 .wd (mio_pad_attr_regwen_30_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005921
5922 // from internal hardware
5923 .de (1'b0),
5924 .d ('0 ),
5925
5926 // to internal hardware
5927 .qe (),
5928 .q (),
5929
5930 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005931 .qs (mio_pad_attr_regwen_30_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005932 );
5933
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005934 // Subregister 31 of Multireg mio_pad_attr_regwen
5935 // R[mio_pad_attr_regwen_31]: V(False)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005936
5937 prim_subreg #(
5938 .DW (1),
5939 .SWACCESS("W0C"),
5940 .RESVAL (1'h1)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005941 ) u_mio_pad_attr_regwen_31 (
Michael Schaffner06fdb112021-02-10 16:52:56 -08005942 .clk_i (clk_i ),
5943 .rst_ni (rst_ni ),
5944
5945 // from register interface
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005946 .we (mio_pad_attr_regwen_31_we),
5947 .wd (mio_pad_attr_regwen_31_wd),
Michael Schaffner06fdb112021-02-10 16:52:56 -08005948
5949 // from internal hardware
5950 .de (1'b0),
5951 .d ('0 ),
5952
5953 // to internal hardware
5954 .qe (),
5955 .q (),
5956
5957 // to register interface (read)
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005958 .qs (mio_pad_attr_regwen_31_qs)
Michael Schaffner06fdb112021-02-10 16:52:56 -08005959 );
5960
5961
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005962
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005963 // Subregister 0 of Multireg mio_pad_attr
5964 // R[mio_pad_attr_0]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005965
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005966 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005967 .DW (10)
5968 ) u_mio_pad_attr_0 (
5969 .re (mio_pad_attr_0_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005970 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005971 .we (mio_pad_attr_0_we & mio_pad_attr_regwen_0_qs),
5972 .wd (mio_pad_attr_0_wd),
5973 .d (hw2reg.mio_pad_attr[0].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005974 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005975 .qe (reg2hw.mio_pad_attr[0].qe),
5976 .q (reg2hw.mio_pad_attr[0].q ),
5977 .qs (mio_pad_attr_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005978 );
5979
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005980 // Subregister 1 of Multireg mio_pad_attr
5981 // R[mio_pad_attr_1]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005982
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005983 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005984 .DW (10)
5985 ) u_mio_pad_attr_1 (
5986 .re (mio_pad_attr_1_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005987 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005988 .we (mio_pad_attr_1_we & mio_pad_attr_regwen_1_qs),
5989 .wd (mio_pad_attr_1_wd),
5990 .d (hw2reg.mio_pad_attr[1].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005991 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005992 .qe (reg2hw.mio_pad_attr[1].qe),
5993 .q (reg2hw.mio_pad_attr[1].q ),
5994 .qs (mio_pad_attr_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005995 );
5996
Michael Schaffner43ce8d52021-02-10 17:04:57 -08005997 // Subregister 2 of Multireg mio_pad_attr
5998 // R[mio_pad_attr_2]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07005999
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006000 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006001 .DW (10)
6002 ) u_mio_pad_attr_2 (
6003 .re (mio_pad_attr_2_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006004 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006005 .we (mio_pad_attr_2_we & mio_pad_attr_regwen_2_qs),
6006 .wd (mio_pad_attr_2_wd),
6007 .d (hw2reg.mio_pad_attr[2].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006008 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006009 .qe (reg2hw.mio_pad_attr[2].qe),
6010 .q (reg2hw.mio_pad_attr[2].q ),
6011 .qs (mio_pad_attr_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006012 );
6013
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006014 // Subregister 3 of Multireg mio_pad_attr
6015 // R[mio_pad_attr_3]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006016
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006017 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006018 .DW (10)
6019 ) u_mio_pad_attr_3 (
6020 .re (mio_pad_attr_3_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006021 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006022 .we (mio_pad_attr_3_we & mio_pad_attr_regwen_3_qs),
6023 .wd (mio_pad_attr_3_wd),
6024 .d (hw2reg.mio_pad_attr[3].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006025 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006026 .qe (reg2hw.mio_pad_attr[3].qe),
6027 .q (reg2hw.mio_pad_attr[3].q ),
6028 .qs (mio_pad_attr_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006029 );
6030
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006031 // Subregister 4 of Multireg mio_pad_attr
6032 // R[mio_pad_attr_4]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006033
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006034 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006035 .DW (10)
6036 ) u_mio_pad_attr_4 (
6037 .re (mio_pad_attr_4_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006038 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006039 .we (mio_pad_attr_4_we & mio_pad_attr_regwen_4_qs),
6040 .wd (mio_pad_attr_4_wd),
6041 .d (hw2reg.mio_pad_attr[4].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006042 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006043 .qe (reg2hw.mio_pad_attr[4].qe),
6044 .q (reg2hw.mio_pad_attr[4].q ),
6045 .qs (mio_pad_attr_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006046 );
6047
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006048 // Subregister 5 of Multireg mio_pad_attr
6049 // R[mio_pad_attr_5]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006050
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006051 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006052 .DW (10)
6053 ) u_mio_pad_attr_5 (
6054 .re (mio_pad_attr_5_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006055 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006056 .we (mio_pad_attr_5_we & mio_pad_attr_regwen_5_qs),
6057 .wd (mio_pad_attr_5_wd),
6058 .d (hw2reg.mio_pad_attr[5].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006059 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006060 .qe (reg2hw.mio_pad_attr[5].qe),
6061 .q (reg2hw.mio_pad_attr[5].q ),
6062 .qs (mio_pad_attr_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006063 );
6064
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006065 // Subregister 6 of Multireg mio_pad_attr
6066 // R[mio_pad_attr_6]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006067
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006068 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006069 .DW (10)
6070 ) u_mio_pad_attr_6 (
6071 .re (mio_pad_attr_6_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006072 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006073 .we (mio_pad_attr_6_we & mio_pad_attr_regwen_6_qs),
6074 .wd (mio_pad_attr_6_wd),
6075 .d (hw2reg.mio_pad_attr[6].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006076 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006077 .qe (reg2hw.mio_pad_attr[6].qe),
6078 .q (reg2hw.mio_pad_attr[6].q ),
6079 .qs (mio_pad_attr_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006080 );
6081
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006082 // Subregister 7 of Multireg mio_pad_attr
6083 // R[mio_pad_attr_7]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006084
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006085 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006086 .DW (10)
6087 ) u_mio_pad_attr_7 (
6088 .re (mio_pad_attr_7_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006089 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006090 .we (mio_pad_attr_7_we & mio_pad_attr_regwen_7_qs),
6091 .wd (mio_pad_attr_7_wd),
6092 .d (hw2reg.mio_pad_attr[7].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006093 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006094 .qe (reg2hw.mio_pad_attr[7].qe),
6095 .q (reg2hw.mio_pad_attr[7].q ),
6096 .qs (mio_pad_attr_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006097 );
6098
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006099 // Subregister 8 of Multireg mio_pad_attr
6100 // R[mio_pad_attr_8]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006101
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006102 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006103 .DW (10)
6104 ) u_mio_pad_attr_8 (
6105 .re (mio_pad_attr_8_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006106 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006107 .we (mio_pad_attr_8_we & mio_pad_attr_regwen_8_qs),
6108 .wd (mio_pad_attr_8_wd),
6109 .d (hw2reg.mio_pad_attr[8].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006110 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006111 .qe (reg2hw.mio_pad_attr[8].qe),
6112 .q (reg2hw.mio_pad_attr[8].q ),
6113 .qs (mio_pad_attr_8_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006114 );
6115
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006116 // Subregister 9 of Multireg mio_pad_attr
6117 // R[mio_pad_attr_9]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006118
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006119 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006120 .DW (10)
6121 ) u_mio_pad_attr_9 (
6122 .re (mio_pad_attr_9_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006123 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006124 .we (mio_pad_attr_9_we & mio_pad_attr_regwen_9_qs),
6125 .wd (mio_pad_attr_9_wd),
6126 .d (hw2reg.mio_pad_attr[9].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006127 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006128 .qe (reg2hw.mio_pad_attr[9].qe),
6129 .q (reg2hw.mio_pad_attr[9].q ),
6130 .qs (mio_pad_attr_9_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006131 );
6132
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006133 // Subregister 10 of Multireg mio_pad_attr
6134 // R[mio_pad_attr_10]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006135
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006136 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006137 .DW (10)
6138 ) u_mio_pad_attr_10 (
6139 .re (mio_pad_attr_10_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006140 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006141 .we (mio_pad_attr_10_we & mio_pad_attr_regwen_10_qs),
6142 .wd (mio_pad_attr_10_wd),
6143 .d (hw2reg.mio_pad_attr[10].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006144 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006145 .qe (reg2hw.mio_pad_attr[10].qe),
6146 .q (reg2hw.mio_pad_attr[10].q ),
6147 .qs (mio_pad_attr_10_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006148 );
6149
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006150 // Subregister 11 of Multireg mio_pad_attr
6151 // R[mio_pad_attr_11]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006152
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006153 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006154 .DW (10)
6155 ) u_mio_pad_attr_11 (
6156 .re (mio_pad_attr_11_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006157 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006158 .we (mio_pad_attr_11_we & mio_pad_attr_regwen_11_qs),
6159 .wd (mio_pad_attr_11_wd),
6160 .d (hw2reg.mio_pad_attr[11].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006161 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006162 .qe (reg2hw.mio_pad_attr[11].qe),
6163 .q (reg2hw.mio_pad_attr[11].q ),
6164 .qs (mio_pad_attr_11_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006165 );
6166
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006167 // Subregister 12 of Multireg mio_pad_attr
6168 // R[mio_pad_attr_12]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006169
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006170 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006171 .DW (10)
6172 ) u_mio_pad_attr_12 (
6173 .re (mio_pad_attr_12_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006174 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006175 .we (mio_pad_attr_12_we & mio_pad_attr_regwen_12_qs),
6176 .wd (mio_pad_attr_12_wd),
6177 .d (hw2reg.mio_pad_attr[12].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006178 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006179 .qe (reg2hw.mio_pad_attr[12].qe),
6180 .q (reg2hw.mio_pad_attr[12].q ),
6181 .qs (mio_pad_attr_12_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006182 );
6183
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006184 // Subregister 13 of Multireg mio_pad_attr
6185 // R[mio_pad_attr_13]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006186
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006187 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006188 .DW (10)
6189 ) u_mio_pad_attr_13 (
6190 .re (mio_pad_attr_13_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006191 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006192 .we (mio_pad_attr_13_we & mio_pad_attr_regwen_13_qs),
6193 .wd (mio_pad_attr_13_wd),
6194 .d (hw2reg.mio_pad_attr[13].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006195 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006196 .qe (reg2hw.mio_pad_attr[13].qe),
6197 .q (reg2hw.mio_pad_attr[13].q ),
6198 .qs (mio_pad_attr_13_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006199 );
6200
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006201 // Subregister 14 of Multireg mio_pad_attr
6202 // R[mio_pad_attr_14]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006203
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006204 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006205 .DW (10)
6206 ) u_mio_pad_attr_14 (
6207 .re (mio_pad_attr_14_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006208 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006209 .we (mio_pad_attr_14_we & mio_pad_attr_regwen_14_qs),
6210 .wd (mio_pad_attr_14_wd),
6211 .d (hw2reg.mio_pad_attr[14].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006212 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006213 .qe (reg2hw.mio_pad_attr[14].qe),
6214 .q (reg2hw.mio_pad_attr[14].q ),
6215 .qs (mio_pad_attr_14_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006216 );
6217
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006218 // Subregister 15 of Multireg mio_pad_attr
6219 // R[mio_pad_attr_15]: V(True)
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006220
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006221 prim_subreg_ext #(
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006222 .DW (10)
6223 ) u_mio_pad_attr_15 (
6224 .re (mio_pad_attr_15_re),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006225 // qualified with register enable
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006226 .we (mio_pad_attr_15_we & mio_pad_attr_regwen_15_qs),
6227 .wd (mio_pad_attr_15_wd),
6228 .d (hw2reg.mio_pad_attr[15].d),
Michael Schaffner920e4cc2020-04-28 22:58:12 -07006229 .qre (),
Michael Schaffner43ce8d52021-02-10 17:04:57 -08006230 .qe (reg2hw.mio_pad_attr[15].qe),
6231 .q (reg2hw.mio_pad_attr[15].q ),
6232 .qs (mio_pad_attr_15_qs)
6233 );
6234
6235 // Subregister 16 of Multireg mio_pad_attr
6236 // R[mio_pad_attr_16]: V(True)
6237
6238 prim_subreg_ext #(
6239 .DW (10)
6240 ) u_mio_pad_attr_16 (
6241 .re (mio_pad_attr_16_re),
6242 // qualified with register enable
6243 .we (mio_pad_attr_16_we & mio_pad_attr_regwen_16_qs),
6244 .wd (mio_pad_attr_16_wd),
6245 .d (hw2reg.mio_pad_attr[16].d),
6246 .qre (),
6247 .qe (reg2hw.mio_pad_attr[16].qe),
6248 .q (reg2hw.mio_pad_attr[16].q ),
6249 .qs (mio_pad_attr_16_qs)
6250 );
6251
6252 // Subregister 17 of Multireg mio_pad_attr
6253 // R[mio_pad_attr_17]: V(True)
6254
6255 prim_subreg_ext #(
6256 .DW (10)
6257 ) u_mio_pad_attr_17 (
6258 .re (mio_pad_attr_17_re),
6259 // qualified with register enable
6260 .we (mio_pad_attr_17_we & mio_pad_attr_regwen_17_qs),
6261 .wd (mio_pad_attr_17_wd),
6262 .d (hw2reg.mio_pad_attr[17].d),
6263 .qre (),
6264 .qe (reg2hw.mio_pad_attr[17].qe),
6265 .q (reg2hw.mio_pad_attr[17].q ),
6266 .qs (mio_pad_attr_17_qs)
6267 );
6268
6269 // Subregister 18 of Multireg mio_pad_attr
6270 // R[mio_pad_attr_18]: V(True)
6271
6272 prim_subreg_ext #(
6273 .DW (10)
6274 ) u_mio_pad_attr_18 (
6275 .re (mio_pad_attr_18_re),
6276 // qualified with register enable
6277 .we (mio_pad_attr_18_we & mio_pad_attr_regwen_18_qs),
6278 .wd (mio_pad_attr_18_wd),
6279 .d (hw2reg.mio_pad_attr[18].d),
6280 .qre (),
6281 .qe (reg2hw.mio_pad_attr[18].qe),
6282 .q (reg2hw.mio_pad_attr[18].q ),
6283 .qs (mio_pad_attr_18_qs)
6284 );
6285
6286 // Subregister 19 of Multireg mio_pad_attr
6287 // R[mio_pad_attr_19]: V(True)
6288
6289 prim_subreg_ext #(
6290 .DW (10)
6291 ) u_mio_pad_attr_19 (
6292 .re (mio_pad_attr_19_re),
6293 // qualified with register enable
6294 .we (mio_pad_attr_19_we & mio_pad_attr_regwen_19_qs),
6295 .wd (mio_pad_attr_19_wd),
6296 .d (hw2reg.mio_pad_attr[19].d),
6297 .qre (),
6298 .qe (reg2hw.mio_pad_attr[19].qe),
6299 .q (reg2hw.mio_pad_attr[19].q ),
6300 .qs (mio_pad_attr_19_qs)
6301 );
6302
6303 // Subregister 20 of Multireg mio_pad_attr
6304 // R[mio_pad_attr_20]: V(True)
6305
6306 prim_subreg_ext #(
6307 .DW (10)
6308 ) u_mio_pad_attr_20 (
6309 .re (mio_pad_attr_20_re),
6310 // qualified with register enable
6311 .we (mio_pad_attr_20_we & mio_pad_attr_regwen_20_qs),
6312 .wd (mio_pad_attr_20_wd),
6313 .d (hw2reg.mio_pad_attr[20].d),
6314 .qre (),
6315 .qe (reg2hw.mio_pad_attr[20].qe),
6316 .q (reg2hw.mio_pad_attr[20].q ),
6317 .qs (mio_pad_attr_20_qs)
6318 );
6319
6320 // Subregister 21 of Multireg mio_pad_attr
6321 // R[mio_pad_attr_21]: V(True)
6322
6323 prim_subreg_ext #(
6324 .DW (10)
6325 ) u_mio_pad_attr_21 (
6326 .re (mio_pad_attr_21_re),
6327 // qualified with register enable
6328 .we (mio_pad_attr_21_we & mio_pad_attr_regwen_21_qs),
6329 .wd (mio_pad_attr_21_wd),
6330 .d (hw2reg.mio_pad_attr[21].d),
6331 .qre (),
6332 .qe (reg2hw.mio_pad_attr[21].qe),
6333 .q (reg2hw.mio_pad_attr[21].q ),
6334 .qs (mio_pad_attr_21_qs)
6335 );
6336
6337 // Subregister 22 of Multireg mio_pad_attr
6338 // R[mio_pad_attr_22]: V(True)
6339
6340 prim_subreg_ext #(
6341 .DW (10)
6342 ) u_mio_pad_attr_22 (
6343 .re (mio_pad_attr_22_re),
6344 // qualified with register enable
6345 .we (mio_pad_attr_22_we & mio_pad_attr_regwen_22_qs),
6346 .wd (mio_pad_attr_22_wd),
6347 .d (hw2reg.mio_pad_attr[22].d),
6348 .qre (),
6349 .qe (reg2hw.mio_pad_attr[22].qe),
6350 .q (reg2hw.mio_pad_attr[22].q ),
6351 .qs (mio_pad_attr_22_qs)
6352 );
6353
6354 // Subregister 23 of Multireg mio_pad_attr
6355 // R[mio_pad_attr_23]: V(True)
6356
6357 prim_subreg_ext #(
6358 .DW (10)
6359 ) u_mio_pad_attr_23 (
6360 .re (mio_pad_attr_23_re),
6361 // qualified with register enable
6362 .we (mio_pad_attr_23_we & mio_pad_attr_regwen_23_qs),
6363 .wd (mio_pad_attr_23_wd),
6364 .d (hw2reg.mio_pad_attr[23].d),
6365 .qre (),
6366 .qe (reg2hw.mio_pad_attr[23].qe),
6367 .q (reg2hw.mio_pad_attr[23].q ),
6368 .qs (mio_pad_attr_23_qs)
6369 );
6370
6371 // Subregister 24 of Multireg mio_pad_attr
6372 // R[mio_pad_attr_24]: V(True)
6373
6374 prim_subreg_ext #(
6375 .DW (10)
6376 ) u_mio_pad_attr_24 (
6377 .re (mio_pad_attr_24_re),
6378 // qualified with register enable
6379 .we (mio_pad_attr_24_we & mio_pad_attr_regwen_24_qs),
6380 .wd (mio_pad_attr_24_wd),
6381 .d (hw2reg.mio_pad_attr[24].d),
6382 .qre (),
6383 .qe (reg2hw.mio_pad_attr[24].qe),
6384 .q (reg2hw.mio_pad_attr[24].q ),
6385 .qs (mio_pad_attr_24_qs)
6386 );
6387
6388 // Subregister 25 of Multireg mio_pad_attr
6389 // R[mio_pad_attr_25]: V(True)
6390
6391 prim_subreg_ext #(
6392 .DW (10)
6393 ) u_mio_pad_attr_25 (
6394 .re (mio_pad_attr_25_re),
6395 // qualified with register enable
6396 .we (mio_pad_attr_25_we & mio_pad_attr_regwen_25_qs),
6397 .wd (mio_pad_attr_25_wd),
6398 .d (hw2reg.mio_pad_attr[25].d),
6399 .qre (),
6400 .qe (reg2hw.mio_pad_attr[25].qe),
6401 .q (reg2hw.mio_pad_attr[25].q ),
6402 .qs (mio_pad_attr_25_qs)
6403 );
6404
6405 // Subregister 26 of Multireg mio_pad_attr
6406 // R[mio_pad_attr_26]: V(True)
6407
6408 prim_subreg_ext #(
6409 .DW (10)
6410 ) u_mio_pad_attr_26 (
6411 .re (mio_pad_attr_26_re),
6412 // qualified with register enable
6413 .we (mio_pad_attr_26_we & mio_pad_attr_regwen_26_qs),
6414 .wd (mio_pad_attr_26_wd),
6415 .d (hw2reg.mio_pad_attr[26].d),
6416 .qre (),
6417 .qe (reg2hw.mio_pad_attr[26].qe),
6418 .q (reg2hw.mio_pad_attr[26].q ),
6419 .qs (mio_pad_attr_26_qs)
6420 );
6421
6422 // Subregister 27 of Multireg mio_pad_attr
6423 // R[mio_pad_attr_27]: V(True)
6424
6425 prim_subreg_ext #(
6426 .DW (10)
6427 ) u_mio_pad_attr_27 (
6428 .re (mio_pad_attr_27_re),
6429 // qualified with register enable
6430 .we (mio_pad_attr_27_we & mio_pad_attr_regwen_27_qs),
6431 .wd (mio_pad_attr_27_wd),
6432 .d (hw2reg.mio_pad_attr[27].d),
6433 .qre (),
6434 .qe (reg2hw.mio_pad_attr[27].qe),
6435 .q (reg2hw.mio_pad_attr[27].q ),
6436 .qs (mio_pad_attr_27_qs)
6437 );
6438
6439 // Subregister 28 of Multireg mio_pad_attr
6440 // R[mio_pad_attr_28]: V(True)
6441
6442 prim_subreg_ext #(
6443 .DW (10)
6444 ) u_mio_pad_attr_28 (
6445 .re (mio_pad_attr_28_re),
6446 // qualified with register enable
6447 .we (mio_pad_attr_28_we & mio_pad_attr_regwen_28_qs),
6448 .wd (mio_pad_attr_28_wd),
6449 .d (hw2reg.mio_pad_attr[28].d),
6450 .qre (),
6451 .qe (reg2hw.mio_pad_attr[28].qe),
6452 .q (reg2hw.mio_pad_attr[28].q ),
6453 .qs (mio_pad_attr_28_qs)
6454 );
6455
6456 // Subregister 29 of Multireg mio_pad_attr
6457 // R[mio_pad_attr_29]: V(True)
6458
6459 prim_subreg_ext #(
6460 .DW (10)
6461 ) u_mio_pad_attr_29 (
6462 .re (mio_pad_attr_29_re),
6463 // qualified with register enable
6464 .we (mio_pad_attr_29_we & mio_pad_attr_regwen_29_qs),
6465 .wd (mio_pad_attr_29_wd),
6466 .d (hw2reg.mio_pad_attr[29].d),
6467 .qre (),
6468 .qe (reg2hw.mio_pad_attr[29].qe),
6469 .q (reg2hw.mio_pad_attr[29].q ),
6470 .qs (mio_pad_attr_29_qs)
6471 );
6472
6473 // Subregister 30 of Multireg mio_pad_attr
6474 // R[mio_pad_attr_30]: V(True)
6475
6476 prim_subreg_ext #(
6477 .DW (10)
6478 ) u_mio_pad_attr_30 (
6479 .re (mio_pad_attr_30_re),
6480 // qualified with register enable
6481 .we (mio_pad_attr_30_we & mio_pad_attr_regwen_30_qs),
6482 .wd (mio_pad_attr_30_wd),
6483 .d (hw2reg.mio_pad_attr[30].d),
6484 .qre (),
6485 .qe (reg2hw.mio_pad_attr[30].qe),
6486 .q (reg2hw.mio_pad_attr[30].q ),
6487 .qs (mio_pad_attr_30_qs)
6488 );
6489
6490 // Subregister 31 of Multireg mio_pad_attr
6491 // R[mio_pad_attr_31]: V(True)
6492
6493 prim_subreg_ext #(
6494 .DW (10)
6495 ) u_mio_pad_attr_31 (
6496 .re (mio_pad_attr_31_re),
6497 // qualified with register enable
6498 .we (mio_pad_attr_31_we & mio_pad_attr_regwen_31_qs),
6499 .wd (mio_pad_attr_31_wd),
6500 .d (hw2reg.mio_pad_attr[31].d),
6501 .qre (),
6502 .qe (reg2hw.mio_pad_attr[31].qe),
6503 .q (reg2hw.mio_pad_attr[31].q ),
6504 .qs (mio_pad_attr_31_qs)
6505 );
6506
6507
6508
6509 // Subregister 0 of Multireg dio_pad_attr_regwen
6510 // R[dio_pad_attr_regwen_0]: V(False)
6511
6512 prim_subreg #(
6513 .DW (1),
6514 .SWACCESS("W0C"),
6515 .RESVAL (1'h1)
6516 ) u_dio_pad_attr_regwen_0 (
6517 .clk_i (clk_i ),
6518 .rst_ni (rst_ni ),
6519
6520 // from register interface
6521 .we (dio_pad_attr_regwen_0_we),
6522 .wd (dio_pad_attr_regwen_0_wd),
6523
6524 // from internal hardware
6525 .de (1'b0),
6526 .d ('0 ),
6527
6528 // to internal hardware
6529 .qe (),
6530 .q (),
6531
6532 // to register interface (read)
6533 .qs (dio_pad_attr_regwen_0_qs)
6534 );
6535
6536 // Subregister 1 of Multireg dio_pad_attr_regwen
6537 // R[dio_pad_attr_regwen_1]: V(False)
6538
6539 prim_subreg #(
6540 .DW (1),
6541 .SWACCESS("W0C"),
6542 .RESVAL (1'h1)
6543 ) u_dio_pad_attr_regwen_1 (
6544 .clk_i (clk_i ),
6545 .rst_ni (rst_ni ),
6546
6547 // from register interface
6548 .we (dio_pad_attr_regwen_1_we),
6549 .wd (dio_pad_attr_regwen_1_wd),
6550
6551 // from internal hardware
6552 .de (1'b0),
6553 .d ('0 ),
6554
6555 // to internal hardware
6556 .qe (),
6557 .q (),
6558
6559 // to register interface (read)
6560 .qs (dio_pad_attr_regwen_1_qs)
6561 );
6562
6563 // Subregister 2 of Multireg dio_pad_attr_regwen
6564 // R[dio_pad_attr_regwen_2]: V(False)
6565
6566 prim_subreg #(
6567 .DW (1),
6568 .SWACCESS("W0C"),
6569 .RESVAL (1'h1)
6570 ) u_dio_pad_attr_regwen_2 (
6571 .clk_i (clk_i ),
6572 .rst_ni (rst_ni ),
6573
6574 // from register interface
6575 .we (dio_pad_attr_regwen_2_we),
6576 .wd (dio_pad_attr_regwen_2_wd),
6577
6578 // from internal hardware
6579 .de (1'b0),
6580 .d ('0 ),
6581
6582 // to internal hardware
6583 .qe (),
6584 .q (),
6585
6586 // to register interface (read)
6587 .qs (dio_pad_attr_regwen_2_qs)
6588 );
6589
6590 // Subregister 3 of Multireg dio_pad_attr_regwen
6591 // R[dio_pad_attr_regwen_3]: V(False)
6592
6593 prim_subreg #(
6594 .DW (1),
6595 .SWACCESS("W0C"),
6596 .RESVAL (1'h1)
6597 ) u_dio_pad_attr_regwen_3 (
6598 .clk_i (clk_i ),
6599 .rst_ni (rst_ni ),
6600
6601 // from register interface
6602 .we (dio_pad_attr_regwen_3_we),
6603 .wd (dio_pad_attr_regwen_3_wd),
6604
6605 // from internal hardware
6606 .de (1'b0),
6607 .d ('0 ),
6608
6609 // to internal hardware
6610 .qe (),
6611 .q (),
6612
6613 // to register interface (read)
6614 .qs (dio_pad_attr_regwen_3_qs)
6615 );
6616
6617 // Subregister 4 of Multireg dio_pad_attr_regwen
6618 // R[dio_pad_attr_regwen_4]: V(False)
6619
6620 prim_subreg #(
6621 .DW (1),
6622 .SWACCESS("W0C"),
6623 .RESVAL (1'h1)
6624 ) u_dio_pad_attr_regwen_4 (
6625 .clk_i (clk_i ),
6626 .rst_ni (rst_ni ),
6627
6628 // from register interface
6629 .we (dio_pad_attr_regwen_4_we),
6630 .wd (dio_pad_attr_regwen_4_wd),
6631
6632 // from internal hardware
6633 .de (1'b0),
6634 .d ('0 ),
6635
6636 // to internal hardware
6637 .qe (),
6638 .q (),
6639
6640 // to register interface (read)
6641 .qs (dio_pad_attr_regwen_4_qs)
6642 );
6643
6644 // Subregister 5 of Multireg dio_pad_attr_regwen
6645 // R[dio_pad_attr_regwen_5]: V(False)
6646
6647 prim_subreg #(
6648 .DW (1),
6649 .SWACCESS("W0C"),
6650 .RESVAL (1'h1)
6651 ) u_dio_pad_attr_regwen_5 (
6652 .clk_i (clk_i ),
6653 .rst_ni (rst_ni ),
6654
6655 // from register interface
6656 .we (dio_pad_attr_regwen_5_we),
6657 .wd (dio_pad_attr_regwen_5_wd),
6658
6659 // from internal hardware
6660 .de (1'b0),
6661 .d ('0 ),
6662
6663 // to internal hardware
6664 .qe (),
6665 .q (),
6666
6667 // to register interface (read)
6668 .qs (dio_pad_attr_regwen_5_qs)
6669 );
6670
6671 // Subregister 6 of Multireg dio_pad_attr_regwen
6672 // R[dio_pad_attr_regwen_6]: V(False)
6673
6674 prim_subreg #(
6675 .DW (1),
6676 .SWACCESS("W0C"),
6677 .RESVAL (1'h1)
6678 ) u_dio_pad_attr_regwen_6 (
6679 .clk_i (clk_i ),
6680 .rst_ni (rst_ni ),
6681
6682 // from register interface
6683 .we (dio_pad_attr_regwen_6_we),
6684 .wd (dio_pad_attr_regwen_6_wd),
6685
6686 // from internal hardware
6687 .de (1'b0),
6688 .d ('0 ),
6689
6690 // to internal hardware
6691 .qe (),
6692 .q (),
6693
6694 // to register interface (read)
6695 .qs (dio_pad_attr_regwen_6_qs)
6696 );
6697
6698 // Subregister 7 of Multireg dio_pad_attr_regwen
6699 // R[dio_pad_attr_regwen_7]: V(False)
6700
6701 prim_subreg #(
6702 .DW (1),
6703 .SWACCESS("W0C"),
6704 .RESVAL (1'h1)
6705 ) u_dio_pad_attr_regwen_7 (
6706 .clk_i (clk_i ),
6707 .rst_ni (rst_ni ),
6708
6709 // from register interface
6710 .we (dio_pad_attr_regwen_7_we),
6711 .wd (dio_pad_attr_regwen_7_wd),
6712
6713 // from internal hardware
6714 .de (1'b0),
6715 .d ('0 ),
6716
6717 // to internal hardware
6718 .qe (),
6719 .q (),
6720
6721 // to register interface (read)
6722 .qs (dio_pad_attr_regwen_7_qs)
6723 );
6724
6725 // Subregister 8 of Multireg dio_pad_attr_regwen
6726 // R[dio_pad_attr_regwen_8]: V(False)
6727
6728 prim_subreg #(
6729 .DW (1),
6730 .SWACCESS("W0C"),
6731 .RESVAL (1'h1)
6732 ) u_dio_pad_attr_regwen_8 (
6733 .clk_i (clk_i ),
6734 .rst_ni (rst_ni ),
6735
6736 // from register interface
6737 .we (dio_pad_attr_regwen_8_we),
6738 .wd (dio_pad_attr_regwen_8_wd),
6739
6740 // from internal hardware
6741 .de (1'b0),
6742 .d ('0 ),
6743
6744 // to internal hardware
6745 .qe (),
6746 .q (),
6747
6748 // to register interface (read)
6749 .qs (dio_pad_attr_regwen_8_qs)
6750 );
6751
6752 // Subregister 9 of Multireg dio_pad_attr_regwen
6753 // R[dio_pad_attr_regwen_9]: V(False)
6754
6755 prim_subreg #(
6756 .DW (1),
6757 .SWACCESS("W0C"),
6758 .RESVAL (1'h1)
6759 ) u_dio_pad_attr_regwen_9 (
6760 .clk_i (clk_i ),
6761 .rst_ni (rst_ni ),
6762
6763 // from register interface
6764 .we (dio_pad_attr_regwen_9_we),
6765 .wd (dio_pad_attr_regwen_9_wd),
6766
6767 // from internal hardware
6768 .de (1'b0),
6769 .d ('0 ),
6770
6771 // to internal hardware
6772 .qe (),
6773 .q (),
6774
6775 // to register interface (read)
6776 .qs (dio_pad_attr_regwen_9_qs)
6777 );
6778
6779 // Subregister 10 of Multireg dio_pad_attr_regwen
6780 // R[dio_pad_attr_regwen_10]: V(False)
6781
6782 prim_subreg #(
6783 .DW (1),
6784 .SWACCESS("W0C"),
6785 .RESVAL (1'h1)
6786 ) u_dio_pad_attr_regwen_10 (
6787 .clk_i (clk_i ),
6788 .rst_ni (rst_ni ),
6789
6790 // from register interface
6791 .we (dio_pad_attr_regwen_10_we),
6792 .wd (dio_pad_attr_regwen_10_wd),
6793
6794 // from internal hardware
6795 .de (1'b0),
6796 .d ('0 ),
6797
6798 // to internal hardware
6799 .qe (),
6800 .q (),
6801
6802 // to register interface (read)
6803 .qs (dio_pad_attr_regwen_10_qs)
6804 );
6805
6806 // Subregister 11 of Multireg dio_pad_attr_regwen
6807 // R[dio_pad_attr_regwen_11]: V(False)
6808
6809 prim_subreg #(
6810 .DW (1),
6811 .SWACCESS("W0C"),
6812 .RESVAL (1'h1)
6813 ) u_dio_pad_attr_regwen_11 (
6814 .clk_i (clk_i ),
6815 .rst_ni (rst_ni ),
6816
6817 // from register interface
6818 .we (dio_pad_attr_regwen_11_we),
6819 .wd (dio_pad_attr_regwen_11_wd),
6820
6821 // from internal hardware
6822 .de (1'b0),
6823 .d ('0 ),
6824
6825 // to internal hardware
6826 .qe (),
6827 .q (),
6828
6829 // to register interface (read)
6830 .qs (dio_pad_attr_regwen_11_qs)
6831 );
6832
6833 // Subregister 12 of Multireg dio_pad_attr_regwen
6834 // R[dio_pad_attr_regwen_12]: V(False)
6835
6836 prim_subreg #(
6837 .DW (1),
6838 .SWACCESS("W0C"),
6839 .RESVAL (1'h1)
6840 ) u_dio_pad_attr_regwen_12 (
6841 .clk_i (clk_i ),
6842 .rst_ni (rst_ni ),
6843
6844 // from register interface
6845 .we (dio_pad_attr_regwen_12_we),
6846 .wd (dio_pad_attr_regwen_12_wd),
6847
6848 // from internal hardware
6849 .de (1'b0),
6850 .d ('0 ),
6851
6852 // to internal hardware
6853 .qe (),
6854 .q (),
6855
6856 // to register interface (read)
6857 .qs (dio_pad_attr_regwen_12_qs)
6858 );
6859
6860 // Subregister 13 of Multireg dio_pad_attr_regwen
6861 // R[dio_pad_attr_regwen_13]: V(False)
6862
6863 prim_subreg #(
6864 .DW (1),
6865 .SWACCESS("W0C"),
6866 .RESVAL (1'h1)
6867 ) u_dio_pad_attr_regwen_13 (
6868 .clk_i (clk_i ),
6869 .rst_ni (rst_ni ),
6870
6871 // from register interface
6872 .we (dio_pad_attr_regwen_13_we),
6873 .wd (dio_pad_attr_regwen_13_wd),
6874
6875 // from internal hardware
6876 .de (1'b0),
6877 .d ('0 ),
6878
6879 // to internal hardware
6880 .qe (),
6881 .q (),
6882
6883 // to register interface (read)
6884 .qs (dio_pad_attr_regwen_13_qs)
6885 );
6886
6887 // Subregister 14 of Multireg dio_pad_attr_regwen
6888 // R[dio_pad_attr_regwen_14]: V(False)
6889
6890 prim_subreg #(
6891 .DW (1),
6892 .SWACCESS("W0C"),
6893 .RESVAL (1'h1)
6894 ) u_dio_pad_attr_regwen_14 (
6895 .clk_i (clk_i ),
6896 .rst_ni (rst_ni ),
6897
6898 // from register interface
6899 .we (dio_pad_attr_regwen_14_we),
6900 .wd (dio_pad_attr_regwen_14_wd),
6901
6902 // from internal hardware
6903 .de (1'b0),
6904 .d ('0 ),
6905
6906 // to internal hardware
6907 .qe (),
6908 .q (),
6909
6910 // to register interface (read)
6911 .qs (dio_pad_attr_regwen_14_qs)
6912 );
6913
6914 // Subregister 15 of Multireg dio_pad_attr_regwen
6915 // R[dio_pad_attr_regwen_15]: V(False)
6916
6917 prim_subreg #(
6918 .DW (1),
6919 .SWACCESS("W0C"),
6920 .RESVAL (1'h1)
6921 ) u_dio_pad_attr_regwen_15 (
6922 .clk_i (clk_i ),
6923 .rst_ni (rst_ni ),
6924
6925 // from register interface
6926 .we (dio_pad_attr_regwen_15_we),
6927 .wd (dio_pad_attr_regwen_15_wd),
6928
6929 // from internal hardware
6930 .de (1'b0),
6931 .d ('0 ),
6932
6933 // to internal hardware
6934 .qe (),
6935 .q (),
6936
6937 // to register interface (read)
6938 .qs (dio_pad_attr_regwen_15_qs)
6939 );
6940
6941
6942
6943 // Subregister 0 of Multireg dio_pad_attr
6944 // R[dio_pad_attr_0]: V(True)
6945
6946 prim_subreg_ext #(
6947 .DW (10)
6948 ) u_dio_pad_attr_0 (
6949 .re (dio_pad_attr_0_re),
6950 // qualified with register enable
6951 .we (dio_pad_attr_0_we & dio_pad_attr_regwen_0_qs),
6952 .wd (dio_pad_attr_0_wd),
6953 .d (hw2reg.dio_pad_attr[0].d),
6954 .qre (),
6955 .qe (reg2hw.dio_pad_attr[0].qe),
6956 .q (reg2hw.dio_pad_attr[0].q ),
6957 .qs (dio_pad_attr_0_qs)
6958 );
6959
6960 // Subregister 1 of Multireg dio_pad_attr
6961 // R[dio_pad_attr_1]: V(True)
6962
6963 prim_subreg_ext #(
6964 .DW (10)
6965 ) u_dio_pad_attr_1 (
6966 .re (dio_pad_attr_1_re),
6967 // qualified with register enable
6968 .we (dio_pad_attr_1_we & dio_pad_attr_regwen_1_qs),
6969 .wd (dio_pad_attr_1_wd),
6970 .d (hw2reg.dio_pad_attr[1].d),
6971 .qre (),
6972 .qe (reg2hw.dio_pad_attr[1].qe),
6973 .q (reg2hw.dio_pad_attr[1].q ),
6974 .qs (dio_pad_attr_1_qs)
6975 );
6976
6977 // Subregister 2 of Multireg dio_pad_attr
6978 // R[dio_pad_attr_2]: V(True)
6979
6980 prim_subreg_ext #(
6981 .DW (10)
6982 ) u_dio_pad_attr_2 (
6983 .re (dio_pad_attr_2_re),
6984 // qualified with register enable
6985 .we (dio_pad_attr_2_we & dio_pad_attr_regwen_2_qs),
6986 .wd (dio_pad_attr_2_wd),
6987 .d (hw2reg.dio_pad_attr[2].d),
6988 .qre (),
6989 .qe (reg2hw.dio_pad_attr[2].qe),
6990 .q (reg2hw.dio_pad_attr[2].q ),
6991 .qs (dio_pad_attr_2_qs)
6992 );
6993
6994 // Subregister 3 of Multireg dio_pad_attr
6995 // R[dio_pad_attr_3]: V(True)
6996
6997 prim_subreg_ext #(
6998 .DW (10)
6999 ) u_dio_pad_attr_3 (
7000 .re (dio_pad_attr_3_re),
7001 // qualified with register enable
7002 .we (dio_pad_attr_3_we & dio_pad_attr_regwen_3_qs),
7003 .wd (dio_pad_attr_3_wd),
7004 .d (hw2reg.dio_pad_attr[3].d),
7005 .qre (),
7006 .qe (reg2hw.dio_pad_attr[3].qe),
7007 .q (reg2hw.dio_pad_attr[3].q ),
7008 .qs (dio_pad_attr_3_qs)
7009 );
7010
7011 // Subregister 4 of Multireg dio_pad_attr
7012 // R[dio_pad_attr_4]: V(True)
7013
7014 prim_subreg_ext #(
7015 .DW (10)
7016 ) u_dio_pad_attr_4 (
7017 .re (dio_pad_attr_4_re),
7018 // qualified with register enable
7019 .we (dio_pad_attr_4_we & dio_pad_attr_regwen_4_qs),
7020 .wd (dio_pad_attr_4_wd),
7021 .d (hw2reg.dio_pad_attr[4].d),
7022 .qre (),
7023 .qe (reg2hw.dio_pad_attr[4].qe),
7024 .q (reg2hw.dio_pad_attr[4].q ),
7025 .qs (dio_pad_attr_4_qs)
7026 );
7027
7028 // Subregister 5 of Multireg dio_pad_attr
7029 // R[dio_pad_attr_5]: V(True)
7030
7031 prim_subreg_ext #(
7032 .DW (10)
7033 ) u_dio_pad_attr_5 (
7034 .re (dio_pad_attr_5_re),
7035 // qualified with register enable
7036 .we (dio_pad_attr_5_we & dio_pad_attr_regwen_5_qs),
7037 .wd (dio_pad_attr_5_wd),
7038 .d (hw2reg.dio_pad_attr[5].d),
7039 .qre (),
7040 .qe (reg2hw.dio_pad_attr[5].qe),
7041 .q (reg2hw.dio_pad_attr[5].q ),
7042 .qs (dio_pad_attr_5_qs)
7043 );
7044
7045 // Subregister 6 of Multireg dio_pad_attr
7046 // R[dio_pad_attr_6]: V(True)
7047
7048 prim_subreg_ext #(
7049 .DW (10)
7050 ) u_dio_pad_attr_6 (
7051 .re (dio_pad_attr_6_re),
7052 // qualified with register enable
7053 .we (dio_pad_attr_6_we & dio_pad_attr_regwen_6_qs),
7054 .wd (dio_pad_attr_6_wd),
7055 .d (hw2reg.dio_pad_attr[6].d),
7056 .qre (),
7057 .qe (reg2hw.dio_pad_attr[6].qe),
7058 .q (reg2hw.dio_pad_attr[6].q ),
7059 .qs (dio_pad_attr_6_qs)
7060 );
7061
7062 // Subregister 7 of Multireg dio_pad_attr
7063 // R[dio_pad_attr_7]: V(True)
7064
7065 prim_subreg_ext #(
7066 .DW (10)
7067 ) u_dio_pad_attr_7 (
7068 .re (dio_pad_attr_7_re),
7069 // qualified with register enable
7070 .we (dio_pad_attr_7_we & dio_pad_attr_regwen_7_qs),
7071 .wd (dio_pad_attr_7_wd),
7072 .d (hw2reg.dio_pad_attr[7].d),
7073 .qre (),
7074 .qe (reg2hw.dio_pad_attr[7].qe),
7075 .q (reg2hw.dio_pad_attr[7].q ),
7076 .qs (dio_pad_attr_7_qs)
7077 );
7078
7079 // Subregister 8 of Multireg dio_pad_attr
7080 // R[dio_pad_attr_8]: V(True)
7081
7082 prim_subreg_ext #(
7083 .DW (10)
7084 ) u_dio_pad_attr_8 (
7085 .re (dio_pad_attr_8_re),
7086 // qualified with register enable
7087 .we (dio_pad_attr_8_we & dio_pad_attr_regwen_8_qs),
7088 .wd (dio_pad_attr_8_wd),
7089 .d (hw2reg.dio_pad_attr[8].d),
7090 .qre (),
7091 .qe (reg2hw.dio_pad_attr[8].qe),
7092 .q (reg2hw.dio_pad_attr[8].q ),
7093 .qs (dio_pad_attr_8_qs)
7094 );
7095
7096 // Subregister 9 of Multireg dio_pad_attr
7097 // R[dio_pad_attr_9]: V(True)
7098
7099 prim_subreg_ext #(
7100 .DW (10)
7101 ) u_dio_pad_attr_9 (
7102 .re (dio_pad_attr_9_re),
7103 // qualified with register enable
7104 .we (dio_pad_attr_9_we & dio_pad_attr_regwen_9_qs),
7105 .wd (dio_pad_attr_9_wd),
7106 .d (hw2reg.dio_pad_attr[9].d),
7107 .qre (),
7108 .qe (reg2hw.dio_pad_attr[9].qe),
7109 .q (reg2hw.dio_pad_attr[9].q ),
7110 .qs (dio_pad_attr_9_qs)
7111 );
7112
7113 // Subregister 10 of Multireg dio_pad_attr
7114 // R[dio_pad_attr_10]: V(True)
7115
7116 prim_subreg_ext #(
7117 .DW (10)
7118 ) u_dio_pad_attr_10 (
7119 .re (dio_pad_attr_10_re),
7120 // qualified with register enable
7121 .we (dio_pad_attr_10_we & dio_pad_attr_regwen_10_qs),
7122 .wd (dio_pad_attr_10_wd),
7123 .d (hw2reg.dio_pad_attr[10].d),
7124 .qre (),
7125 .qe (reg2hw.dio_pad_attr[10].qe),
7126 .q (reg2hw.dio_pad_attr[10].q ),
7127 .qs (dio_pad_attr_10_qs)
7128 );
7129
7130 // Subregister 11 of Multireg dio_pad_attr
7131 // R[dio_pad_attr_11]: V(True)
7132
7133 prim_subreg_ext #(
7134 .DW (10)
7135 ) u_dio_pad_attr_11 (
7136 .re (dio_pad_attr_11_re),
7137 // qualified with register enable
7138 .we (dio_pad_attr_11_we & dio_pad_attr_regwen_11_qs),
7139 .wd (dio_pad_attr_11_wd),
7140 .d (hw2reg.dio_pad_attr[11].d),
7141 .qre (),
7142 .qe (reg2hw.dio_pad_attr[11].qe),
7143 .q (reg2hw.dio_pad_attr[11].q ),
7144 .qs (dio_pad_attr_11_qs)
7145 );
7146
7147 // Subregister 12 of Multireg dio_pad_attr
7148 // R[dio_pad_attr_12]: V(True)
7149
7150 prim_subreg_ext #(
7151 .DW (10)
7152 ) u_dio_pad_attr_12 (
7153 .re (dio_pad_attr_12_re),
7154 // qualified with register enable
7155 .we (dio_pad_attr_12_we & dio_pad_attr_regwen_12_qs),
7156 .wd (dio_pad_attr_12_wd),
7157 .d (hw2reg.dio_pad_attr[12].d),
7158 .qre (),
7159 .qe (reg2hw.dio_pad_attr[12].qe),
7160 .q (reg2hw.dio_pad_attr[12].q ),
7161 .qs (dio_pad_attr_12_qs)
7162 );
7163
7164 // Subregister 13 of Multireg dio_pad_attr
7165 // R[dio_pad_attr_13]: V(True)
7166
7167 prim_subreg_ext #(
7168 .DW (10)
7169 ) u_dio_pad_attr_13 (
7170 .re (dio_pad_attr_13_re),
7171 // qualified with register enable
7172 .we (dio_pad_attr_13_we & dio_pad_attr_regwen_13_qs),
7173 .wd (dio_pad_attr_13_wd),
7174 .d (hw2reg.dio_pad_attr[13].d),
7175 .qre (),
7176 .qe (reg2hw.dio_pad_attr[13].qe),
7177 .q (reg2hw.dio_pad_attr[13].q ),
7178 .qs (dio_pad_attr_13_qs)
7179 );
7180
7181 // Subregister 14 of Multireg dio_pad_attr
7182 // R[dio_pad_attr_14]: V(True)
7183
7184 prim_subreg_ext #(
7185 .DW (10)
7186 ) u_dio_pad_attr_14 (
7187 .re (dio_pad_attr_14_re),
7188 // qualified with register enable
7189 .we (dio_pad_attr_14_we & dio_pad_attr_regwen_14_qs),
7190 .wd (dio_pad_attr_14_wd),
7191 .d (hw2reg.dio_pad_attr[14].d),
7192 .qre (),
7193 .qe (reg2hw.dio_pad_attr[14].qe),
7194 .q (reg2hw.dio_pad_attr[14].q ),
7195 .qs (dio_pad_attr_14_qs)
7196 );
7197
7198 // Subregister 15 of Multireg dio_pad_attr
7199 // R[dio_pad_attr_15]: V(True)
7200
7201 prim_subreg_ext #(
7202 .DW (10)
7203 ) u_dio_pad_attr_15 (
7204 .re (dio_pad_attr_15_re),
7205 // qualified with register enable
7206 .we (dio_pad_attr_15_we & dio_pad_attr_regwen_15_qs),
7207 .wd (dio_pad_attr_15_wd),
7208 .d (hw2reg.dio_pad_attr[15].d),
7209 .qre (),
7210 .qe (reg2hw.dio_pad_attr[15].qe),
7211 .q (reg2hw.dio_pad_attr[15].q ),
7212 .qs (dio_pad_attr_15_qs)
7213 );
7214
7215
7216
7217 // Subregister 0 of Multireg mio_pad_sleep_status
7218 // R[mio_pad_sleep_status]: V(False)
7219
7220 // F[en_0]: 0:0
7221 prim_subreg #(
7222 .DW (1),
7223 .SWACCESS("W0C"),
7224 .RESVAL (1'h0)
7225 ) u_mio_pad_sleep_status_en_0 (
7226 .clk_i (clk_i ),
7227 .rst_ni (rst_ni ),
7228
7229 // from register interface
7230 .we (mio_pad_sleep_status_en_0_we),
7231 .wd (mio_pad_sleep_status_en_0_wd),
7232
7233 // from internal hardware
7234 .de (hw2reg.mio_pad_sleep_status[0].de),
7235 .d (hw2reg.mio_pad_sleep_status[0].d ),
7236
7237 // to internal hardware
7238 .qe (),
7239 .q (reg2hw.mio_pad_sleep_status[0].q ),
7240
7241 // to register interface (read)
7242 .qs (mio_pad_sleep_status_en_0_qs)
7243 );
7244
7245
7246 // F[en_1]: 1:1
7247 prim_subreg #(
7248 .DW (1),
7249 .SWACCESS("W0C"),
7250 .RESVAL (1'h0)
7251 ) u_mio_pad_sleep_status_en_1 (
7252 .clk_i (clk_i ),
7253 .rst_ni (rst_ni ),
7254
7255 // from register interface
7256 .we (mio_pad_sleep_status_en_1_we),
7257 .wd (mio_pad_sleep_status_en_1_wd),
7258
7259 // from internal hardware
7260 .de (hw2reg.mio_pad_sleep_status[1].de),
7261 .d (hw2reg.mio_pad_sleep_status[1].d ),
7262
7263 // to internal hardware
7264 .qe (),
7265 .q (reg2hw.mio_pad_sleep_status[1].q ),
7266
7267 // to register interface (read)
7268 .qs (mio_pad_sleep_status_en_1_qs)
7269 );
7270
7271
7272 // F[en_2]: 2:2
7273 prim_subreg #(
7274 .DW (1),
7275 .SWACCESS("W0C"),
7276 .RESVAL (1'h0)
7277 ) u_mio_pad_sleep_status_en_2 (
7278 .clk_i (clk_i ),
7279 .rst_ni (rst_ni ),
7280
7281 // from register interface
7282 .we (mio_pad_sleep_status_en_2_we),
7283 .wd (mio_pad_sleep_status_en_2_wd),
7284
7285 // from internal hardware
7286 .de (hw2reg.mio_pad_sleep_status[2].de),
7287 .d (hw2reg.mio_pad_sleep_status[2].d ),
7288
7289 // to internal hardware
7290 .qe (),
7291 .q (reg2hw.mio_pad_sleep_status[2].q ),
7292
7293 // to register interface (read)
7294 .qs (mio_pad_sleep_status_en_2_qs)
7295 );
7296
7297
7298 // F[en_3]: 3:3
7299 prim_subreg #(
7300 .DW (1),
7301 .SWACCESS("W0C"),
7302 .RESVAL (1'h0)
7303 ) u_mio_pad_sleep_status_en_3 (
7304 .clk_i (clk_i ),
7305 .rst_ni (rst_ni ),
7306
7307 // from register interface
7308 .we (mio_pad_sleep_status_en_3_we),
7309 .wd (mio_pad_sleep_status_en_3_wd),
7310
7311 // from internal hardware
7312 .de (hw2reg.mio_pad_sleep_status[3].de),
7313 .d (hw2reg.mio_pad_sleep_status[3].d ),
7314
7315 // to internal hardware
7316 .qe (),
7317 .q (reg2hw.mio_pad_sleep_status[3].q ),
7318
7319 // to register interface (read)
7320 .qs (mio_pad_sleep_status_en_3_qs)
7321 );
7322
7323
7324 // F[en_4]: 4:4
7325 prim_subreg #(
7326 .DW (1),
7327 .SWACCESS("W0C"),
7328 .RESVAL (1'h0)
7329 ) u_mio_pad_sleep_status_en_4 (
7330 .clk_i (clk_i ),
7331 .rst_ni (rst_ni ),
7332
7333 // from register interface
7334 .we (mio_pad_sleep_status_en_4_we),
7335 .wd (mio_pad_sleep_status_en_4_wd),
7336
7337 // from internal hardware
7338 .de (hw2reg.mio_pad_sleep_status[4].de),
7339 .d (hw2reg.mio_pad_sleep_status[4].d ),
7340
7341 // to internal hardware
7342 .qe (),
7343 .q (reg2hw.mio_pad_sleep_status[4].q ),
7344
7345 // to register interface (read)
7346 .qs (mio_pad_sleep_status_en_4_qs)
7347 );
7348
7349
7350 // F[en_5]: 5:5
7351 prim_subreg #(
7352 .DW (1),
7353 .SWACCESS("W0C"),
7354 .RESVAL (1'h0)
7355 ) u_mio_pad_sleep_status_en_5 (
7356 .clk_i (clk_i ),
7357 .rst_ni (rst_ni ),
7358
7359 // from register interface
7360 .we (mio_pad_sleep_status_en_5_we),
7361 .wd (mio_pad_sleep_status_en_5_wd),
7362
7363 // from internal hardware
7364 .de (hw2reg.mio_pad_sleep_status[5].de),
7365 .d (hw2reg.mio_pad_sleep_status[5].d ),
7366
7367 // to internal hardware
7368 .qe (),
7369 .q (reg2hw.mio_pad_sleep_status[5].q ),
7370
7371 // to register interface (read)
7372 .qs (mio_pad_sleep_status_en_5_qs)
7373 );
7374
7375
7376 // F[en_6]: 6:6
7377 prim_subreg #(
7378 .DW (1),
7379 .SWACCESS("W0C"),
7380 .RESVAL (1'h0)
7381 ) u_mio_pad_sleep_status_en_6 (
7382 .clk_i (clk_i ),
7383 .rst_ni (rst_ni ),
7384
7385 // from register interface
7386 .we (mio_pad_sleep_status_en_6_we),
7387 .wd (mio_pad_sleep_status_en_6_wd),
7388
7389 // from internal hardware
7390 .de (hw2reg.mio_pad_sleep_status[6].de),
7391 .d (hw2reg.mio_pad_sleep_status[6].d ),
7392
7393 // to internal hardware
7394 .qe (),
7395 .q (reg2hw.mio_pad_sleep_status[6].q ),
7396
7397 // to register interface (read)
7398 .qs (mio_pad_sleep_status_en_6_qs)
7399 );
7400
7401
7402 // F[en_7]: 7:7
7403 prim_subreg #(
7404 .DW (1),
7405 .SWACCESS("W0C"),
7406 .RESVAL (1'h0)
7407 ) u_mio_pad_sleep_status_en_7 (
7408 .clk_i (clk_i ),
7409 .rst_ni (rst_ni ),
7410
7411 // from register interface
7412 .we (mio_pad_sleep_status_en_7_we),
7413 .wd (mio_pad_sleep_status_en_7_wd),
7414
7415 // from internal hardware
7416 .de (hw2reg.mio_pad_sleep_status[7].de),
7417 .d (hw2reg.mio_pad_sleep_status[7].d ),
7418
7419 // to internal hardware
7420 .qe (),
7421 .q (reg2hw.mio_pad_sleep_status[7].q ),
7422
7423 // to register interface (read)
7424 .qs (mio_pad_sleep_status_en_7_qs)
7425 );
7426
7427
7428 // F[en_8]: 8:8
7429 prim_subreg #(
7430 .DW (1),
7431 .SWACCESS("W0C"),
7432 .RESVAL (1'h0)
7433 ) u_mio_pad_sleep_status_en_8 (
7434 .clk_i (clk_i ),
7435 .rst_ni (rst_ni ),
7436
7437 // from register interface
7438 .we (mio_pad_sleep_status_en_8_we),
7439 .wd (mio_pad_sleep_status_en_8_wd),
7440
7441 // from internal hardware
7442 .de (hw2reg.mio_pad_sleep_status[8].de),
7443 .d (hw2reg.mio_pad_sleep_status[8].d ),
7444
7445 // to internal hardware
7446 .qe (),
7447 .q (reg2hw.mio_pad_sleep_status[8].q ),
7448
7449 // to register interface (read)
7450 .qs (mio_pad_sleep_status_en_8_qs)
7451 );
7452
7453
7454 // F[en_9]: 9:9
7455 prim_subreg #(
7456 .DW (1),
7457 .SWACCESS("W0C"),
7458 .RESVAL (1'h0)
7459 ) u_mio_pad_sleep_status_en_9 (
7460 .clk_i (clk_i ),
7461 .rst_ni (rst_ni ),
7462
7463 // from register interface
7464 .we (mio_pad_sleep_status_en_9_we),
7465 .wd (mio_pad_sleep_status_en_9_wd),
7466
7467 // from internal hardware
7468 .de (hw2reg.mio_pad_sleep_status[9].de),
7469 .d (hw2reg.mio_pad_sleep_status[9].d ),
7470
7471 // to internal hardware
7472 .qe (),
7473 .q (reg2hw.mio_pad_sleep_status[9].q ),
7474
7475 // to register interface (read)
7476 .qs (mio_pad_sleep_status_en_9_qs)
7477 );
7478
7479
7480 // F[en_10]: 10:10
7481 prim_subreg #(
7482 .DW (1),
7483 .SWACCESS("W0C"),
7484 .RESVAL (1'h0)
7485 ) u_mio_pad_sleep_status_en_10 (
7486 .clk_i (clk_i ),
7487 .rst_ni (rst_ni ),
7488
7489 // from register interface
7490 .we (mio_pad_sleep_status_en_10_we),
7491 .wd (mio_pad_sleep_status_en_10_wd),
7492
7493 // from internal hardware
7494 .de (hw2reg.mio_pad_sleep_status[10].de),
7495 .d (hw2reg.mio_pad_sleep_status[10].d ),
7496
7497 // to internal hardware
7498 .qe (),
7499 .q (reg2hw.mio_pad_sleep_status[10].q ),
7500
7501 // to register interface (read)
7502 .qs (mio_pad_sleep_status_en_10_qs)
7503 );
7504
7505
7506 // F[en_11]: 11:11
7507 prim_subreg #(
7508 .DW (1),
7509 .SWACCESS("W0C"),
7510 .RESVAL (1'h0)
7511 ) u_mio_pad_sleep_status_en_11 (
7512 .clk_i (clk_i ),
7513 .rst_ni (rst_ni ),
7514
7515 // from register interface
7516 .we (mio_pad_sleep_status_en_11_we),
7517 .wd (mio_pad_sleep_status_en_11_wd),
7518
7519 // from internal hardware
7520 .de (hw2reg.mio_pad_sleep_status[11].de),
7521 .d (hw2reg.mio_pad_sleep_status[11].d ),
7522
7523 // to internal hardware
7524 .qe (),
7525 .q (reg2hw.mio_pad_sleep_status[11].q ),
7526
7527 // to register interface (read)
7528 .qs (mio_pad_sleep_status_en_11_qs)
7529 );
7530
7531
7532 // F[en_12]: 12:12
7533 prim_subreg #(
7534 .DW (1),
7535 .SWACCESS("W0C"),
7536 .RESVAL (1'h0)
7537 ) u_mio_pad_sleep_status_en_12 (
7538 .clk_i (clk_i ),
7539 .rst_ni (rst_ni ),
7540
7541 // from register interface
7542 .we (mio_pad_sleep_status_en_12_we),
7543 .wd (mio_pad_sleep_status_en_12_wd),
7544
7545 // from internal hardware
7546 .de (hw2reg.mio_pad_sleep_status[12].de),
7547 .d (hw2reg.mio_pad_sleep_status[12].d ),
7548
7549 // to internal hardware
7550 .qe (),
7551 .q (reg2hw.mio_pad_sleep_status[12].q ),
7552
7553 // to register interface (read)
7554 .qs (mio_pad_sleep_status_en_12_qs)
7555 );
7556
7557
7558 // F[en_13]: 13:13
7559 prim_subreg #(
7560 .DW (1),
7561 .SWACCESS("W0C"),
7562 .RESVAL (1'h0)
7563 ) u_mio_pad_sleep_status_en_13 (
7564 .clk_i (clk_i ),
7565 .rst_ni (rst_ni ),
7566
7567 // from register interface
7568 .we (mio_pad_sleep_status_en_13_we),
7569 .wd (mio_pad_sleep_status_en_13_wd),
7570
7571 // from internal hardware
7572 .de (hw2reg.mio_pad_sleep_status[13].de),
7573 .d (hw2reg.mio_pad_sleep_status[13].d ),
7574
7575 // to internal hardware
7576 .qe (),
7577 .q (reg2hw.mio_pad_sleep_status[13].q ),
7578
7579 // to register interface (read)
7580 .qs (mio_pad_sleep_status_en_13_qs)
7581 );
7582
7583
7584 // F[en_14]: 14:14
7585 prim_subreg #(
7586 .DW (1),
7587 .SWACCESS("W0C"),
7588 .RESVAL (1'h0)
7589 ) u_mio_pad_sleep_status_en_14 (
7590 .clk_i (clk_i ),
7591 .rst_ni (rst_ni ),
7592
7593 // from register interface
7594 .we (mio_pad_sleep_status_en_14_we),
7595 .wd (mio_pad_sleep_status_en_14_wd),
7596
7597 // from internal hardware
7598 .de (hw2reg.mio_pad_sleep_status[14].de),
7599 .d (hw2reg.mio_pad_sleep_status[14].d ),
7600
7601 // to internal hardware
7602 .qe (),
7603 .q (reg2hw.mio_pad_sleep_status[14].q ),
7604
7605 // to register interface (read)
7606 .qs (mio_pad_sleep_status_en_14_qs)
7607 );
7608
7609
7610 // F[en_15]: 15:15
7611 prim_subreg #(
7612 .DW (1),
7613 .SWACCESS("W0C"),
7614 .RESVAL (1'h0)
7615 ) u_mio_pad_sleep_status_en_15 (
7616 .clk_i (clk_i ),
7617 .rst_ni (rst_ni ),
7618
7619 // from register interface
7620 .we (mio_pad_sleep_status_en_15_we),
7621 .wd (mio_pad_sleep_status_en_15_wd),
7622
7623 // from internal hardware
7624 .de (hw2reg.mio_pad_sleep_status[15].de),
7625 .d (hw2reg.mio_pad_sleep_status[15].d ),
7626
7627 // to internal hardware
7628 .qe (),
7629 .q (reg2hw.mio_pad_sleep_status[15].q ),
7630
7631 // to register interface (read)
7632 .qs (mio_pad_sleep_status_en_15_qs)
7633 );
7634
7635
7636 // F[en_16]: 16:16
7637 prim_subreg #(
7638 .DW (1),
7639 .SWACCESS("W0C"),
7640 .RESVAL (1'h0)
7641 ) u_mio_pad_sleep_status_en_16 (
7642 .clk_i (clk_i ),
7643 .rst_ni (rst_ni ),
7644
7645 // from register interface
7646 .we (mio_pad_sleep_status_en_16_we),
7647 .wd (mio_pad_sleep_status_en_16_wd),
7648
7649 // from internal hardware
7650 .de (hw2reg.mio_pad_sleep_status[16].de),
7651 .d (hw2reg.mio_pad_sleep_status[16].d ),
7652
7653 // to internal hardware
7654 .qe (),
7655 .q (reg2hw.mio_pad_sleep_status[16].q ),
7656
7657 // to register interface (read)
7658 .qs (mio_pad_sleep_status_en_16_qs)
7659 );
7660
7661
7662 // F[en_17]: 17:17
7663 prim_subreg #(
7664 .DW (1),
7665 .SWACCESS("W0C"),
7666 .RESVAL (1'h0)
7667 ) u_mio_pad_sleep_status_en_17 (
7668 .clk_i (clk_i ),
7669 .rst_ni (rst_ni ),
7670
7671 // from register interface
7672 .we (mio_pad_sleep_status_en_17_we),
7673 .wd (mio_pad_sleep_status_en_17_wd),
7674
7675 // from internal hardware
7676 .de (hw2reg.mio_pad_sleep_status[17].de),
7677 .d (hw2reg.mio_pad_sleep_status[17].d ),
7678
7679 // to internal hardware
7680 .qe (),
7681 .q (reg2hw.mio_pad_sleep_status[17].q ),
7682
7683 // to register interface (read)
7684 .qs (mio_pad_sleep_status_en_17_qs)
7685 );
7686
7687
7688 // F[en_18]: 18:18
7689 prim_subreg #(
7690 .DW (1),
7691 .SWACCESS("W0C"),
7692 .RESVAL (1'h0)
7693 ) u_mio_pad_sleep_status_en_18 (
7694 .clk_i (clk_i ),
7695 .rst_ni (rst_ni ),
7696
7697 // from register interface
7698 .we (mio_pad_sleep_status_en_18_we),
7699 .wd (mio_pad_sleep_status_en_18_wd),
7700
7701 // from internal hardware
7702 .de (hw2reg.mio_pad_sleep_status[18].de),
7703 .d (hw2reg.mio_pad_sleep_status[18].d ),
7704
7705 // to internal hardware
7706 .qe (),
7707 .q (reg2hw.mio_pad_sleep_status[18].q ),
7708
7709 // to register interface (read)
7710 .qs (mio_pad_sleep_status_en_18_qs)
7711 );
7712
7713
7714 // F[en_19]: 19:19
7715 prim_subreg #(
7716 .DW (1),
7717 .SWACCESS("W0C"),
7718 .RESVAL (1'h0)
7719 ) u_mio_pad_sleep_status_en_19 (
7720 .clk_i (clk_i ),
7721 .rst_ni (rst_ni ),
7722
7723 // from register interface
7724 .we (mio_pad_sleep_status_en_19_we),
7725 .wd (mio_pad_sleep_status_en_19_wd),
7726
7727 // from internal hardware
7728 .de (hw2reg.mio_pad_sleep_status[19].de),
7729 .d (hw2reg.mio_pad_sleep_status[19].d ),
7730
7731 // to internal hardware
7732 .qe (),
7733 .q (reg2hw.mio_pad_sleep_status[19].q ),
7734
7735 // to register interface (read)
7736 .qs (mio_pad_sleep_status_en_19_qs)
7737 );
7738
7739
7740 // F[en_20]: 20:20
7741 prim_subreg #(
7742 .DW (1),
7743 .SWACCESS("W0C"),
7744 .RESVAL (1'h0)
7745 ) u_mio_pad_sleep_status_en_20 (
7746 .clk_i (clk_i ),
7747 .rst_ni (rst_ni ),
7748
7749 // from register interface
7750 .we (mio_pad_sleep_status_en_20_we),
7751 .wd (mio_pad_sleep_status_en_20_wd),
7752
7753 // from internal hardware
7754 .de (hw2reg.mio_pad_sleep_status[20].de),
7755 .d (hw2reg.mio_pad_sleep_status[20].d ),
7756
7757 // to internal hardware
7758 .qe (),
7759 .q (reg2hw.mio_pad_sleep_status[20].q ),
7760
7761 // to register interface (read)
7762 .qs (mio_pad_sleep_status_en_20_qs)
7763 );
7764
7765
7766 // F[en_21]: 21:21
7767 prim_subreg #(
7768 .DW (1),
7769 .SWACCESS("W0C"),
7770 .RESVAL (1'h0)
7771 ) u_mio_pad_sleep_status_en_21 (
7772 .clk_i (clk_i ),
7773 .rst_ni (rst_ni ),
7774
7775 // from register interface
7776 .we (mio_pad_sleep_status_en_21_we),
7777 .wd (mio_pad_sleep_status_en_21_wd),
7778
7779 // from internal hardware
7780 .de (hw2reg.mio_pad_sleep_status[21].de),
7781 .d (hw2reg.mio_pad_sleep_status[21].d ),
7782
7783 // to internal hardware
7784 .qe (),
7785 .q (reg2hw.mio_pad_sleep_status[21].q ),
7786
7787 // to register interface (read)
7788 .qs (mio_pad_sleep_status_en_21_qs)
7789 );
7790
7791
7792 // F[en_22]: 22:22
7793 prim_subreg #(
7794 .DW (1),
7795 .SWACCESS("W0C"),
7796 .RESVAL (1'h0)
7797 ) u_mio_pad_sleep_status_en_22 (
7798 .clk_i (clk_i ),
7799 .rst_ni (rst_ni ),
7800
7801 // from register interface
7802 .we (mio_pad_sleep_status_en_22_we),
7803 .wd (mio_pad_sleep_status_en_22_wd),
7804
7805 // from internal hardware
7806 .de (hw2reg.mio_pad_sleep_status[22].de),
7807 .d (hw2reg.mio_pad_sleep_status[22].d ),
7808
7809 // to internal hardware
7810 .qe (),
7811 .q (reg2hw.mio_pad_sleep_status[22].q ),
7812
7813 // to register interface (read)
7814 .qs (mio_pad_sleep_status_en_22_qs)
7815 );
7816
7817
7818 // F[en_23]: 23:23
7819 prim_subreg #(
7820 .DW (1),
7821 .SWACCESS("W0C"),
7822 .RESVAL (1'h0)
7823 ) u_mio_pad_sleep_status_en_23 (
7824 .clk_i (clk_i ),
7825 .rst_ni (rst_ni ),
7826
7827 // from register interface
7828 .we (mio_pad_sleep_status_en_23_we),
7829 .wd (mio_pad_sleep_status_en_23_wd),
7830
7831 // from internal hardware
7832 .de (hw2reg.mio_pad_sleep_status[23].de),
7833 .d (hw2reg.mio_pad_sleep_status[23].d ),
7834
7835 // to internal hardware
7836 .qe (),
7837 .q (reg2hw.mio_pad_sleep_status[23].q ),
7838
7839 // to register interface (read)
7840 .qs (mio_pad_sleep_status_en_23_qs)
7841 );
7842
7843
7844 // F[en_24]: 24:24
7845 prim_subreg #(
7846 .DW (1),
7847 .SWACCESS("W0C"),
7848 .RESVAL (1'h0)
7849 ) u_mio_pad_sleep_status_en_24 (
7850 .clk_i (clk_i ),
7851 .rst_ni (rst_ni ),
7852
7853 // from register interface
7854 .we (mio_pad_sleep_status_en_24_we),
7855 .wd (mio_pad_sleep_status_en_24_wd),
7856
7857 // from internal hardware
7858 .de (hw2reg.mio_pad_sleep_status[24].de),
7859 .d (hw2reg.mio_pad_sleep_status[24].d ),
7860
7861 // to internal hardware
7862 .qe (),
7863 .q (reg2hw.mio_pad_sleep_status[24].q ),
7864
7865 // to register interface (read)
7866 .qs (mio_pad_sleep_status_en_24_qs)
7867 );
7868
7869
7870 // F[en_25]: 25:25
7871 prim_subreg #(
7872 .DW (1),
7873 .SWACCESS("W0C"),
7874 .RESVAL (1'h0)
7875 ) u_mio_pad_sleep_status_en_25 (
7876 .clk_i (clk_i ),
7877 .rst_ni (rst_ni ),
7878
7879 // from register interface
7880 .we (mio_pad_sleep_status_en_25_we),
7881 .wd (mio_pad_sleep_status_en_25_wd),
7882
7883 // from internal hardware
7884 .de (hw2reg.mio_pad_sleep_status[25].de),
7885 .d (hw2reg.mio_pad_sleep_status[25].d ),
7886
7887 // to internal hardware
7888 .qe (),
7889 .q (reg2hw.mio_pad_sleep_status[25].q ),
7890
7891 // to register interface (read)
7892 .qs (mio_pad_sleep_status_en_25_qs)
7893 );
7894
7895
7896 // F[en_26]: 26:26
7897 prim_subreg #(
7898 .DW (1),
7899 .SWACCESS("W0C"),
7900 .RESVAL (1'h0)
7901 ) u_mio_pad_sleep_status_en_26 (
7902 .clk_i (clk_i ),
7903 .rst_ni (rst_ni ),
7904
7905 // from register interface
7906 .we (mio_pad_sleep_status_en_26_we),
7907 .wd (mio_pad_sleep_status_en_26_wd),
7908
7909 // from internal hardware
7910 .de (hw2reg.mio_pad_sleep_status[26].de),
7911 .d (hw2reg.mio_pad_sleep_status[26].d ),
7912
7913 // to internal hardware
7914 .qe (),
7915 .q (reg2hw.mio_pad_sleep_status[26].q ),
7916
7917 // to register interface (read)
7918 .qs (mio_pad_sleep_status_en_26_qs)
7919 );
7920
7921
7922 // F[en_27]: 27:27
7923 prim_subreg #(
7924 .DW (1),
7925 .SWACCESS("W0C"),
7926 .RESVAL (1'h0)
7927 ) u_mio_pad_sleep_status_en_27 (
7928 .clk_i (clk_i ),
7929 .rst_ni (rst_ni ),
7930
7931 // from register interface
7932 .we (mio_pad_sleep_status_en_27_we),
7933 .wd (mio_pad_sleep_status_en_27_wd),
7934
7935 // from internal hardware
7936 .de (hw2reg.mio_pad_sleep_status[27].de),
7937 .d (hw2reg.mio_pad_sleep_status[27].d ),
7938
7939 // to internal hardware
7940 .qe (),
7941 .q (reg2hw.mio_pad_sleep_status[27].q ),
7942
7943 // to register interface (read)
7944 .qs (mio_pad_sleep_status_en_27_qs)
7945 );
7946
7947
7948 // F[en_28]: 28:28
7949 prim_subreg #(
7950 .DW (1),
7951 .SWACCESS("W0C"),
7952 .RESVAL (1'h0)
7953 ) u_mio_pad_sleep_status_en_28 (
7954 .clk_i (clk_i ),
7955 .rst_ni (rst_ni ),
7956
7957 // from register interface
7958 .we (mio_pad_sleep_status_en_28_we),
7959 .wd (mio_pad_sleep_status_en_28_wd),
7960
7961 // from internal hardware
7962 .de (hw2reg.mio_pad_sleep_status[28].de),
7963 .d (hw2reg.mio_pad_sleep_status[28].d ),
7964
7965 // to internal hardware
7966 .qe (),
7967 .q (reg2hw.mio_pad_sleep_status[28].q ),
7968
7969 // to register interface (read)
7970 .qs (mio_pad_sleep_status_en_28_qs)
7971 );
7972
7973
7974 // F[en_29]: 29:29
7975 prim_subreg #(
7976 .DW (1),
7977 .SWACCESS("W0C"),
7978 .RESVAL (1'h0)
7979 ) u_mio_pad_sleep_status_en_29 (
7980 .clk_i (clk_i ),
7981 .rst_ni (rst_ni ),
7982
7983 // from register interface
7984 .we (mio_pad_sleep_status_en_29_we),
7985 .wd (mio_pad_sleep_status_en_29_wd),
7986
7987 // from internal hardware
7988 .de (hw2reg.mio_pad_sleep_status[29].de),
7989 .d (hw2reg.mio_pad_sleep_status[29].d ),
7990
7991 // to internal hardware
7992 .qe (),
7993 .q (reg2hw.mio_pad_sleep_status[29].q ),
7994
7995 // to register interface (read)
7996 .qs (mio_pad_sleep_status_en_29_qs)
7997 );
7998
7999
8000 // F[en_30]: 30:30
8001 prim_subreg #(
8002 .DW (1),
8003 .SWACCESS("W0C"),
8004 .RESVAL (1'h0)
8005 ) u_mio_pad_sleep_status_en_30 (
8006 .clk_i (clk_i ),
8007 .rst_ni (rst_ni ),
8008
8009 // from register interface
8010 .we (mio_pad_sleep_status_en_30_we),
8011 .wd (mio_pad_sleep_status_en_30_wd),
8012
8013 // from internal hardware
8014 .de (hw2reg.mio_pad_sleep_status[30].de),
8015 .d (hw2reg.mio_pad_sleep_status[30].d ),
8016
8017 // to internal hardware
8018 .qe (),
8019 .q (reg2hw.mio_pad_sleep_status[30].q ),
8020
8021 // to register interface (read)
8022 .qs (mio_pad_sleep_status_en_30_qs)
8023 );
8024
8025
8026 // F[en_31]: 31:31
8027 prim_subreg #(
8028 .DW (1),
8029 .SWACCESS("W0C"),
8030 .RESVAL (1'h0)
8031 ) u_mio_pad_sleep_status_en_31 (
8032 .clk_i (clk_i ),
8033 .rst_ni (rst_ni ),
8034
8035 // from register interface
8036 .we (mio_pad_sleep_status_en_31_we),
8037 .wd (mio_pad_sleep_status_en_31_wd),
8038
8039 // from internal hardware
8040 .de (hw2reg.mio_pad_sleep_status[31].de),
8041 .d (hw2reg.mio_pad_sleep_status[31].d ),
8042
8043 // to internal hardware
8044 .qe (),
8045 .q (reg2hw.mio_pad_sleep_status[31].q ),
8046
8047 // to register interface (read)
8048 .qs (mio_pad_sleep_status_en_31_qs)
8049 );
8050
8051
8052
8053
8054 // Subregister 0 of Multireg mio_pad_sleep_regwen
8055 // R[mio_pad_sleep_regwen_0]: V(False)
8056
8057 prim_subreg #(
8058 .DW (1),
8059 .SWACCESS("W0C"),
8060 .RESVAL (1'h1)
8061 ) u_mio_pad_sleep_regwen_0 (
8062 .clk_i (clk_i ),
8063 .rst_ni (rst_ni ),
8064
8065 // from register interface
8066 .we (mio_pad_sleep_regwen_0_we),
8067 .wd (mio_pad_sleep_regwen_0_wd),
8068
8069 // from internal hardware
8070 .de (1'b0),
8071 .d ('0 ),
8072
8073 // to internal hardware
8074 .qe (),
8075 .q (),
8076
8077 // to register interface (read)
8078 .qs (mio_pad_sleep_regwen_0_qs)
8079 );
8080
8081 // Subregister 1 of Multireg mio_pad_sleep_regwen
8082 // R[mio_pad_sleep_regwen_1]: V(False)
8083
8084 prim_subreg #(
8085 .DW (1),
8086 .SWACCESS("W0C"),
8087 .RESVAL (1'h1)
8088 ) u_mio_pad_sleep_regwen_1 (
8089 .clk_i (clk_i ),
8090 .rst_ni (rst_ni ),
8091
8092 // from register interface
8093 .we (mio_pad_sleep_regwen_1_we),
8094 .wd (mio_pad_sleep_regwen_1_wd),
8095
8096 // from internal hardware
8097 .de (1'b0),
8098 .d ('0 ),
8099
8100 // to internal hardware
8101 .qe (),
8102 .q (),
8103
8104 // to register interface (read)
8105 .qs (mio_pad_sleep_regwen_1_qs)
8106 );
8107
8108 // Subregister 2 of Multireg mio_pad_sleep_regwen
8109 // R[mio_pad_sleep_regwen_2]: V(False)
8110
8111 prim_subreg #(
8112 .DW (1),
8113 .SWACCESS("W0C"),
8114 .RESVAL (1'h1)
8115 ) u_mio_pad_sleep_regwen_2 (
8116 .clk_i (clk_i ),
8117 .rst_ni (rst_ni ),
8118
8119 // from register interface
8120 .we (mio_pad_sleep_regwen_2_we),
8121 .wd (mio_pad_sleep_regwen_2_wd),
8122
8123 // from internal hardware
8124 .de (1'b0),
8125 .d ('0 ),
8126
8127 // to internal hardware
8128 .qe (),
8129 .q (),
8130
8131 // to register interface (read)
8132 .qs (mio_pad_sleep_regwen_2_qs)
8133 );
8134
8135 // Subregister 3 of Multireg mio_pad_sleep_regwen
8136 // R[mio_pad_sleep_regwen_3]: V(False)
8137
8138 prim_subreg #(
8139 .DW (1),
8140 .SWACCESS("W0C"),
8141 .RESVAL (1'h1)
8142 ) u_mio_pad_sleep_regwen_3 (
8143 .clk_i (clk_i ),
8144 .rst_ni (rst_ni ),
8145
8146 // from register interface
8147 .we (mio_pad_sleep_regwen_3_we),
8148 .wd (mio_pad_sleep_regwen_3_wd),
8149
8150 // from internal hardware
8151 .de (1'b0),
8152 .d ('0 ),
8153
8154 // to internal hardware
8155 .qe (),
8156 .q (),
8157
8158 // to register interface (read)
8159 .qs (mio_pad_sleep_regwen_3_qs)
8160 );
8161
8162 // Subregister 4 of Multireg mio_pad_sleep_regwen
8163 // R[mio_pad_sleep_regwen_4]: V(False)
8164
8165 prim_subreg #(
8166 .DW (1),
8167 .SWACCESS("W0C"),
8168 .RESVAL (1'h1)
8169 ) u_mio_pad_sleep_regwen_4 (
8170 .clk_i (clk_i ),
8171 .rst_ni (rst_ni ),
8172
8173 // from register interface
8174 .we (mio_pad_sleep_regwen_4_we),
8175 .wd (mio_pad_sleep_regwen_4_wd),
8176
8177 // from internal hardware
8178 .de (1'b0),
8179 .d ('0 ),
8180
8181 // to internal hardware
8182 .qe (),
8183 .q (),
8184
8185 // to register interface (read)
8186 .qs (mio_pad_sleep_regwen_4_qs)
8187 );
8188
8189 // Subregister 5 of Multireg mio_pad_sleep_regwen
8190 // R[mio_pad_sleep_regwen_5]: V(False)
8191
8192 prim_subreg #(
8193 .DW (1),
8194 .SWACCESS("W0C"),
8195 .RESVAL (1'h1)
8196 ) u_mio_pad_sleep_regwen_5 (
8197 .clk_i (clk_i ),
8198 .rst_ni (rst_ni ),
8199
8200 // from register interface
8201 .we (mio_pad_sleep_regwen_5_we),
8202 .wd (mio_pad_sleep_regwen_5_wd),
8203
8204 // from internal hardware
8205 .de (1'b0),
8206 .d ('0 ),
8207
8208 // to internal hardware
8209 .qe (),
8210 .q (),
8211
8212 // to register interface (read)
8213 .qs (mio_pad_sleep_regwen_5_qs)
8214 );
8215
8216 // Subregister 6 of Multireg mio_pad_sleep_regwen
8217 // R[mio_pad_sleep_regwen_6]: V(False)
8218
8219 prim_subreg #(
8220 .DW (1),
8221 .SWACCESS("W0C"),
8222 .RESVAL (1'h1)
8223 ) u_mio_pad_sleep_regwen_6 (
8224 .clk_i (clk_i ),
8225 .rst_ni (rst_ni ),
8226
8227 // from register interface
8228 .we (mio_pad_sleep_regwen_6_we),
8229 .wd (mio_pad_sleep_regwen_6_wd),
8230
8231 // from internal hardware
8232 .de (1'b0),
8233 .d ('0 ),
8234
8235 // to internal hardware
8236 .qe (),
8237 .q (),
8238
8239 // to register interface (read)
8240 .qs (mio_pad_sleep_regwen_6_qs)
8241 );
8242
8243 // Subregister 7 of Multireg mio_pad_sleep_regwen
8244 // R[mio_pad_sleep_regwen_7]: V(False)
8245
8246 prim_subreg #(
8247 .DW (1),
8248 .SWACCESS("W0C"),
8249 .RESVAL (1'h1)
8250 ) u_mio_pad_sleep_regwen_7 (
8251 .clk_i (clk_i ),
8252 .rst_ni (rst_ni ),
8253
8254 // from register interface
8255 .we (mio_pad_sleep_regwen_7_we),
8256 .wd (mio_pad_sleep_regwen_7_wd),
8257
8258 // from internal hardware
8259 .de (1'b0),
8260 .d ('0 ),
8261
8262 // to internal hardware
8263 .qe (),
8264 .q (),
8265
8266 // to register interface (read)
8267 .qs (mio_pad_sleep_regwen_7_qs)
8268 );
8269
8270 // Subregister 8 of Multireg mio_pad_sleep_regwen
8271 // R[mio_pad_sleep_regwen_8]: V(False)
8272
8273 prim_subreg #(
8274 .DW (1),
8275 .SWACCESS("W0C"),
8276 .RESVAL (1'h1)
8277 ) u_mio_pad_sleep_regwen_8 (
8278 .clk_i (clk_i ),
8279 .rst_ni (rst_ni ),
8280
8281 // from register interface
8282 .we (mio_pad_sleep_regwen_8_we),
8283 .wd (mio_pad_sleep_regwen_8_wd),
8284
8285 // from internal hardware
8286 .de (1'b0),
8287 .d ('0 ),
8288
8289 // to internal hardware
8290 .qe (),
8291 .q (),
8292
8293 // to register interface (read)
8294 .qs (mio_pad_sleep_regwen_8_qs)
8295 );
8296
8297 // Subregister 9 of Multireg mio_pad_sleep_regwen
8298 // R[mio_pad_sleep_regwen_9]: V(False)
8299
8300 prim_subreg #(
8301 .DW (1),
8302 .SWACCESS("W0C"),
8303 .RESVAL (1'h1)
8304 ) u_mio_pad_sleep_regwen_9 (
8305 .clk_i (clk_i ),
8306 .rst_ni (rst_ni ),
8307
8308 // from register interface
8309 .we (mio_pad_sleep_regwen_9_we),
8310 .wd (mio_pad_sleep_regwen_9_wd),
8311
8312 // from internal hardware
8313 .de (1'b0),
8314 .d ('0 ),
8315
8316 // to internal hardware
8317 .qe (),
8318 .q (),
8319
8320 // to register interface (read)
8321 .qs (mio_pad_sleep_regwen_9_qs)
8322 );
8323
8324 // Subregister 10 of Multireg mio_pad_sleep_regwen
8325 // R[mio_pad_sleep_regwen_10]: V(False)
8326
8327 prim_subreg #(
8328 .DW (1),
8329 .SWACCESS("W0C"),
8330 .RESVAL (1'h1)
8331 ) u_mio_pad_sleep_regwen_10 (
8332 .clk_i (clk_i ),
8333 .rst_ni (rst_ni ),
8334
8335 // from register interface
8336 .we (mio_pad_sleep_regwen_10_we),
8337 .wd (mio_pad_sleep_regwen_10_wd),
8338
8339 // from internal hardware
8340 .de (1'b0),
8341 .d ('0 ),
8342
8343 // to internal hardware
8344 .qe (),
8345 .q (),
8346
8347 // to register interface (read)
8348 .qs (mio_pad_sleep_regwen_10_qs)
8349 );
8350
8351 // Subregister 11 of Multireg mio_pad_sleep_regwen
8352 // R[mio_pad_sleep_regwen_11]: V(False)
8353
8354 prim_subreg #(
8355 .DW (1),
8356 .SWACCESS("W0C"),
8357 .RESVAL (1'h1)
8358 ) u_mio_pad_sleep_regwen_11 (
8359 .clk_i (clk_i ),
8360 .rst_ni (rst_ni ),
8361
8362 // from register interface
8363 .we (mio_pad_sleep_regwen_11_we),
8364 .wd (mio_pad_sleep_regwen_11_wd),
8365
8366 // from internal hardware
8367 .de (1'b0),
8368 .d ('0 ),
8369
8370 // to internal hardware
8371 .qe (),
8372 .q (),
8373
8374 // to register interface (read)
8375 .qs (mio_pad_sleep_regwen_11_qs)
8376 );
8377
8378 // Subregister 12 of Multireg mio_pad_sleep_regwen
8379 // R[mio_pad_sleep_regwen_12]: V(False)
8380
8381 prim_subreg #(
8382 .DW (1),
8383 .SWACCESS("W0C"),
8384 .RESVAL (1'h1)
8385 ) u_mio_pad_sleep_regwen_12 (
8386 .clk_i (clk_i ),
8387 .rst_ni (rst_ni ),
8388
8389 // from register interface
8390 .we (mio_pad_sleep_regwen_12_we),
8391 .wd (mio_pad_sleep_regwen_12_wd),
8392
8393 // from internal hardware
8394 .de (1'b0),
8395 .d ('0 ),
8396
8397 // to internal hardware
8398 .qe (),
8399 .q (),
8400
8401 // to register interface (read)
8402 .qs (mio_pad_sleep_regwen_12_qs)
8403 );
8404
8405 // Subregister 13 of Multireg mio_pad_sleep_regwen
8406 // R[mio_pad_sleep_regwen_13]: V(False)
8407
8408 prim_subreg #(
8409 .DW (1),
8410 .SWACCESS("W0C"),
8411 .RESVAL (1'h1)
8412 ) u_mio_pad_sleep_regwen_13 (
8413 .clk_i (clk_i ),
8414 .rst_ni (rst_ni ),
8415
8416 // from register interface
8417 .we (mio_pad_sleep_regwen_13_we),
8418 .wd (mio_pad_sleep_regwen_13_wd),
8419
8420 // from internal hardware
8421 .de (1'b0),
8422 .d ('0 ),
8423
8424 // to internal hardware
8425 .qe (),
8426 .q (),
8427
8428 // to register interface (read)
8429 .qs (mio_pad_sleep_regwen_13_qs)
8430 );
8431
8432 // Subregister 14 of Multireg mio_pad_sleep_regwen
8433 // R[mio_pad_sleep_regwen_14]: V(False)
8434
8435 prim_subreg #(
8436 .DW (1),
8437 .SWACCESS("W0C"),
8438 .RESVAL (1'h1)
8439 ) u_mio_pad_sleep_regwen_14 (
8440 .clk_i (clk_i ),
8441 .rst_ni (rst_ni ),
8442
8443 // from register interface
8444 .we (mio_pad_sleep_regwen_14_we),
8445 .wd (mio_pad_sleep_regwen_14_wd),
8446
8447 // from internal hardware
8448 .de (1'b0),
8449 .d ('0 ),
8450
8451 // to internal hardware
8452 .qe (),
8453 .q (),
8454
8455 // to register interface (read)
8456 .qs (mio_pad_sleep_regwen_14_qs)
8457 );
8458
8459 // Subregister 15 of Multireg mio_pad_sleep_regwen
8460 // R[mio_pad_sleep_regwen_15]: V(False)
8461
8462 prim_subreg #(
8463 .DW (1),
8464 .SWACCESS("W0C"),
8465 .RESVAL (1'h1)
8466 ) u_mio_pad_sleep_regwen_15 (
8467 .clk_i (clk_i ),
8468 .rst_ni (rst_ni ),
8469
8470 // from register interface
8471 .we (mio_pad_sleep_regwen_15_we),
8472 .wd (mio_pad_sleep_regwen_15_wd),
8473
8474 // from internal hardware
8475 .de (1'b0),
8476 .d ('0 ),
8477
8478 // to internal hardware
8479 .qe (),
8480 .q (),
8481
8482 // to register interface (read)
8483 .qs (mio_pad_sleep_regwen_15_qs)
8484 );
8485
8486 // Subregister 16 of Multireg mio_pad_sleep_regwen
8487 // R[mio_pad_sleep_regwen_16]: V(False)
8488
8489 prim_subreg #(
8490 .DW (1),
8491 .SWACCESS("W0C"),
8492 .RESVAL (1'h1)
8493 ) u_mio_pad_sleep_regwen_16 (
8494 .clk_i (clk_i ),
8495 .rst_ni (rst_ni ),
8496
8497 // from register interface
8498 .we (mio_pad_sleep_regwen_16_we),
8499 .wd (mio_pad_sleep_regwen_16_wd),
8500
8501 // from internal hardware
8502 .de (1'b0),
8503 .d ('0 ),
8504
8505 // to internal hardware
8506 .qe (),
8507 .q (),
8508
8509 // to register interface (read)
8510 .qs (mio_pad_sleep_regwen_16_qs)
8511 );
8512
8513 // Subregister 17 of Multireg mio_pad_sleep_regwen
8514 // R[mio_pad_sleep_regwen_17]: V(False)
8515
8516 prim_subreg #(
8517 .DW (1),
8518 .SWACCESS("W0C"),
8519 .RESVAL (1'h1)
8520 ) u_mio_pad_sleep_regwen_17 (
8521 .clk_i (clk_i ),
8522 .rst_ni (rst_ni ),
8523
8524 // from register interface
8525 .we (mio_pad_sleep_regwen_17_we),
8526 .wd (mio_pad_sleep_regwen_17_wd),
8527
8528 // from internal hardware
8529 .de (1'b0),
8530 .d ('0 ),
8531
8532 // to internal hardware
8533 .qe (),
8534 .q (),
8535
8536 // to register interface (read)
8537 .qs (mio_pad_sleep_regwen_17_qs)
8538 );
8539
8540 // Subregister 18 of Multireg mio_pad_sleep_regwen
8541 // R[mio_pad_sleep_regwen_18]: V(False)
8542
8543 prim_subreg #(
8544 .DW (1),
8545 .SWACCESS("W0C"),
8546 .RESVAL (1'h1)
8547 ) u_mio_pad_sleep_regwen_18 (
8548 .clk_i (clk_i ),
8549 .rst_ni (rst_ni ),
8550
8551 // from register interface
8552 .we (mio_pad_sleep_regwen_18_we),
8553 .wd (mio_pad_sleep_regwen_18_wd),
8554
8555 // from internal hardware
8556 .de (1'b0),
8557 .d ('0 ),
8558
8559 // to internal hardware
8560 .qe (),
8561 .q (),
8562
8563 // to register interface (read)
8564 .qs (mio_pad_sleep_regwen_18_qs)
8565 );
8566
8567 // Subregister 19 of Multireg mio_pad_sleep_regwen
8568 // R[mio_pad_sleep_regwen_19]: V(False)
8569
8570 prim_subreg #(
8571 .DW (1),
8572 .SWACCESS("W0C"),
8573 .RESVAL (1'h1)
8574 ) u_mio_pad_sleep_regwen_19 (
8575 .clk_i (clk_i ),
8576 .rst_ni (rst_ni ),
8577
8578 // from register interface
8579 .we (mio_pad_sleep_regwen_19_we),
8580 .wd (mio_pad_sleep_regwen_19_wd),
8581
8582 // from internal hardware
8583 .de (1'b0),
8584 .d ('0 ),
8585
8586 // to internal hardware
8587 .qe (),
8588 .q (),
8589
8590 // to register interface (read)
8591 .qs (mio_pad_sleep_regwen_19_qs)
8592 );
8593
8594 // Subregister 20 of Multireg mio_pad_sleep_regwen
8595 // R[mio_pad_sleep_regwen_20]: V(False)
8596
8597 prim_subreg #(
8598 .DW (1),
8599 .SWACCESS("W0C"),
8600 .RESVAL (1'h1)
8601 ) u_mio_pad_sleep_regwen_20 (
8602 .clk_i (clk_i ),
8603 .rst_ni (rst_ni ),
8604
8605 // from register interface
8606 .we (mio_pad_sleep_regwen_20_we),
8607 .wd (mio_pad_sleep_regwen_20_wd),
8608
8609 // from internal hardware
8610 .de (1'b0),
8611 .d ('0 ),
8612
8613 // to internal hardware
8614 .qe (),
8615 .q (),
8616
8617 // to register interface (read)
8618 .qs (mio_pad_sleep_regwen_20_qs)
8619 );
8620
8621 // Subregister 21 of Multireg mio_pad_sleep_regwen
8622 // R[mio_pad_sleep_regwen_21]: V(False)
8623
8624 prim_subreg #(
8625 .DW (1),
8626 .SWACCESS("W0C"),
8627 .RESVAL (1'h1)
8628 ) u_mio_pad_sleep_regwen_21 (
8629 .clk_i (clk_i ),
8630 .rst_ni (rst_ni ),
8631
8632 // from register interface
8633 .we (mio_pad_sleep_regwen_21_we),
8634 .wd (mio_pad_sleep_regwen_21_wd),
8635
8636 // from internal hardware
8637 .de (1'b0),
8638 .d ('0 ),
8639
8640 // to internal hardware
8641 .qe (),
8642 .q (),
8643
8644 // to register interface (read)
8645 .qs (mio_pad_sleep_regwen_21_qs)
8646 );
8647
8648 // Subregister 22 of Multireg mio_pad_sleep_regwen
8649 // R[mio_pad_sleep_regwen_22]: V(False)
8650
8651 prim_subreg #(
8652 .DW (1),
8653 .SWACCESS("W0C"),
8654 .RESVAL (1'h1)
8655 ) u_mio_pad_sleep_regwen_22 (
8656 .clk_i (clk_i ),
8657 .rst_ni (rst_ni ),
8658
8659 // from register interface
8660 .we (mio_pad_sleep_regwen_22_we),
8661 .wd (mio_pad_sleep_regwen_22_wd),
8662
8663 // from internal hardware
8664 .de (1'b0),
8665 .d ('0 ),
8666
8667 // to internal hardware
8668 .qe (),
8669 .q (),
8670
8671 // to register interface (read)
8672 .qs (mio_pad_sleep_regwen_22_qs)
8673 );
8674
8675 // Subregister 23 of Multireg mio_pad_sleep_regwen
8676 // R[mio_pad_sleep_regwen_23]: V(False)
8677
8678 prim_subreg #(
8679 .DW (1),
8680 .SWACCESS("W0C"),
8681 .RESVAL (1'h1)
8682 ) u_mio_pad_sleep_regwen_23 (
8683 .clk_i (clk_i ),
8684 .rst_ni (rst_ni ),
8685
8686 // from register interface
8687 .we (mio_pad_sleep_regwen_23_we),
8688 .wd (mio_pad_sleep_regwen_23_wd),
8689
8690 // from internal hardware
8691 .de (1'b0),
8692 .d ('0 ),
8693
8694 // to internal hardware
8695 .qe (),
8696 .q (),
8697
8698 // to register interface (read)
8699 .qs (mio_pad_sleep_regwen_23_qs)
8700 );
8701
8702 // Subregister 24 of Multireg mio_pad_sleep_regwen
8703 // R[mio_pad_sleep_regwen_24]: V(False)
8704
8705 prim_subreg #(
8706 .DW (1),
8707 .SWACCESS("W0C"),
8708 .RESVAL (1'h1)
8709 ) u_mio_pad_sleep_regwen_24 (
8710 .clk_i (clk_i ),
8711 .rst_ni (rst_ni ),
8712
8713 // from register interface
8714 .we (mio_pad_sleep_regwen_24_we),
8715 .wd (mio_pad_sleep_regwen_24_wd),
8716
8717 // from internal hardware
8718 .de (1'b0),
8719 .d ('0 ),
8720
8721 // to internal hardware
8722 .qe (),
8723 .q (),
8724
8725 // to register interface (read)
8726 .qs (mio_pad_sleep_regwen_24_qs)
8727 );
8728
8729 // Subregister 25 of Multireg mio_pad_sleep_regwen
8730 // R[mio_pad_sleep_regwen_25]: V(False)
8731
8732 prim_subreg #(
8733 .DW (1),
8734 .SWACCESS("W0C"),
8735 .RESVAL (1'h1)
8736 ) u_mio_pad_sleep_regwen_25 (
8737 .clk_i (clk_i ),
8738 .rst_ni (rst_ni ),
8739
8740 // from register interface
8741 .we (mio_pad_sleep_regwen_25_we),
8742 .wd (mio_pad_sleep_regwen_25_wd),
8743
8744 // from internal hardware
8745 .de (1'b0),
8746 .d ('0 ),
8747
8748 // to internal hardware
8749 .qe (),
8750 .q (),
8751
8752 // to register interface (read)
8753 .qs (mio_pad_sleep_regwen_25_qs)
8754 );
8755
8756 // Subregister 26 of Multireg mio_pad_sleep_regwen
8757 // R[mio_pad_sleep_regwen_26]: V(False)
8758
8759 prim_subreg #(
8760 .DW (1),
8761 .SWACCESS("W0C"),
8762 .RESVAL (1'h1)
8763 ) u_mio_pad_sleep_regwen_26 (
8764 .clk_i (clk_i ),
8765 .rst_ni (rst_ni ),
8766
8767 // from register interface
8768 .we (mio_pad_sleep_regwen_26_we),
8769 .wd (mio_pad_sleep_regwen_26_wd),
8770
8771 // from internal hardware
8772 .de (1'b0),
8773 .d ('0 ),
8774
8775 // to internal hardware
8776 .qe (),
8777 .q (),
8778
8779 // to register interface (read)
8780 .qs (mio_pad_sleep_regwen_26_qs)
8781 );
8782
8783 // Subregister 27 of Multireg mio_pad_sleep_regwen
8784 // R[mio_pad_sleep_regwen_27]: V(False)
8785
8786 prim_subreg #(
8787 .DW (1),
8788 .SWACCESS("W0C"),
8789 .RESVAL (1'h1)
8790 ) u_mio_pad_sleep_regwen_27 (
8791 .clk_i (clk_i ),
8792 .rst_ni (rst_ni ),
8793
8794 // from register interface
8795 .we (mio_pad_sleep_regwen_27_we),
8796 .wd (mio_pad_sleep_regwen_27_wd),
8797
8798 // from internal hardware
8799 .de (1'b0),
8800 .d ('0 ),
8801
8802 // to internal hardware
8803 .qe (),
8804 .q (),
8805
8806 // to register interface (read)
8807 .qs (mio_pad_sleep_regwen_27_qs)
8808 );
8809
8810 // Subregister 28 of Multireg mio_pad_sleep_regwen
8811 // R[mio_pad_sleep_regwen_28]: V(False)
8812
8813 prim_subreg #(
8814 .DW (1),
8815 .SWACCESS("W0C"),
8816 .RESVAL (1'h1)
8817 ) u_mio_pad_sleep_regwen_28 (
8818 .clk_i (clk_i ),
8819 .rst_ni (rst_ni ),
8820
8821 // from register interface
8822 .we (mio_pad_sleep_regwen_28_we),
8823 .wd (mio_pad_sleep_regwen_28_wd),
8824
8825 // from internal hardware
8826 .de (1'b0),
8827 .d ('0 ),
8828
8829 // to internal hardware
8830 .qe (),
8831 .q (),
8832
8833 // to register interface (read)
8834 .qs (mio_pad_sleep_regwen_28_qs)
8835 );
8836
8837 // Subregister 29 of Multireg mio_pad_sleep_regwen
8838 // R[mio_pad_sleep_regwen_29]: V(False)
8839
8840 prim_subreg #(
8841 .DW (1),
8842 .SWACCESS("W0C"),
8843 .RESVAL (1'h1)
8844 ) u_mio_pad_sleep_regwen_29 (
8845 .clk_i (clk_i ),
8846 .rst_ni (rst_ni ),
8847
8848 // from register interface
8849 .we (mio_pad_sleep_regwen_29_we),
8850 .wd (mio_pad_sleep_regwen_29_wd),
8851
8852 // from internal hardware
8853 .de (1'b0),
8854 .d ('0 ),
8855
8856 // to internal hardware
8857 .qe (),
8858 .q (),
8859
8860 // to register interface (read)
8861 .qs (mio_pad_sleep_regwen_29_qs)
8862 );
8863
8864 // Subregister 30 of Multireg mio_pad_sleep_regwen
8865 // R[mio_pad_sleep_regwen_30]: V(False)
8866
8867 prim_subreg #(
8868 .DW (1),
8869 .SWACCESS("W0C"),
8870 .RESVAL (1'h1)
8871 ) u_mio_pad_sleep_regwen_30 (
8872 .clk_i (clk_i ),
8873 .rst_ni (rst_ni ),
8874
8875 // from register interface
8876 .we (mio_pad_sleep_regwen_30_we),
8877 .wd (mio_pad_sleep_regwen_30_wd),
8878
8879 // from internal hardware
8880 .de (1'b0),
8881 .d ('0 ),
8882
8883 // to internal hardware
8884 .qe (),
8885 .q (),
8886
8887 // to register interface (read)
8888 .qs (mio_pad_sleep_regwen_30_qs)
8889 );
8890
8891 // Subregister 31 of Multireg mio_pad_sleep_regwen
8892 // R[mio_pad_sleep_regwen_31]: V(False)
8893
8894 prim_subreg #(
8895 .DW (1),
8896 .SWACCESS("W0C"),
8897 .RESVAL (1'h1)
8898 ) u_mio_pad_sleep_regwen_31 (
8899 .clk_i (clk_i ),
8900 .rst_ni (rst_ni ),
8901
8902 // from register interface
8903 .we (mio_pad_sleep_regwen_31_we),
8904 .wd (mio_pad_sleep_regwen_31_wd),
8905
8906 // from internal hardware
8907 .de (1'b0),
8908 .d ('0 ),
8909
8910 // to internal hardware
8911 .qe (),
8912 .q (),
8913
8914 // to register interface (read)
8915 .qs (mio_pad_sleep_regwen_31_qs)
8916 );
8917
8918
8919
8920 // Subregister 0 of Multireg mio_pad_sleep_en
8921 // R[mio_pad_sleep_en_0]: V(False)
8922
8923 prim_subreg #(
8924 .DW (1),
8925 .SWACCESS("RW"),
8926 .RESVAL (1'h0)
8927 ) u_mio_pad_sleep_en_0 (
8928 .clk_i (clk_i ),
8929 .rst_ni (rst_ni ),
8930
8931 // from register interface (qualified with register enable)
8932 .we (mio_pad_sleep_en_0_we & mio_pad_sleep_regwen_0_qs),
8933 .wd (mio_pad_sleep_en_0_wd),
8934
8935 // from internal hardware
8936 .de (1'b0),
8937 .d ('0 ),
8938
8939 // to internal hardware
8940 .qe (),
8941 .q (reg2hw.mio_pad_sleep_en[0].q ),
8942
8943 // to register interface (read)
8944 .qs (mio_pad_sleep_en_0_qs)
8945 );
8946
8947 // Subregister 1 of Multireg mio_pad_sleep_en
8948 // R[mio_pad_sleep_en_1]: V(False)
8949
8950 prim_subreg #(
8951 .DW (1),
8952 .SWACCESS("RW"),
8953 .RESVAL (1'h0)
8954 ) u_mio_pad_sleep_en_1 (
8955 .clk_i (clk_i ),
8956 .rst_ni (rst_ni ),
8957
8958 // from register interface (qualified with register enable)
8959 .we (mio_pad_sleep_en_1_we & mio_pad_sleep_regwen_1_qs),
8960 .wd (mio_pad_sleep_en_1_wd),
8961
8962 // from internal hardware
8963 .de (1'b0),
8964 .d ('0 ),
8965
8966 // to internal hardware
8967 .qe (),
8968 .q (reg2hw.mio_pad_sleep_en[1].q ),
8969
8970 // to register interface (read)
8971 .qs (mio_pad_sleep_en_1_qs)
8972 );
8973
8974 // Subregister 2 of Multireg mio_pad_sleep_en
8975 // R[mio_pad_sleep_en_2]: V(False)
8976
8977 prim_subreg #(
8978 .DW (1),
8979 .SWACCESS("RW"),
8980 .RESVAL (1'h0)
8981 ) u_mio_pad_sleep_en_2 (
8982 .clk_i (clk_i ),
8983 .rst_ni (rst_ni ),
8984
8985 // from register interface (qualified with register enable)
8986 .we (mio_pad_sleep_en_2_we & mio_pad_sleep_regwen_2_qs),
8987 .wd (mio_pad_sleep_en_2_wd),
8988
8989 // from internal hardware
8990 .de (1'b0),
8991 .d ('0 ),
8992
8993 // to internal hardware
8994 .qe (),
8995 .q (reg2hw.mio_pad_sleep_en[2].q ),
8996
8997 // to register interface (read)
8998 .qs (mio_pad_sleep_en_2_qs)
8999 );
9000
9001 // Subregister 3 of Multireg mio_pad_sleep_en
9002 // R[mio_pad_sleep_en_3]: V(False)
9003
9004 prim_subreg #(
9005 .DW (1),
9006 .SWACCESS("RW"),
9007 .RESVAL (1'h0)
9008 ) u_mio_pad_sleep_en_3 (
9009 .clk_i (clk_i ),
9010 .rst_ni (rst_ni ),
9011
9012 // from register interface (qualified with register enable)
9013 .we (mio_pad_sleep_en_3_we & mio_pad_sleep_regwen_3_qs),
9014 .wd (mio_pad_sleep_en_3_wd),
9015
9016 // from internal hardware
9017 .de (1'b0),
9018 .d ('0 ),
9019
9020 // to internal hardware
9021 .qe (),
9022 .q (reg2hw.mio_pad_sleep_en[3].q ),
9023
9024 // to register interface (read)
9025 .qs (mio_pad_sleep_en_3_qs)
9026 );
9027
9028 // Subregister 4 of Multireg mio_pad_sleep_en
9029 // R[mio_pad_sleep_en_4]: V(False)
9030
9031 prim_subreg #(
9032 .DW (1),
9033 .SWACCESS("RW"),
9034 .RESVAL (1'h0)
9035 ) u_mio_pad_sleep_en_4 (
9036 .clk_i (clk_i ),
9037 .rst_ni (rst_ni ),
9038
9039 // from register interface (qualified with register enable)
9040 .we (mio_pad_sleep_en_4_we & mio_pad_sleep_regwen_4_qs),
9041 .wd (mio_pad_sleep_en_4_wd),
9042
9043 // from internal hardware
9044 .de (1'b0),
9045 .d ('0 ),
9046
9047 // to internal hardware
9048 .qe (),
9049 .q (reg2hw.mio_pad_sleep_en[4].q ),
9050
9051 // to register interface (read)
9052 .qs (mio_pad_sleep_en_4_qs)
9053 );
9054
9055 // Subregister 5 of Multireg mio_pad_sleep_en
9056 // R[mio_pad_sleep_en_5]: V(False)
9057
9058 prim_subreg #(
9059 .DW (1),
9060 .SWACCESS("RW"),
9061 .RESVAL (1'h0)
9062 ) u_mio_pad_sleep_en_5 (
9063 .clk_i (clk_i ),
9064 .rst_ni (rst_ni ),
9065
9066 // from register interface (qualified with register enable)
9067 .we (mio_pad_sleep_en_5_we & mio_pad_sleep_regwen_5_qs),
9068 .wd (mio_pad_sleep_en_5_wd),
9069
9070 // from internal hardware
9071 .de (1'b0),
9072 .d ('0 ),
9073
9074 // to internal hardware
9075 .qe (),
9076 .q (reg2hw.mio_pad_sleep_en[5].q ),
9077
9078 // to register interface (read)
9079 .qs (mio_pad_sleep_en_5_qs)
9080 );
9081
9082 // Subregister 6 of Multireg mio_pad_sleep_en
9083 // R[mio_pad_sleep_en_6]: V(False)
9084
9085 prim_subreg #(
9086 .DW (1),
9087 .SWACCESS("RW"),
9088 .RESVAL (1'h0)
9089 ) u_mio_pad_sleep_en_6 (
9090 .clk_i (clk_i ),
9091 .rst_ni (rst_ni ),
9092
9093 // from register interface (qualified with register enable)
9094 .we (mio_pad_sleep_en_6_we & mio_pad_sleep_regwen_6_qs),
9095 .wd (mio_pad_sleep_en_6_wd),
9096
9097 // from internal hardware
9098 .de (1'b0),
9099 .d ('0 ),
9100
9101 // to internal hardware
9102 .qe (),
9103 .q (reg2hw.mio_pad_sleep_en[6].q ),
9104
9105 // to register interface (read)
9106 .qs (mio_pad_sleep_en_6_qs)
9107 );
9108
9109 // Subregister 7 of Multireg mio_pad_sleep_en
9110 // R[mio_pad_sleep_en_7]: V(False)
9111
9112 prim_subreg #(
9113 .DW (1),
9114 .SWACCESS("RW"),
9115 .RESVAL (1'h0)
9116 ) u_mio_pad_sleep_en_7 (
9117 .clk_i (clk_i ),
9118 .rst_ni (rst_ni ),
9119
9120 // from register interface (qualified with register enable)
9121 .we (mio_pad_sleep_en_7_we & mio_pad_sleep_regwen_7_qs),
9122 .wd (mio_pad_sleep_en_7_wd),
9123
9124 // from internal hardware
9125 .de (1'b0),
9126 .d ('0 ),
9127
9128 // to internal hardware
9129 .qe (),
9130 .q (reg2hw.mio_pad_sleep_en[7].q ),
9131
9132 // to register interface (read)
9133 .qs (mio_pad_sleep_en_7_qs)
9134 );
9135
9136 // Subregister 8 of Multireg mio_pad_sleep_en
9137 // R[mio_pad_sleep_en_8]: V(False)
9138
9139 prim_subreg #(
9140 .DW (1),
9141 .SWACCESS("RW"),
9142 .RESVAL (1'h0)
9143 ) u_mio_pad_sleep_en_8 (
9144 .clk_i (clk_i ),
9145 .rst_ni (rst_ni ),
9146
9147 // from register interface (qualified with register enable)
9148 .we (mio_pad_sleep_en_8_we & mio_pad_sleep_regwen_8_qs),
9149 .wd (mio_pad_sleep_en_8_wd),
9150
9151 // from internal hardware
9152 .de (1'b0),
9153 .d ('0 ),
9154
9155 // to internal hardware
9156 .qe (),
9157 .q (reg2hw.mio_pad_sleep_en[8].q ),
9158
9159 // to register interface (read)
9160 .qs (mio_pad_sleep_en_8_qs)
9161 );
9162
9163 // Subregister 9 of Multireg mio_pad_sleep_en
9164 // R[mio_pad_sleep_en_9]: V(False)
9165
9166 prim_subreg #(
9167 .DW (1),
9168 .SWACCESS("RW"),
9169 .RESVAL (1'h0)
9170 ) u_mio_pad_sleep_en_9 (
9171 .clk_i (clk_i ),
9172 .rst_ni (rst_ni ),
9173
9174 // from register interface (qualified with register enable)
9175 .we (mio_pad_sleep_en_9_we & mio_pad_sleep_regwen_9_qs),
9176 .wd (mio_pad_sleep_en_9_wd),
9177
9178 // from internal hardware
9179 .de (1'b0),
9180 .d ('0 ),
9181
9182 // to internal hardware
9183 .qe (),
9184 .q (reg2hw.mio_pad_sleep_en[9].q ),
9185
9186 // to register interface (read)
9187 .qs (mio_pad_sleep_en_9_qs)
9188 );
9189
9190 // Subregister 10 of Multireg mio_pad_sleep_en
9191 // R[mio_pad_sleep_en_10]: V(False)
9192
9193 prim_subreg #(
9194 .DW (1),
9195 .SWACCESS("RW"),
9196 .RESVAL (1'h0)
9197 ) u_mio_pad_sleep_en_10 (
9198 .clk_i (clk_i ),
9199 .rst_ni (rst_ni ),
9200
9201 // from register interface (qualified with register enable)
9202 .we (mio_pad_sleep_en_10_we & mio_pad_sleep_regwen_10_qs),
9203 .wd (mio_pad_sleep_en_10_wd),
9204
9205 // from internal hardware
9206 .de (1'b0),
9207 .d ('0 ),
9208
9209 // to internal hardware
9210 .qe (),
9211 .q (reg2hw.mio_pad_sleep_en[10].q ),
9212
9213 // to register interface (read)
9214 .qs (mio_pad_sleep_en_10_qs)
9215 );
9216
9217 // Subregister 11 of Multireg mio_pad_sleep_en
9218 // R[mio_pad_sleep_en_11]: V(False)
9219
9220 prim_subreg #(
9221 .DW (1),
9222 .SWACCESS("RW"),
9223 .RESVAL (1'h0)
9224 ) u_mio_pad_sleep_en_11 (
9225 .clk_i (clk_i ),
9226 .rst_ni (rst_ni ),
9227
9228 // from register interface (qualified with register enable)
9229 .we (mio_pad_sleep_en_11_we & mio_pad_sleep_regwen_11_qs),
9230 .wd (mio_pad_sleep_en_11_wd),
9231
9232 // from internal hardware
9233 .de (1'b0),
9234 .d ('0 ),
9235
9236 // to internal hardware
9237 .qe (),
9238 .q (reg2hw.mio_pad_sleep_en[11].q ),
9239
9240 // to register interface (read)
9241 .qs (mio_pad_sleep_en_11_qs)
9242 );
9243
9244 // Subregister 12 of Multireg mio_pad_sleep_en
9245 // R[mio_pad_sleep_en_12]: V(False)
9246
9247 prim_subreg #(
9248 .DW (1),
9249 .SWACCESS("RW"),
9250 .RESVAL (1'h0)
9251 ) u_mio_pad_sleep_en_12 (
9252 .clk_i (clk_i ),
9253 .rst_ni (rst_ni ),
9254
9255 // from register interface (qualified with register enable)
9256 .we (mio_pad_sleep_en_12_we & mio_pad_sleep_regwen_12_qs),
9257 .wd (mio_pad_sleep_en_12_wd),
9258
9259 // from internal hardware
9260 .de (1'b0),
9261 .d ('0 ),
9262
9263 // to internal hardware
9264 .qe (),
9265 .q (reg2hw.mio_pad_sleep_en[12].q ),
9266
9267 // to register interface (read)
9268 .qs (mio_pad_sleep_en_12_qs)
9269 );
9270
9271 // Subregister 13 of Multireg mio_pad_sleep_en
9272 // R[mio_pad_sleep_en_13]: V(False)
9273
9274 prim_subreg #(
9275 .DW (1),
9276 .SWACCESS("RW"),
9277 .RESVAL (1'h0)
9278 ) u_mio_pad_sleep_en_13 (
9279 .clk_i (clk_i ),
9280 .rst_ni (rst_ni ),
9281
9282 // from register interface (qualified with register enable)
9283 .we (mio_pad_sleep_en_13_we & mio_pad_sleep_regwen_13_qs),
9284 .wd (mio_pad_sleep_en_13_wd),
9285
9286 // from internal hardware
9287 .de (1'b0),
9288 .d ('0 ),
9289
9290 // to internal hardware
9291 .qe (),
9292 .q (reg2hw.mio_pad_sleep_en[13].q ),
9293
9294 // to register interface (read)
9295 .qs (mio_pad_sleep_en_13_qs)
9296 );
9297
9298 // Subregister 14 of Multireg mio_pad_sleep_en
9299 // R[mio_pad_sleep_en_14]: V(False)
9300
9301 prim_subreg #(
9302 .DW (1),
9303 .SWACCESS("RW"),
9304 .RESVAL (1'h0)
9305 ) u_mio_pad_sleep_en_14 (
9306 .clk_i (clk_i ),
9307 .rst_ni (rst_ni ),
9308
9309 // from register interface (qualified with register enable)
9310 .we (mio_pad_sleep_en_14_we & mio_pad_sleep_regwen_14_qs),
9311 .wd (mio_pad_sleep_en_14_wd),
9312
9313 // from internal hardware
9314 .de (1'b0),
9315 .d ('0 ),
9316
9317 // to internal hardware
9318 .qe (),
9319 .q (reg2hw.mio_pad_sleep_en[14].q ),
9320
9321 // to register interface (read)
9322 .qs (mio_pad_sleep_en_14_qs)
9323 );
9324
9325 // Subregister 15 of Multireg mio_pad_sleep_en
9326 // R[mio_pad_sleep_en_15]: V(False)
9327
9328 prim_subreg #(
9329 .DW (1),
9330 .SWACCESS("RW"),
9331 .RESVAL (1'h0)
9332 ) u_mio_pad_sleep_en_15 (
9333 .clk_i (clk_i ),
9334 .rst_ni (rst_ni ),
9335
9336 // from register interface (qualified with register enable)
9337 .we (mio_pad_sleep_en_15_we & mio_pad_sleep_regwen_15_qs),
9338 .wd (mio_pad_sleep_en_15_wd),
9339
9340 // from internal hardware
9341 .de (1'b0),
9342 .d ('0 ),
9343
9344 // to internal hardware
9345 .qe (),
9346 .q (reg2hw.mio_pad_sleep_en[15].q ),
9347
9348 // to register interface (read)
9349 .qs (mio_pad_sleep_en_15_qs)
9350 );
9351
9352 // Subregister 16 of Multireg mio_pad_sleep_en
9353 // R[mio_pad_sleep_en_16]: V(False)
9354
9355 prim_subreg #(
9356 .DW (1),
9357 .SWACCESS("RW"),
9358 .RESVAL (1'h0)
9359 ) u_mio_pad_sleep_en_16 (
9360 .clk_i (clk_i ),
9361 .rst_ni (rst_ni ),
9362
9363 // from register interface (qualified with register enable)
9364 .we (mio_pad_sleep_en_16_we & mio_pad_sleep_regwen_16_qs),
9365 .wd (mio_pad_sleep_en_16_wd),
9366
9367 // from internal hardware
9368 .de (1'b0),
9369 .d ('0 ),
9370
9371 // to internal hardware
9372 .qe (),
9373 .q (reg2hw.mio_pad_sleep_en[16].q ),
9374
9375 // to register interface (read)
9376 .qs (mio_pad_sleep_en_16_qs)
9377 );
9378
9379 // Subregister 17 of Multireg mio_pad_sleep_en
9380 // R[mio_pad_sleep_en_17]: V(False)
9381
9382 prim_subreg #(
9383 .DW (1),
9384 .SWACCESS("RW"),
9385 .RESVAL (1'h0)
9386 ) u_mio_pad_sleep_en_17 (
9387 .clk_i (clk_i ),
9388 .rst_ni (rst_ni ),
9389
9390 // from register interface (qualified with register enable)
9391 .we (mio_pad_sleep_en_17_we & mio_pad_sleep_regwen_17_qs),
9392 .wd (mio_pad_sleep_en_17_wd),
9393
9394 // from internal hardware
9395 .de (1'b0),
9396 .d ('0 ),
9397
9398 // to internal hardware
9399 .qe (),
9400 .q (reg2hw.mio_pad_sleep_en[17].q ),
9401
9402 // to register interface (read)
9403 .qs (mio_pad_sleep_en_17_qs)
9404 );
9405
9406 // Subregister 18 of Multireg mio_pad_sleep_en
9407 // R[mio_pad_sleep_en_18]: V(False)
9408
9409 prim_subreg #(
9410 .DW (1),
9411 .SWACCESS("RW"),
9412 .RESVAL (1'h0)
9413 ) u_mio_pad_sleep_en_18 (
9414 .clk_i (clk_i ),
9415 .rst_ni (rst_ni ),
9416
9417 // from register interface (qualified with register enable)
9418 .we (mio_pad_sleep_en_18_we & mio_pad_sleep_regwen_18_qs),
9419 .wd (mio_pad_sleep_en_18_wd),
9420
9421 // from internal hardware
9422 .de (1'b0),
9423 .d ('0 ),
9424
9425 // to internal hardware
9426 .qe (),
9427 .q (reg2hw.mio_pad_sleep_en[18].q ),
9428
9429 // to register interface (read)
9430 .qs (mio_pad_sleep_en_18_qs)
9431 );
9432
9433 // Subregister 19 of Multireg mio_pad_sleep_en
9434 // R[mio_pad_sleep_en_19]: V(False)
9435
9436 prim_subreg #(
9437 .DW (1),
9438 .SWACCESS("RW"),
9439 .RESVAL (1'h0)
9440 ) u_mio_pad_sleep_en_19 (
9441 .clk_i (clk_i ),
9442 .rst_ni (rst_ni ),
9443
9444 // from register interface (qualified with register enable)
9445 .we (mio_pad_sleep_en_19_we & mio_pad_sleep_regwen_19_qs),
9446 .wd (mio_pad_sleep_en_19_wd),
9447
9448 // from internal hardware
9449 .de (1'b0),
9450 .d ('0 ),
9451
9452 // to internal hardware
9453 .qe (),
9454 .q (reg2hw.mio_pad_sleep_en[19].q ),
9455
9456 // to register interface (read)
9457 .qs (mio_pad_sleep_en_19_qs)
9458 );
9459
9460 // Subregister 20 of Multireg mio_pad_sleep_en
9461 // R[mio_pad_sleep_en_20]: V(False)
9462
9463 prim_subreg #(
9464 .DW (1),
9465 .SWACCESS("RW"),
9466 .RESVAL (1'h0)
9467 ) u_mio_pad_sleep_en_20 (
9468 .clk_i (clk_i ),
9469 .rst_ni (rst_ni ),
9470
9471 // from register interface (qualified with register enable)
9472 .we (mio_pad_sleep_en_20_we & mio_pad_sleep_regwen_20_qs),
9473 .wd (mio_pad_sleep_en_20_wd),
9474
9475 // from internal hardware
9476 .de (1'b0),
9477 .d ('0 ),
9478
9479 // to internal hardware
9480 .qe (),
9481 .q (reg2hw.mio_pad_sleep_en[20].q ),
9482
9483 // to register interface (read)
9484 .qs (mio_pad_sleep_en_20_qs)
9485 );
9486
9487 // Subregister 21 of Multireg mio_pad_sleep_en
9488 // R[mio_pad_sleep_en_21]: V(False)
9489
9490 prim_subreg #(
9491 .DW (1),
9492 .SWACCESS("RW"),
9493 .RESVAL (1'h0)
9494 ) u_mio_pad_sleep_en_21 (
9495 .clk_i (clk_i ),
9496 .rst_ni (rst_ni ),
9497
9498 // from register interface (qualified with register enable)
9499 .we (mio_pad_sleep_en_21_we & mio_pad_sleep_regwen_21_qs),
9500 .wd (mio_pad_sleep_en_21_wd),
9501
9502 // from internal hardware
9503 .de (1'b0),
9504 .d ('0 ),
9505
9506 // to internal hardware
9507 .qe (),
9508 .q (reg2hw.mio_pad_sleep_en[21].q ),
9509
9510 // to register interface (read)
9511 .qs (mio_pad_sleep_en_21_qs)
9512 );
9513
9514 // Subregister 22 of Multireg mio_pad_sleep_en
9515 // R[mio_pad_sleep_en_22]: V(False)
9516
9517 prim_subreg #(
9518 .DW (1),
9519 .SWACCESS("RW"),
9520 .RESVAL (1'h0)
9521 ) u_mio_pad_sleep_en_22 (
9522 .clk_i (clk_i ),
9523 .rst_ni (rst_ni ),
9524
9525 // from register interface (qualified with register enable)
9526 .we (mio_pad_sleep_en_22_we & mio_pad_sleep_regwen_22_qs),
9527 .wd (mio_pad_sleep_en_22_wd),
9528
9529 // from internal hardware
9530 .de (1'b0),
9531 .d ('0 ),
9532
9533 // to internal hardware
9534 .qe (),
9535 .q (reg2hw.mio_pad_sleep_en[22].q ),
9536
9537 // to register interface (read)
9538 .qs (mio_pad_sleep_en_22_qs)
9539 );
9540
9541 // Subregister 23 of Multireg mio_pad_sleep_en
9542 // R[mio_pad_sleep_en_23]: V(False)
9543
9544 prim_subreg #(
9545 .DW (1),
9546 .SWACCESS("RW"),
9547 .RESVAL (1'h0)
9548 ) u_mio_pad_sleep_en_23 (
9549 .clk_i (clk_i ),
9550 .rst_ni (rst_ni ),
9551
9552 // from register interface (qualified with register enable)
9553 .we (mio_pad_sleep_en_23_we & mio_pad_sleep_regwen_23_qs),
9554 .wd (mio_pad_sleep_en_23_wd),
9555
9556 // from internal hardware
9557 .de (1'b0),
9558 .d ('0 ),
9559
9560 // to internal hardware
9561 .qe (),
9562 .q (reg2hw.mio_pad_sleep_en[23].q ),
9563
9564 // to register interface (read)
9565 .qs (mio_pad_sleep_en_23_qs)
9566 );
9567
9568 // Subregister 24 of Multireg mio_pad_sleep_en
9569 // R[mio_pad_sleep_en_24]: V(False)
9570
9571 prim_subreg #(
9572 .DW (1),
9573 .SWACCESS("RW"),
9574 .RESVAL (1'h0)
9575 ) u_mio_pad_sleep_en_24 (
9576 .clk_i (clk_i ),
9577 .rst_ni (rst_ni ),
9578
9579 // from register interface (qualified with register enable)
9580 .we (mio_pad_sleep_en_24_we & mio_pad_sleep_regwen_24_qs),
9581 .wd (mio_pad_sleep_en_24_wd),
9582
9583 // from internal hardware
9584 .de (1'b0),
9585 .d ('0 ),
9586
9587 // to internal hardware
9588 .qe (),
9589 .q (reg2hw.mio_pad_sleep_en[24].q ),
9590
9591 // to register interface (read)
9592 .qs (mio_pad_sleep_en_24_qs)
9593 );
9594
9595 // Subregister 25 of Multireg mio_pad_sleep_en
9596 // R[mio_pad_sleep_en_25]: V(False)
9597
9598 prim_subreg #(
9599 .DW (1),
9600 .SWACCESS("RW"),
9601 .RESVAL (1'h0)
9602 ) u_mio_pad_sleep_en_25 (
9603 .clk_i (clk_i ),
9604 .rst_ni (rst_ni ),
9605
9606 // from register interface (qualified with register enable)
9607 .we (mio_pad_sleep_en_25_we & mio_pad_sleep_regwen_25_qs),
9608 .wd (mio_pad_sleep_en_25_wd),
9609
9610 // from internal hardware
9611 .de (1'b0),
9612 .d ('0 ),
9613
9614 // to internal hardware
9615 .qe (),
9616 .q (reg2hw.mio_pad_sleep_en[25].q ),
9617
9618 // to register interface (read)
9619 .qs (mio_pad_sleep_en_25_qs)
9620 );
9621
9622 // Subregister 26 of Multireg mio_pad_sleep_en
9623 // R[mio_pad_sleep_en_26]: V(False)
9624
9625 prim_subreg #(
9626 .DW (1),
9627 .SWACCESS("RW"),
9628 .RESVAL (1'h0)
9629 ) u_mio_pad_sleep_en_26 (
9630 .clk_i (clk_i ),
9631 .rst_ni (rst_ni ),
9632
9633 // from register interface (qualified with register enable)
9634 .we (mio_pad_sleep_en_26_we & mio_pad_sleep_regwen_26_qs),
9635 .wd (mio_pad_sleep_en_26_wd),
9636
9637 // from internal hardware
9638 .de (1'b0),
9639 .d ('0 ),
9640
9641 // to internal hardware
9642 .qe (),
9643 .q (reg2hw.mio_pad_sleep_en[26].q ),
9644
9645 // to register interface (read)
9646 .qs (mio_pad_sleep_en_26_qs)
9647 );
9648
9649 // Subregister 27 of Multireg mio_pad_sleep_en
9650 // R[mio_pad_sleep_en_27]: V(False)
9651
9652 prim_subreg #(
9653 .DW (1),
9654 .SWACCESS("RW"),
9655 .RESVAL (1'h0)
9656 ) u_mio_pad_sleep_en_27 (
9657 .clk_i (clk_i ),
9658 .rst_ni (rst_ni ),
9659
9660 // from register interface (qualified with register enable)
9661 .we (mio_pad_sleep_en_27_we & mio_pad_sleep_regwen_27_qs),
9662 .wd (mio_pad_sleep_en_27_wd),
9663
9664 // from internal hardware
9665 .de (1'b0),
9666 .d ('0 ),
9667
9668 // to internal hardware
9669 .qe (),
9670 .q (reg2hw.mio_pad_sleep_en[27].q ),
9671
9672 // to register interface (read)
9673 .qs (mio_pad_sleep_en_27_qs)
9674 );
9675
9676 // Subregister 28 of Multireg mio_pad_sleep_en
9677 // R[mio_pad_sleep_en_28]: V(False)
9678
9679 prim_subreg #(
9680 .DW (1),
9681 .SWACCESS("RW"),
9682 .RESVAL (1'h0)
9683 ) u_mio_pad_sleep_en_28 (
9684 .clk_i (clk_i ),
9685 .rst_ni (rst_ni ),
9686
9687 // from register interface (qualified with register enable)
9688 .we (mio_pad_sleep_en_28_we & mio_pad_sleep_regwen_28_qs),
9689 .wd (mio_pad_sleep_en_28_wd),
9690
9691 // from internal hardware
9692 .de (1'b0),
9693 .d ('0 ),
9694
9695 // to internal hardware
9696 .qe (),
9697 .q (reg2hw.mio_pad_sleep_en[28].q ),
9698
9699 // to register interface (read)
9700 .qs (mio_pad_sleep_en_28_qs)
9701 );
9702
9703 // Subregister 29 of Multireg mio_pad_sleep_en
9704 // R[mio_pad_sleep_en_29]: V(False)
9705
9706 prim_subreg #(
9707 .DW (1),
9708 .SWACCESS("RW"),
9709 .RESVAL (1'h0)
9710 ) u_mio_pad_sleep_en_29 (
9711 .clk_i (clk_i ),
9712 .rst_ni (rst_ni ),
9713
9714 // from register interface (qualified with register enable)
9715 .we (mio_pad_sleep_en_29_we & mio_pad_sleep_regwen_29_qs),
9716 .wd (mio_pad_sleep_en_29_wd),
9717
9718 // from internal hardware
9719 .de (1'b0),
9720 .d ('0 ),
9721
9722 // to internal hardware
9723 .qe (),
9724 .q (reg2hw.mio_pad_sleep_en[29].q ),
9725
9726 // to register interface (read)
9727 .qs (mio_pad_sleep_en_29_qs)
9728 );
9729
9730 // Subregister 30 of Multireg mio_pad_sleep_en
9731 // R[mio_pad_sleep_en_30]: V(False)
9732
9733 prim_subreg #(
9734 .DW (1),
9735 .SWACCESS("RW"),
9736 .RESVAL (1'h0)
9737 ) u_mio_pad_sleep_en_30 (
9738 .clk_i (clk_i ),
9739 .rst_ni (rst_ni ),
9740
9741 // from register interface (qualified with register enable)
9742 .we (mio_pad_sleep_en_30_we & mio_pad_sleep_regwen_30_qs),
9743 .wd (mio_pad_sleep_en_30_wd),
9744
9745 // from internal hardware
9746 .de (1'b0),
9747 .d ('0 ),
9748
9749 // to internal hardware
9750 .qe (),
9751 .q (reg2hw.mio_pad_sleep_en[30].q ),
9752
9753 // to register interface (read)
9754 .qs (mio_pad_sleep_en_30_qs)
9755 );
9756
9757 // Subregister 31 of Multireg mio_pad_sleep_en
9758 // R[mio_pad_sleep_en_31]: V(False)
9759
9760 prim_subreg #(
9761 .DW (1),
9762 .SWACCESS("RW"),
9763 .RESVAL (1'h0)
9764 ) u_mio_pad_sleep_en_31 (
9765 .clk_i (clk_i ),
9766 .rst_ni (rst_ni ),
9767
9768 // from register interface (qualified with register enable)
9769 .we (mio_pad_sleep_en_31_we & mio_pad_sleep_regwen_31_qs),
9770 .wd (mio_pad_sleep_en_31_wd),
9771
9772 // from internal hardware
9773 .de (1'b0),
9774 .d ('0 ),
9775
9776 // to internal hardware
9777 .qe (),
9778 .q (reg2hw.mio_pad_sleep_en[31].q ),
9779
9780 // to register interface (read)
9781 .qs (mio_pad_sleep_en_31_qs)
9782 );
9783
9784
9785
9786 // Subregister 0 of Multireg mio_pad_sleep_mode
9787 // R[mio_pad_sleep_mode_0]: V(False)
9788
9789 prim_subreg #(
9790 .DW (2),
9791 .SWACCESS("RW"),
9792 .RESVAL (2'h2)
9793 ) u_mio_pad_sleep_mode_0 (
9794 .clk_i (clk_i ),
9795 .rst_ni (rst_ni ),
9796
9797 // from register interface (qualified with register enable)
9798 .we (mio_pad_sleep_mode_0_we & mio_pad_sleep_regwen_0_qs),
9799 .wd (mio_pad_sleep_mode_0_wd),
9800
9801 // from internal hardware
9802 .de (1'b0),
9803 .d ('0 ),
9804
9805 // to internal hardware
9806 .qe (),
9807 .q (reg2hw.mio_pad_sleep_mode[0].q ),
9808
9809 // to register interface (read)
9810 .qs (mio_pad_sleep_mode_0_qs)
9811 );
9812
9813 // Subregister 1 of Multireg mio_pad_sleep_mode
9814 // R[mio_pad_sleep_mode_1]: V(False)
9815
9816 prim_subreg #(
9817 .DW (2),
9818 .SWACCESS("RW"),
9819 .RESVAL (2'h2)
9820 ) u_mio_pad_sleep_mode_1 (
9821 .clk_i (clk_i ),
9822 .rst_ni (rst_ni ),
9823
9824 // from register interface (qualified with register enable)
9825 .we (mio_pad_sleep_mode_1_we & mio_pad_sleep_regwen_1_qs),
9826 .wd (mio_pad_sleep_mode_1_wd),
9827
9828 // from internal hardware
9829 .de (1'b0),
9830 .d ('0 ),
9831
9832 // to internal hardware
9833 .qe (),
9834 .q (reg2hw.mio_pad_sleep_mode[1].q ),
9835
9836 // to register interface (read)
9837 .qs (mio_pad_sleep_mode_1_qs)
9838 );
9839
9840 // Subregister 2 of Multireg mio_pad_sleep_mode
9841 // R[mio_pad_sleep_mode_2]: V(False)
9842
9843 prim_subreg #(
9844 .DW (2),
9845 .SWACCESS("RW"),
9846 .RESVAL (2'h2)
9847 ) u_mio_pad_sleep_mode_2 (
9848 .clk_i (clk_i ),
9849 .rst_ni (rst_ni ),
9850
9851 // from register interface (qualified with register enable)
9852 .we (mio_pad_sleep_mode_2_we & mio_pad_sleep_regwen_2_qs),
9853 .wd (mio_pad_sleep_mode_2_wd),
9854
9855 // from internal hardware
9856 .de (1'b0),
9857 .d ('0 ),
9858
9859 // to internal hardware
9860 .qe (),
9861 .q (reg2hw.mio_pad_sleep_mode[2].q ),
9862
9863 // to register interface (read)
9864 .qs (mio_pad_sleep_mode_2_qs)
9865 );
9866
9867 // Subregister 3 of Multireg mio_pad_sleep_mode
9868 // R[mio_pad_sleep_mode_3]: V(False)
9869
9870 prim_subreg #(
9871 .DW (2),
9872 .SWACCESS("RW"),
9873 .RESVAL (2'h2)
9874 ) u_mio_pad_sleep_mode_3 (
9875 .clk_i (clk_i ),
9876 .rst_ni (rst_ni ),
9877
9878 // from register interface (qualified with register enable)
9879 .we (mio_pad_sleep_mode_3_we & mio_pad_sleep_regwen_3_qs),
9880 .wd (mio_pad_sleep_mode_3_wd),
9881
9882 // from internal hardware
9883 .de (1'b0),
9884 .d ('0 ),
9885
9886 // to internal hardware
9887 .qe (),
9888 .q (reg2hw.mio_pad_sleep_mode[3].q ),
9889
9890 // to register interface (read)
9891 .qs (mio_pad_sleep_mode_3_qs)
9892 );
9893
9894 // Subregister 4 of Multireg mio_pad_sleep_mode
9895 // R[mio_pad_sleep_mode_4]: V(False)
9896
9897 prim_subreg #(
9898 .DW (2),
9899 .SWACCESS("RW"),
9900 .RESVAL (2'h2)
9901 ) u_mio_pad_sleep_mode_4 (
9902 .clk_i (clk_i ),
9903 .rst_ni (rst_ni ),
9904
9905 // from register interface (qualified with register enable)
9906 .we (mio_pad_sleep_mode_4_we & mio_pad_sleep_regwen_4_qs),
9907 .wd (mio_pad_sleep_mode_4_wd),
9908
9909 // from internal hardware
9910 .de (1'b0),
9911 .d ('0 ),
9912
9913 // to internal hardware
9914 .qe (),
9915 .q (reg2hw.mio_pad_sleep_mode[4].q ),
9916
9917 // to register interface (read)
9918 .qs (mio_pad_sleep_mode_4_qs)
9919 );
9920
9921 // Subregister 5 of Multireg mio_pad_sleep_mode
9922 // R[mio_pad_sleep_mode_5]: V(False)
9923
9924 prim_subreg #(
9925 .DW (2),
9926 .SWACCESS("RW"),
9927 .RESVAL (2'h2)
9928 ) u_mio_pad_sleep_mode_5 (
9929 .clk_i (clk_i ),
9930 .rst_ni (rst_ni ),
9931
9932 // from register interface (qualified with register enable)
9933 .we (mio_pad_sleep_mode_5_we & mio_pad_sleep_regwen_5_qs),
9934 .wd (mio_pad_sleep_mode_5_wd),
9935
9936 // from internal hardware
9937 .de (1'b0),
9938 .d ('0 ),
9939
9940 // to internal hardware
9941 .qe (),
9942 .q (reg2hw.mio_pad_sleep_mode[5].q ),
9943
9944 // to register interface (read)
9945 .qs (mio_pad_sleep_mode_5_qs)
9946 );
9947
9948 // Subregister 6 of Multireg mio_pad_sleep_mode
9949 // R[mio_pad_sleep_mode_6]: V(False)
9950
9951 prim_subreg #(
9952 .DW (2),
9953 .SWACCESS("RW"),
9954 .RESVAL (2'h2)
9955 ) u_mio_pad_sleep_mode_6 (
9956 .clk_i (clk_i ),
9957 .rst_ni (rst_ni ),
9958
9959 // from register interface (qualified with register enable)
9960 .we (mio_pad_sleep_mode_6_we & mio_pad_sleep_regwen_6_qs),
9961 .wd (mio_pad_sleep_mode_6_wd),
9962
9963 // from internal hardware
9964 .de (1'b0),
9965 .d ('0 ),
9966
9967 // to internal hardware
9968 .qe (),
9969 .q (reg2hw.mio_pad_sleep_mode[6].q ),
9970
9971 // to register interface (read)
9972 .qs (mio_pad_sleep_mode_6_qs)
9973 );
9974
9975 // Subregister 7 of Multireg mio_pad_sleep_mode
9976 // R[mio_pad_sleep_mode_7]: V(False)
9977
9978 prim_subreg #(
9979 .DW (2),
9980 .SWACCESS("RW"),
9981 .RESVAL (2'h2)
9982 ) u_mio_pad_sleep_mode_7 (
9983 .clk_i (clk_i ),
9984 .rst_ni (rst_ni ),
9985
9986 // from register interface (qualified with register enable)
9987 .we (mio_pad_sleep_mode_7_we & mio_pad_sleep_regwen_7_qs),
9988 .wd (mio_pad_sleep_mode_7_wd),
9989
9990 // from internal hardware
9991 .de (1'b0),
9992 .d ('0 ),
9993
9994 // to internal hardware
9995 .qe (),
9996 .q (reg2hw.mio_pad_sleep_mode[7].q ),
9997
9998 // to register interface (read)
9999 .qs (mio_pad_sleep_mode_7_qs)
10000 );
10001
10002 // Subregister 8 of Multireg mio_pad_sleep_mode
10003 // R[mio_pad_sleep_mode_8]: V(False)
10004
10005 prim_subreg #(
10006 .DW (2),
10007 .SWACCESS("RW"),
10008 .RESVAL (2'h2)
10009 ) u_mio_pad_sleep_mode_8 (
10010 .clk_i (clk_i ),
10011 .rst_ni (rst_ni ),
10012
10013 // from register interface (qualified with register enable)
10014 .we (mio_pad_sleep_mode_8_we & mio_pad_sleep_regwen_8_qs),
10015 .wd (mio_pad_sleep_mode_8_wd),
10016
10017 // from internal hardware
10018 .de (1'b0),
10019 .d ('0 ),
10020
10021 // to internal hardware
10022 .qe (),
10023 .q (reg2hw.mio_pad_sleep_mode[8].q ),
10024
10025 // to register interface (read)
10026 .qs (mio_pad_sleep_mode_8_qs)
10027 );
10028
10029 // Subregister 9 of Multireg mio_pad_sleep_mode
10030 // R[mio_pad_sleep_mode_9]: V(False)
10031
10032 prim_subreg #(
10033 .DW (2),
10034 .SWACCESS("RW"),
10035 .RESVAL (2'h2)
10036 ) u_mio_pad_sleep_mode_9 (
10037 .clk_i (clk_i ),
10038 .rst_ni (rst_ni ),
10039
10040 // from register interface (qualified with register enable)
10041 .we (mio_pad_sleep_mode_9_we & mio_pad_sleep_regwen_9_qs),
10042 .wd (mio_pad_sleep_mode_9_wd),
10043
10044 // from internal hardware
10045 .de (1'b0),
10046 .d ('0 ),
10047
10048 // to internal hardware
10049 .qe (),
10050 .q (reg2hw.mio_pad_sleep_mode[9].q ),
10051
10052 // to register interface (read)
10053 .qs (mio_pad_sleep_mode_9_qs)
10054 );
10055
10056 // Subregister 10 of Multireg mio_pad_sleep_mode
10057 // R[mio_pad_sleep_mode_10]: V(False)
10058
10059 prim_subreg #(
10060 .DW (2),
10061 .SWACCESS("RW"),
10062 .RESVAL (2'h2)
10063 ) u_mio_pad_sleep_mode_10 (
10064 .clk_i (clk_i ),
10065 .rst_ni (rst_ni ),
10066
10067 // from register interface (qualified with register enable)
10068 .we (mio_pad_sleep_mode_10_we & mio_pad_sleep_regwen_10_qs),
10069 .wd (mio_pad_sleep_mode_10_wd),
10070
10071 // from internal hardware
10072 .de (1'b0),
10073 .d ('0 ),
10074
10075 // to internal hardware
10076 .qe (),
10077 .q (reg2hw.mio_pad_sleep_mode[10].q ),
10078
10079 // to register interface (read)
10080 .qs (mio_pad_sleep_mode_10_qs)
10081 );
10082
10083 // Subregister 11 of Multireg mio_pad_sleep_mode
10084 // R[mio_pad_sleep_mode_11]: V(False)
10085
10086 prim_subreg #(
10087 .DW (2),
10088 .SWACCESS("RW"),
10089 .RESVAL (2'h2)
10090 ) u_mio_pad_sleep_mode_11 (
10091 .clk_i (clk_i ),
10092 .rst_ni (rst_ni ),
10093
10094 // from register interface (qualified with register enable)
10095 .we (mio_pad_sleep_mode_11_we & mio_pad_sleep_regwen_11_qs),
10096 .wd (mio_pad_sleep_mode_11_wd),
10097
10098 // from internal hardware
10099 .de (1'b0),
10100 .d ('0 ),
10101
10102 // to internal hardware
10103 .qe (),
10104 .q (reg2hw.mio_pad_sleep_mode[11].q ),
10105
10106 // to register interface (read)
10107 .qs (mio_pad_sleep_mode_11_qs)
10108 );
10109
10110 // Subregister 12 of Multireg mio_pad_sleep_mode
10111 // R[mio_pad_sleep_mode_12]: V(False)
10112
10113 prim_subreg #(
10114 .DW (2),
10115 .SWACCESS("RW"),
10116 .RESVAL (2'h2)
10117 ) u_mio_pad_sleep_mode_12 (
10118 .clk_i (clk_i ),
10119 .rst_ni (rst_ni ),
10120
10121 // from register interface (qualified with register enable)
10122 .we (mio_pad_sleep_mode_12_we & mio_pad_sleep_regwen_12_qs),
10123 .wd (mio_pad_sleep_mode_12_wd),
10124
10125 // from internal hardware
10126 .de (1'b0),
10127 .d ('0 ),
10128
10129 // to internal hardware
10130 .qe (),
10131 .q (reg2hw.mio_pad_sleep_mode[12].q ),
10132
10133 // to register interface (read)
10134 .qs (mio_pad_sleep_mode_12_qs)
10135 );
10136
10137 // Subregister 13 of Multireg mio_pad_sleep_mode
10138 // R[mio_pad_sleep_mode_13]: V(False)
10139
10140 prim_subreg #(
10141 .DW (2),
10142 .SWACCESS("RW"),
10143 .RESVAL (2'h2)
10144 ) u_mio_pad_sleep_mode_13 (
10145 .clk_i (clk_i ),
10146 .rst_ni (rst_ni ),
10147
10148 // from register interface (qualified with register enable)
10149 .we (mio_pad_sleep_mode_13_we & mio_pad_sleep_regwen_13_qs),
10150 .wd (mio_pad_sleep_mode_13_wd),
10151
10152 // from internal hardware
10153 .de (1'b0),
10154 .d ('0 ),
10155
10156 // to internal hardware
10157 .qe (),
10158 .q (reg2hw.mio_pad_sleep_mode[13].q ),
10159
10160 // to register interface (read)
10161 .qs (mio_pad_sleep_mode_13_qs)
10162 );
10163
10164 // Subregister 14 of Multireg mio_pad_sleep_mode
10165 // R[mio_pad_sleep_mode_14]: V(False)
10166
10167 prim_subreg #(
10168 .DW (2),
10169 .SWACCESS("RW"),
10170 .RESVAL (2'h2)
10171 ) u_mio_pad_sleep_mode_14 (
10172 .clk_i (clk_i ),
10173 .rst_ni (rst_ni ),
10174
10175 // from register interface (qualified with register enable)
10176 .we (mio_pad_sleep_mode_14_we & mio_pad_sleep_regwen_14_qs),
10177 .wd (mio_pad_sleep_mode_14_wd),
10178
10179 // from internal hardware
10180 .de (1'b0),
10181 .d ('0 ),
10182
10183 // to internal hardware
10184 .qe (),
10185 .q (reg2hw.mio_pad_sleep_mode[14].q ),
10186
10187 // to register interface (read)
10188 .qs (mio_pad_sleep_mode_14_qs)
10189 );
10190
10191 // Subregister 15 of Multireg mio_pad_sleep_mode
10192 // R[mio_pad_sleep_mode_15]: V(False)
10193
10194 prim_subreg #(
10195 .DW (2),
10196 .SWACCESS("RW"),
10197 .RESVAL (2'h2)
10198 ) u_mio_pad_sleep_mode_15 (
10199 .clk_i (clk_i ),
10200 .rst_ni (rst_ni ),
10201
10202 // from register interface (qualified with register enable)
10203 .we (mio_pad_sleep_mode_15_we & mio_pad_sleep_regwen_15_qs),
10204 .wd (mio_pad_sleep_mode_15_wd),
10205
10206 // from internal hardware
10207 .de (1'b0),
10208 .d ('0 ),
10209
10210 // to internal hardware
10211 .qe (),
10212 .q (reg2hw.mio_pad_sleep_mode[15].q ),
10213
10214 // to register interface (read)
10215 .qs (mio_pad_sleep_mode_15_qs)
10216 );
10217
10218 // Subregister 16 of Multireg mio_pad_sleep_mode
10219 // R[mio_pad_sleep_mode_16]: V(False)
10220
10221 prim_subreg #(
10222 .DW (2),
10223 .SWACCESS("RW"),
10224 .RESVAL (2'h2)
10225 ) u_mio_pad_sleep_mode_16 (
10226 .clk_i (clk_i ),
10227 .rst_ni (rst_ni ),
10228
10229 // from register interface (qualified with register enable)
10230 .we (mio_pad_sleep_mode_16_we & mio_pad_sleep_regwen_16_qs),
10231 .wd (mio_pad_sleep_mode_16_wd),
10232
10233 // from internal hardware
10234 .de (1'b0),
10235 .d ('0 ),
10236
10237 // to internal hardware
10238 .qe (),
10239 .q (reg2hw.mio_pad_sleep_mode[16].q ),
10240
10241 // to register interface (read)
10242 .qs (mio_pad_sleep_mode_16_qs)
10243 );
10244
10245 // Subregister 17 of Multireg mio_pad_sleep_mode
10246 // R[mio_pad_sleep_mode_17]: V(False)
10247
10248 prim_subreg #(
10249 .DW (2),
10250 .SWACCESS("RW"),
10251 .RESVAL (2'h2)
10252 ) u_mio_pad_sleep_mode_17 (
10253 .clk_i (clk_i ),
10254 .rst_ni (rst_ni ),
10255
10256 // from register interface (qualified with register enable)
10257 .we (mio_pad_sleep_mode_17_we & mio_pad_sleep_regwen_17_qs),
10258 .wd (mio_pad_sleep_mode_17_wd),
10259
10260 // from internal hardware
10261 .de (1'b0),
10262 .d ('0 ),
10263
10264 // to internal hardware
10265 .qe (),
10266 .q (reg2hw.mio_pad_sleep_mode[17].q ),
10267
10268 // to register interface (read)
10269 .qs (mio_pad_sleep_mode_17_qs)
10270 );
10271
10272 // Subregister 18 of Multireg mio_pad_sleep_mode
10273 // R[mio_pad_sleep_mode_18]: V(False)
10274
10275 prim_subreg #(
10276 .DW (2),
10277 .SWACCESS("RW"),
10278 .RESVAL (2'h2)
10279 ) u_mio_pad_sleep_mode_18 (
10280 .clk_i (clk_i ),
10281 .rst_ni (rst_ni ),
10282
10283 // from register interface (qualified with register enable)
10284 .we (mio_pad_sleep_mode_18_we & mio_pad_sleep_regwen_18_qs),
10285 .wd (mio_pad_sleep_mode_18_wd),
10286
10287 // from internal hardware
10288 .de (1'b0),
10289 .d ('0 ),
10290
10291 // to internal hardware
10292 .qe (),
10293 .q (reg2hw.mio_pad_sleep_mode[18].q ),
10294
10295 // to register interface (read)
10296 .qs (mio_pad_sleep_mode_18_qs)
10297 );
10298
10299 // Subregister 19 of Multireg mio_pad_sleep_mode
10300 // R[mio_pad_sleep_mode_19]: V(False)
10301
10302 prim_subreg #(
10303 .DW (2),
10304 .SWACCESS("RW"),
10305 .RESVAL (2'h2)
10306 ) u_mio_pad_sleep_mode_19 (
10307 .clk_i (clk_i ),
10308 .rst_ni (rst_ni ),
10309
10310 // from register interface (qualified with register enable)
10311 .we (mio_pad_sleep_mode_19_we & mio_pad_sleep_regwen_19_qs),
10312 .wd (mio_pad_sleep_mode_19_wd),
10313
10314 // from internal hardware
10315 .de (1'b0),
10316 .d ('0 ),
10317
10318 // to internal hardware
10319 .qe (),
10320 .q (reg2hw.mio_pad_sleep_mode[19].q ),
10321
10322 // to register interface (read)
10323 .qs (mio_pad_sleep_mode_19_qs)
10324 );
10325
10326 // Subregister 20 of Multireg mio_pad_sleep_mode
10327 // R[mio_pad_sleep_mode_20]: V(False)
10328
10329 prim_subreg #(
10330 .DW (2),
10331 .SWACCESS("RW"),
10332 .RESVAL (2'h2)
10333 ) u_mio_pad_sleep_mode_20 (
10334 .clk_i (clk_i ),
10335 .rst_ni (rst_ni ),
10336
10337 // from register interface (qualified with register enable)
10338 .we (mio_pad_sleep_mode_20_we & mio_pad_sleep_regwen_20_qs),
10339 .wd (mio_pad_sleep_mode_20_wd),
10340
10341 // from internal hardware
10342 .de (1'b0),
10343 .d ('0 ),
10344
10345 // to internal hardware
10346 .qe (),
10347 .q (reg2hw.mio_pad_sleep_mode[20].q ),
10348
10349 // to register interface (read)
10350 .qs (mio_pad_sleep_mode_20_qs)
10351 );
10352
10353 // Subregister 21 of Multireg mio_pad_sleep_mode
10354 // R[mio_pad_sleep_mode_21]: V(False)
10355
10356 prim_subreg #(
10357 .DW (2),
10358 .SWACCESS("RW"),
10359 .RESVAL (2'h2)
10360 ) u_mio_pad_sleep_mode_21 (
10361 .clk_i (clk_i ),
10362 .rst_ni (rst_ni ),
10363
10364 // from register interface (qualified with register enable)
10365 .we (mio_pad_sleep_mode_21_we & mio_pad_sleep_regwen_21_qs),
10366 .wd (mio_pad_sleep_mode_21_wd),
10367
10368 // from internal hardware
10369 .de (1'b0),
10370 .d ('0 ),
10371
10372 // to internal hardware
10373 .qe (),
10374 .q (reg2hw.mio_pad_sleep_mode[21].q ),
10375
10376 // to register interface (read)
10377 .qs (mio_pad_sleep_mode_21_qs)
10378 );
10379
10380 // Subregister 22 of Multireg mio_pad_sleep_mode
10381 // R[mio_pad_sleep_mode_22]: V(False)
10382
10383 prim_subreg #(
10384 .DW (2),
10385 .SWACCESS("RW"),
10386 .RESVAL (2'h2)
10387 ) u_mio_pad_sleep_mode_22 (
10388 .clk_i (clk_i ),
10389 .rst_ni (rst_ni ),
10390
10391 // from register interface (qualified with register enable)
10392 .we (mio_pad_sleep_mode_22_we & mio_pad_sleep_regwen_22_qs),
10393 .wd (mio_pad_sleep_mode_22_wd),
10394
10395 // from internal hardware
10396 .de (1'b0),
10397 .d ('0 ),
10398
10399 // to internal hardware
10400 .qe (),
10401 .q (reg2hw.mio_pad_sleep_mode[22].q ),
10402
10403 // to register interface (read)
10404 .qs (mio_pad_sleep_mode_22_qs)
10405 );
10406
10407 // Subregister 23 of Multireg mio_pad_sleep_mode
10408 // R[mio_pad_sleep_mode_23]: V(False)
10409
10410 prim_subreg #(
10411 .DW (2),
10412 .SWACCESS("RW"),
10413 .RESVAL (2'h2)
10414 ) u_mio_pad_sleep_mode_23 (
10415 .clk_i (clk_i ),
10416 .rst_ni (rst_ni ),
10417
10418 // from register interface (qualified with register enable)
10419 .we (mio_pad_sleep_mode_23_we & mio_pad_sleep_regwen_23_qs),
10420 .wd (mio_pad_sleep_mode_23_wd),
10421
10422 // from internal hardware
10423 .de (1'b0),
10424 .d ('0 ),
10425
10426 // to internal hardware
10427 .qe (),
10428 .q (reg2hw.mio_pad_sleep_mode[23].q ),
10429
10430 // to register interface (read)
10431 .qs (mio_pad_sleep_mode_23_qs)
10432 );
10433
10434 // Subregister 24 of Multireg mio_pad_sleep_mode
10435 // R[mio_pad_sleep_mode_24]: V(False)
10436
10437 prim_subreg #(
10438 .DW (2),
10439 .SWACCESS("RW"),
10440 .RESVAL (2'h2)
10441 ) u_mio_pad_sleep_mode_24 (
10442 .clk_i (clk_i ),
10443 .rst_ni (rst_ni ),
10444
10445 // from register interface (qualified with register enable)
10446 .we (mio_pad_sleep_mode_24_we & mio_pad_sleep_regwen_24_qs),
10447 .wd (mio_pad_sleep_mode_24_wd),
10448
10449 // from internal hardware
10450 .de (1'b0),
10451 .d ('0 ),
10452
10453 // to internal hardware
10454 .qe (),
10455 .q (reg2hw.mio_pad_sleep_mode[24].q ),
10456
10457 // to register interface (read)
10458 .qs (mio_pad_sleep_mode_24_qs)
10459 );
10460
10461 // Subregister 25 of Multireg mio_pad_sleep_mode
10462 // R[mio_pad_sleep_mode_25]: V(False)
10463
10464 prim_subreg #(
10465 .DW (2),
10466 .SWACCESS("RW"),
10467 .RESVAL (2'h2)
10468 ) u_mio_pad_sleep_mode_25 (
10469 .clk_i (clk_i ),
10470 .rst_ni (rst_ni ),
10471
10472 // from register interface (qualified with register enable)
10473 .we (mio_pad_sleep_mode_25_we & mio_pad_sleep_regwen_25_qs),
10474 .wd (mio_pad_sleep_mode_25_wd),
10475
10476 // from internal hardware
10477 .de (1'b0),
10478 .d ('0 ),
10479
10480 // to internal hardware
10481 .qe (),
10482 .q (reg2hw.mio_pad_sleep_mode[25].q ),
10483
10484 // to register interface (read)
10485 .qs (mio_pad_sleep_mode_25_qs)
10486 );
10487
10488 // Subregister 26 of Multireg mio_pad_sleep_mode
10489 // R[mio_pad_sleep_mode_26]: V(False)
10490
10491 prim_subreg #(
10492 .DW (2),
10493 .SWACCESS("RW"),
10494 .RESVAL (2'h2)
10495 ) u_mio_pad_sleep_mode_26 (
10496 .clk_i (clk_i ),
10497 .rst_ni (rst_ni ),
10498
10499 // from register interface (qualified with register enable)
10500 .we (mio_pad_sleep_mode_26_we & mio_pad_sleep_regwen_26_qs),
10501 .wd (mio_pad_sleep_mode_26_wd),
10502
10503 // from internal hardware
10504 .de (1'b0),
10505 .d ('0 ),
10506
10507 // to internal hardware
10508 .qe (),
10509 .q (reg2hw.mio_pad_sleep_mode[26].q ),
10510
10511 // to register interface (read)
10512 .qs (mio_pad_sleep_mode_26_qs)
10513 );
10514
10515 // Subregister 27 of Multireg mio_pad_sleep_mode
10516 // R[mio_pad_sleep_mode_27]: V(False)
10517
10518 prim_subreg #(
10519 .DW (2),
10520 .SWACCESS("RW"),
10521 .RESVAL (2'h2)
10522 ) u_mio_pad_sleep_mode_27 (
10523 .clk_i (clk_i ),
10524 .rst_ni (rst_ni ),
10525
10526 // from register interface (qualified with register enable)
10527 .we (mio_pad_sleep_mode_27_we & mio_pad_sleep_regwen_27_qs),
10528 .wd (mio_pad_sleep_mode_27_wd),
10529
10530 // from internal hardware
10531 .de (1'b0),
10532 .d ('0 ),
10533
10534 // to internal hardware
10535 .qe (),
10536 .q (reg2hw.mio_pad_sleep_mode[27].q ),
10537
10538 // to register interface (read)
10539 .qs (mio_pad_sleep_mode_27_qs)
10540 );
10541
10542 // Subregister 28 of Multireg mio_pad_sleep_mode
10543 // R[mio_pad_sleep_mode_28]: V(False)
10544
10545 prim_subreg #(
10546 .DW (2),
10547 .SWACCESS("RW"),
10548 .RESVAL (2'h2)
10549 ) u_mio_pad_sleep_mode_28 (
10550 .clk_i (clk_i ),
10551 .rst_ni (rst_ni ),
10552
10553 // from register interface (qualified with register enable)
10554 .we (mio_pad_sleep_mode_28_we & mio_pad_sleep_regwen_28_qs),
10555 .wd (mio_pad_sleep_mode_28_wd),
10556
10557 // from internal hardware
10558 .de (1'b0),
10559 .d ('0 ),
10560
10561 // to internal hardware
10562 .qe (),
10563 .q (reg2hw.mio_pad_sleep_mode[28].q ),
10564
10565 // to register interface (read)
10566 .qs (mio_pad_sleep_mode_28_qs)
10567 );
10568
10569 // Subregister 29 of Multireg mio_pad_sleep_mode
10570 // R[mio_pad_sleep_mode_29]: V(False)
10571
10572 prim_subreg #(
10573 .DW (2),
10574 .SWACCESS("RW"),
10575 .RESVAL (2'h2)
10576 ) u_mio_pad_sleep_mode_29 (
10577 .clk_i (clk_i ),
10578 .rst_ni (rst_ni ),
10579
10580 // from register interface (qualified with register enable)
10581 .we (mio_pad_sleep_mode_29_we & mio_pad_sleep_regwen_29_qs),
10582 .wd (mio_pad_sleep_mode_29_wd),
10583
10584 // from internal hardware
10585 .de (1'b0),
10586 .d ('0 ),
10587
10588 // to internal hardware
10589 .qe (),
10590 .q (reg2hw.mio_pad_sleep_mode[29].q ),
10591
10592 // to register interface (read)
10593 .qs (mio_pad_sleep_mode_29_qs)
10594 );
10595
10596 // Subregister 30 of Multireg mio_pad_sleep_mode
10597 // R[mio_pad_sleep_mode_30]: V(False)
10598
10599 prim_subreg #(
10600 .DW (2),
10601 .SWACCESS("RW"),
10602 .RESVAL (2'h2)
10603 ) u_mio_pad_sleep_mode_30 (
10604 .clk_i (clk_i ),
10605 .rst_ni (rst_ni ),
10606
10607 // from register interface (qualified with register enable)
10608 .we (mio_pad_sleep_mode_30_we & mio_pad_sleep_regwen_30_qs),
10609 .wd (mio_pad_sleep_mode_30_wd),
10610
10611 // from internal hardware
10612 .de (1'b0),
10613 .d ('0 ),
10614
10615 // to internal hardware
10616 .qe (),
10617 .q (reg2hw.mio_pad_sleep_mode[30].q ),
10618
10619 // to register interface (read)
10620 .qs (mio_pad_sleep_mode_30_qs)
10621 );
10622
10623 // Subregister 31 of Multireg mio_pad_sleep_mode
10624 // R[mio_pad_sleep_mode_31]: V(False)
10625
10626 prim_subreg #(
10627 .DW (2),
10628 .SWACCESS("RW"),
10629 .RESVAL (2'h2)
10630 ) u_mio_pad_sleep_mode_31 (
10631 .clk_i (clk_i ),
10632 .rst_ni (rst_ni ),
10633
10634 // from register interface (qualified with register enable)
10635 .we (mio_pad_sleep_mode_31_we & mio_pad_sleep_regwen_31_qs),
10636 .wd (mio_pad_sleep_mode_31_wd),
10637
10638 // from internal hardware
10639 .de (1'b0),
10640 .d ('0 ),
10641
10642 // to internal hardware
10643 .qe (),
10644 .q (reg2hw.mio_pad_sleep_mode[31].q ),
10645
10646 // to register interface (read)
10647 .qs (mio_pad_sleep_mode_31_qs)
10648 );
10649
10650
10651
10652 // Subregister 0 of Multireg dio_pad_sleep_status
10653 // R[dio_pad_sleep_status]: V(False)
10654
10655 // F[en_0]: 0:0
10656 prim_subreg #(
10657 .DW (1),
10658 .SWACCESS("W0C"),
10659 .RESVAL (1'h0)
10660 ) u_dio_pad_sleep_status_en_0 (
10661 .clk_i (clk_i ),
10662 .rst_ni (rst_ni ),
10663
10664 // from register interface
10665 .we (dio_pad_sleep_status_en_0_we),
10666 .wd (dio_pad_sleep_status_en_0_wd),
10667
10668 // from internal hardware
10669 .de (hw2reg.dio_pad_sleep_status[0].de),
10670 .d (hw2reg.dio_pad_sleep_status[0].d ),
10671
10672 // to internal hardware
10673 .qe (),
10674 .q (reg2hw.dio_pad_sleep_status[0].q ),
10675
10676 // to register interface (read)
10677 .qs (dio_pad_sleep_status_en_0_qs)
10678 );
10679
10680
10681 // F[en_1]: 1:1
10682 prim_subreg #(
10683 .DW (1),
10684 .SWACCESS("W0C"),
10685 .RESVAL (1'h0)
10686 ) u_dio_pad_sleep_status_en_1 (
10687 .clk_i (clk_i ),
10688 .rst_ni (rst_ni ),
10689
10690 // from register interface
10691 .we (dio_pad_sleep_status_en_1_we),
10692 .wd (dio_pad_sleep_status_en_1_wd),
10693
10694 // from internal hardware
10695 .de (hw2reg.dio_pad_sleep_status[1].de),
10696 .d (hw2reg.dio_pad_sleep_status[1].d ),
10697
10698 // to internal hardware
10699 .qe (),
10700 .q (reg2hw.dio_pad_sleep_status[1].q ),
10701
10702 // to register interface (read)
10703 .qs (dio_pad_sleep_status_en_1_qs)
10704 );
10705
10706
10707 // F[en_2]: 2:2
10708 prim_subreg #(
10709 .DW (1),
10710 .SWACCESS("W0C"),
10711 .RESVAL (1'h0)
10712 ) u_dio_pad_sleep_status_en_2 (
10713 .clk_i (clk_i ),
10714 .rst_ni (rst_ni ),
10715
10716 // from register interface
10717 .we (dio_pad_sleep_status_en_2_we),
10718 .wd (dio_pad_sleep_status_en_2_wd),
10719
10720 // from internal hardware
10721 .de (hw2reg.dio_pad_sleep_status[2].de),
10722 .d (hw2reg.dio_pad_sleep_status[2].d ),
10723
10724 // to internal hardware
10725 .qe (),
10726 .q (reg2hw.dio_pad_sleep_status[2].q ),
10727
10728 // to register interface (read)
10729 .qs (dio_pad_sleep_status_en_2_qs)
10730 );
10731
10732
10733 // F[en_3]: 3:3
10734 prim_subreg #(
10735 .DW (1),
10736 .SWACCESS("W0C"),
10737 .RESVAL (1'h0)
10738 ) u_dio_pad_sleep_status_en_3 (
10739 .clk_i (clk_i ),
10740 .rst_ni (rst_ni ),
10741
10742 // from register interface
10743 .we (dio_pad_sleep_status_en_3_we),
10744 .wd (dio_pad_sleep_status_en_3_wd),
10745
10746 // from internal hardware
10747 .de (hw2reg.dio_pad_sleep_status[3].de),
10748 .d (hw2reg.dio_pad_sleep_status[3].d ),
10749
10750 // to internal hardware
10751 .qe (),
10752 .q (reg2hw.dio_pad_sleep_status[3].q ),
10753
10754 // to register interface (read)
10755 .qs (dio_pad_sleep_status_en_3_qs)
10756 );
10757
10758
10759 // F[en_4]: 4:4
10760 prim_subreg #(
10761 .DW (1),
10762 .SWACCESS("W0C"),
10763 .RESVAL (1'h0)
10764 ) u_dio_pad_sleep_status_en_4 (
10765 .clk_i (clk_i ),
10766 .rst_ni (rst_ni ),
10767
10768 // from register interface
10769 .we (dio_pad_sleep_status_en_4_we),
10770 .wd (dio_pad_sleep_status_en_4_wd),
10771
10772 // from internal hardware
10773 .de (hw2reg.dio_pad_sleep_status[4].de),
10774 .d (hw2reg.dio_pad_sleep_status[4].d ),
10775
10776 // to internal hardware
10777 .qe (),
10778 .q (reg2hw.dio_pad_sleep_status[4].q ),
10779
10780 // to register interface (read)
10781 .qs (dio_pad_sleep_status_en_4_qs)
10782 );
10783
10784
10785 // F[en_5]: 5:5
10786 prim_subreg #(
10787 .DW (1),
10788 .SWACCESS("W0C"),
10789 .RESVAL (1'h0)
10790 ) u_dio_pad_sleep_status_en_5 (
10791 .clk_i (clk_i ),
10792 .rst_ni (rst_ni ),
10793
10794 // from register interface
10795 .we (dio_pad_sleep_status_en_5_we),
10796 .wd (dio_pad_sleep_status_en_5_wd),
10797
10798 // from internal hardware
10799 .de (hw2reg.dio_pad_sleep_status[5].de),
10800 .d (hw2reg.dio_pad_sleep_status[5].d ),
10801
10802 // to internal hardware
10803 .qe (),
10804 .q (reg2hw.dio_pad_sleep_status[5].q ),
10805
10806 // to register interface (read)
10807 .qs (dio_pad_sleep_status_en_5_qs)
10808 );
10809
10810
10811 // F[en_6]: 6:6
10812 prim_subreg #(
10813 .DW (1),
10814 .SWACCESS("W0C"),
10815 .RESVAL (1'h0)
10816 ) u_dio_pad_sleep_status_en_6 (
10817 .clk_i (clk_i ),
10818 .rst_ni (rst_ni ),
10819
10820 // from register interface
10821 .we (dio_pad_sleep_status_en_6_we),
10822 .wd (dio_pad_sleep_status_en_6_wd),
10823
10824 // from internal hardware
10825 .de (hw2reg.dio_pad_sleep_status[6].de),
10826 .d (hw2reg.dio_pad_sleep_status[6].d ),
10827
10828 // to internal hardware
10829 .qe (),
10830 .q (reg2hw.dio_pad_sleep_status[6].q ),
10831
10832 // to register interface (read)
10833 .qs (dio_pad_sleep_status_en_6_qs)
10834 );
10835
10836
10837 // F[en_7]: 7:7
10838 prim_subreg #(
10839 .DW (1),
10840 .SWACCESS("W0C"),
10841 .RESVAL (1'h0)
10842 ) u_dio_pad_sleep_status_en_7 (
10843 .clk_i (clk_i ),
10844 .rst_ni (rst_ni ),
10845
10846 // from register interface
10847 .we (dio_pad_sleep_status_en_7_we),
10848 .wd (dio_pad_sleep_status_en_7_wd),
10849
10850 // from internal hardware
10851 .de (hw2reg.dio_pad_sleep_status[7].de),
10852 .d (hw2reg.dio_pad_sleep_status[7].d ),
10853
10854 // to internal hardware
10855 .qe (),
10856 .q (reg2hw.dio_pad_sleep_status[7].q ),
10857
10858 // to register interface (read)
10859 .qs (dio_pad_sleep_status_en_7_qs)
10860 );
10861
10862
10863 // F[en_8]: 8:8
10864 prim_subreg #(
10865 .DW (1),
10866 .SWACCESS("W0C"),
10867 .RESVAL (1'h0)
10868 ) u_dio_pad_sleep_status_en_8 (
10869 .clk_i (clk_i ),
10870 .rst_ni (rst_ni ),
10871
10872 // from register interface
10873 .we (dio_pad_sleep_status_en_8_we),
10874 .wd (dio_pad_sleep_status_en_8_wd),
10875
10876 // from internal hardware
10877 .de (hw2reg.dio_pad_sleep_status[8].de),
10878 .d (hw2reg.dio_pad_sleep_status[8].d ),
10879
10880 // to internal hardware
10881 .qe (),
10882 .q (reg2hw.dio_pad_sleep_status[8].q ),
10883
10884 // to register interface (read)
10885 .qs (dio_pad_sleep_status_en_8_qs)
10886 );
10887
10888
10889 // F[en_9]: 9:9
10890 prim_subreg #(
10891 .DW (1),
10892 .SWACCESS("W0C"),
10893 .RESVAL (1'h0)
10894 ) u_dio_pad_sleep_status_en_9 (
10895 .clk_i (clk_i ),
10896 .rst_ni (rst_ni ),
10897
10898 // from register interface
10899 .we (dio_pad_sleep_status_en_9_we),
10900 .wd (dio_pad_sleep_status_en_9_wd),
10901
10902 // from internal hardware
10903 .de (hw2reg.dio_pad_sleep_status[9].de),
10904 .d (hw2reg.dio_pad_sleep_status[9].d ),
10905
10906 // to internal hardware
10907 .qe (),
10908 .q (reg2hw.dio_pad_sleep_status[9].q ),
10909
10910 // to register interface (read)
10911 .qs (dio_pad_sleep_status_en_9_qs)
10912 );
10913
10914
10915 // F[en_10]: 10:10
10916 prim_subreg #(
10917 .DW (1),
10918 .SWACCESS("W0C"),
10919 .RESVAL (1'h0)
10920 ) u_dio_pad_sleep_status_en_10 (
10921 .clk_i (clk_i ),
10922 .rst_ni (rst_ni ),
10923
10924 // from register interface
10925 .we (dio_pad_sleep_status_en_10_we),
10926 .wd (dio_pad_sleep_status_en_10_wd),
10927
10928 // from internal hardware
10929 .de (hw2reg.dio_pad_sleep_status[10].de),
10930 .d (hw2reg.dio_pad_sleep_status[10].d ),
10931
10932 // to internal hardware
10933 .qe (),
10934 .q (reg2hw.dio_pad_sleep_status[10].q ),
10935
10936 // to register interface (read)
10937 .qs (dio_pad_sleep_status_en_10_qs)
10938 );
10939
10940
10941 // F[en_11]: 11:11
10942 prim_subreg #(
10943 .DW (1),
10944 .SWACCESS("W0C"),
10945 .RESVAL (1'h0)
10946 ) u_dio_pad_sleep_status_en_11 (
10947 .clk_i (clk_i ),
10948 .rst_ni (rst_ni ),
10949
10950 // from register interface
10951 .we (dio_pad_sleep_status_en_11_we),
10952 .wd (dio_pad_sleep_status_en_11_wd),
10953
10954 // from internal hardware
10955 .de (hw2reg.dio_pad_sleep_status[11].de),
10956 .d (hw2reg.dio_pad_sleep_status[11].d ),
10957
10958 // to internal hardware
10959 .qe (),
10960 .q (reg2hw.dio_pad_sleep_status[11].q ),
10961
10962 // to register interface (read)
10963 .qs (dio_pad_sleep_status_en_11_qs)
10964 );
10965
10966
10967 // F[en_12]: 12:12
10968 prim_subreg #(
10969 .DW (1),
10970 .SWACCESS("W0C"),
10971 .RESVAL (1'h0)
10972 ) u_dio_pad_sleep_status_en_12 (
10973 .clk_i (clk_i ),
10974 .rst_ni (rst_ni ),
10975
10976 // from register interface
10977 .we (dio_pad_sleep_status_en_12_we),
10978 .wd (dio_pad_sleep_status_en_12_wd),
10979
10980 // from internal hardware
10981 .de (hw2reg.dio_pad_sleep_status[12].de),
10982 .d (hw2reg.dio_pad_sleep_status[12].d ),
10983
10984 // to internal hardware
10985 .qe (),
10986 .q (reg2hw.dio_pad_sleep_status[12].q ),
10987
10988 // to register interface (read)
10989 .qs (dio_pad_sleep_status_en_12_qs)
10990 );
10991
10992
10993 // F[en_13]: 13:13
10994 prim_subreg #(
10995 .DW (1),
10996 .SWACCESS("W0C"),
10997 .RESVAL (1'h0)
10998 ) u_dio_pad_sleep_status_en_13 (
10999 .clk_i (clk_i ),
11000 .rst_ni (rst_ni ),
11001
11002 // from register interface
11003 .we (dio_pad_sleep_status_en_13_we),
11004 .wd (dio_pad_sleep_status_en_13_wd),
11005
11006 // from internal hardware
11007 .de (hw2reg.dio_pad_sleep_status[13].de),
11008 .d (hw2reg.dio_pad_sleep_status[13].d ),
11009
11010 // to internal hardware
11011 .qe (),
11012 .q (reg2hw.dio_pad_sleep_status[13].q ),
11013
11014 // to register interface (read)
11015 .qs (dio_pad_sleep_status_en_13_qs)
11016 );
11017
11018
11019 // F[en_14]: 14:14
11020 prim_subreg #(
11021 .DW (1),
11022 .SWACCESS("W0C"),
11023 .RESVAL (1'h0)
11024 ) u_dio_pad_sleep_status_en_14 (
11025 .clk_i (clk_i ),
11026 .rst_ni (rst_ni ),
11027
11028 // from register interface
11029 .we (dio_pad_sleep_status_en_14_we),
11030 .wd (dio_pad_sleep_status_en_14_wd),
11031
11032 // from internal hardware
11033 .de (hw2reg.dio_pad_sleep_status[14].de),
11034 .d (hw2reg.dio_pad_sleep_status[14].d ),
11035
11036 // to internal hardware
11037 .qe (),
11038 .q (reg2hw.dio_pad_sleep_status[14].q ),
11039
11040 // to register interface (read)
11041 .qs (dio_pad_sleep_status_en_14_qs)
11042 );
11043
11044
11045 // F[en_15]: 15:15
11046 prim_subreg #(
11047 .DW (1),
11048 .SWACCESS("W0C"),
11049 .RESVAL (1'h0)
11050 ) u_dio_pad_sleep_status_en_15 (
11051 .clk_i (clk_i ),
11052 .rst_ni (rst_ni ),
11053
11054 // from register interface
11055 .we (dio_pad_sleep_status_en_15_we),
11056 .wd (dio_pad_sleep_status_en_15_wd),
11057
11058 // from internal hardware
11059 .de (hw2reg.dio_pad_sleep_status[15].de),
11060 .d (hw2reg.dio_pad_sleep_status[15].d ),
11061
11062 // to internal hardware
11063 .qe (),
11064 .q (reg2hw.dio_pad_sleep_status[15].q ),
11065
11066 // to register interface (read)
11067 .qs (dio_pad_sleep_status_en_15_qs)
11068 );
11069
11070
11071
11072
11073 // Subregister 0 of Multireg dio_pad_sleep_regwen
11074 // R[dio_pad_sleep_regwen_0]: V(False)
11075
11076 prim_subreg #(
11077 .DW (1),
11078 .SWACCESS("W0C"),
11079 .RESVAL (1'h1)
11080 ) u_dio_pad_sleep_regwen_0 (
11081 .clk_i (clk_i ),
11082 .rst_ni (rst_ni ),
11083
11084 // from register interface
11085 .we (dio_pad_sleep_regwen_0_we),
11086 .wd (dio_pad_sleep_regwen_0_wd),
11087
11088 // from internal hardware
11089 .de (1'b0),
11090 .d ('0 ),
11091
11092 // to internal hardware
11093 .qe (),
11094 .q (),
11095
11096 // to register interface (read)
11097 .qs (dio_pad_sleep_regwen_0_qs)
11098 );
11099
11100 // Subregister 1 of Multireg dio_pad_sleep_regwen
11101 // R[dio_pad_sleep_regwen_1]: V(False)
11102
11103 prim_subreg #(
11104 .DW (1),
11105 .SWACCESS("W0C"),
11106 .RESVAL (1'h1)
11107 ) u_dio_pad_sleep_regwen_1 (
11108 .clk_i (clk_i ),
11109 .rst_ni (rst_ni ),
11110
11111 // from register interface
11112 .we (dio_pad_sleep_regwen_1_we),
11113 .wd (dio_pad_sleep_regwen_1_wd),
11114
11115 // from internal hardware
11116 .de (1'b0),
11117 .d ('0 ),
11118
11119 // to internal hardware
11120 .qe (),
11121 .q (),
11122
11123 // to register interface (read)
11124 .qs (dio_pad_sleep_regwen_1_qs)
11125 );
11126
11127 // Subregister 2 of Multireg dio_pad_sleep_regwen
11128 // R[dio_pad_sleep_regwen_2]: V(False)
11129
11130 prim_subreg #(
11131 .DW (1),
11132 .SWACCESS("W0C"),
11133 .RESVAL (1'h1)
11134 ) u_dio_pad_sleep_regwen_2 (
11135 .clk_i (clk_i ),
11136 .rst_ni (rst_ni ),
11137
11138 // from register interface
11139 .we (dio_pad_sleep_regwen_2_we),
11140 .wd (dio_pad_sleep_regwen_2_wd),
11141
11142 // from internal hardware
11143 .de (1'b0),
11144 .d ('0 ),
11145
11146 // to internal hardware
11147 .qe (),
11148 .q (),
11149
11150 // to register interface (read)
11151 .qs (dio_pad_sleep_regwen_2_qs)
11152 );
11153
11154 // Subregister 3 of Multireg dio_pad_sleep_regwen
11155 // R[dio_pad_sleep_regwen_3]: V(False)
11156
11157 prim_subreg #(
11158 .DW (1),
11159 .SWACCESS("W0C"),
11160 .RESVAL (1'h1)
11161 ) u_dio_pad_sleep_regwen_3 (
11162 .clk_i (clk_i ),
11163 .rst_ni (rst_ni ),
11164
11165 // from register interface
11166 .we (dio_pad_sleep_regwen_3_we),
11167 .wd (dio_pad_sleep_regwen_3_wd),
11168
11169 // from internal hardware
11170 .de (1'b0),
11171 .d ('0 ),
11172
11173 // to internal hardware
11174 .qe (),
11175 .q (),
11176
11177 // to register interface (read)
11178 .qs (dio_pad_sleep_regwen_3_qs)
11179 );
11180
11181 // Subregister 4 of Multireg dio_pad_sleep_regwen
11182 // R[dio_pad_sleep_regwen_4]: V(False)
11183
11184 prim_subreg #(
11185 .DW (1),
11186 .SWACCESS("W0C"),
11187 .RESVAL (1'h1)
11188 ) u_dio_pad_sleep_regwen_4 (
11189 .clk_i (clk_i ),
11190 .rst_ni (rst_ni ),
11191
11192 // from register interface
11193 .we (dio_pad_sleep_regwen_4_we),
11194 .wd (dio_pad_sleep_regwen_4_wd),
11195
11196 // from internal hardware
11197 .de (1'b0),
11198 .d ('0 ),
11199
11200 // to internal hardware
11201 .qe (),
11202 .q (),
11203
11204 // to register interface (read)
11205 .qs (dio_pad_sleep_regwen_4_qs)
11206 );
11207
11208 // Subregister 5 of Multireg dio_pad_sleep_regwen
11209 // R[dio_pad_sleep_regwen_5]: V(False)
11210
11211 prim_subreg #(
11212 .DW (1),
11213 .SWACCESS("W0C"),
11214 .RESVAL (1'h1)
11215 ) u_dio_pad_sleep_regwen_5 (
11216 .clk_i (clk_i ),
11217 .rst_ni (rst_ni ),
11218
11219 // from register interface
11220 .we (dio_pad_sleep_regwen_5_we),
11221 .wd (dio_pad_sleep_regwen_5_wd),
11222
11223 // from internal hardware
11224 .de (1'b0),
11225 .d ('0 ),
11226
11227 // to internal hardware
11228 .qe (),
11229 .q (),
11230
11231 // to register interface (read)
11232 .qs (dio_pad_sleep_regwen_5_qs)
11233 );
11234
11235 // Subregister 6 of Multireg dio_pad_sleep_regwen
11236 // R[dio_pad_sleep_regwen_6]: V(False)
11237
11238 prim_subreg #(
11239 .DW (1),
11240 .SWACCESS("W0C"),
11241 .RESVAL (1'h1)
11242 ) u_dio_pad_sleep_regwen_6 (
11243 .clk_i (clk_i ),
11244 .rst_ni (rst_ni ),
11245
11246 // from register interface
11247 .we (dio_pad_sleep_regwen_6_we),
11248 .wd (dio_pad_sleep_regwen_6_wd),
11249
11250 // from internal hardware
11251 .de (1'b0),
11252 .d ('0 ),
11253
11254 // to internal hardware
11255 .qe (),
11256 .q (),
11257
11258 // to register interface (read)
11259 .qs (dio_pad_sleep_regwen_6_qs)
11260 );
11261
11262 // Subregister 7 of Multireg dio_pad_sleep_regwen
11263 // R[dio_pad_sleep_regwen_7]: V(False)
11264
11265 prim_subreg #(
11266 .DW (1),
11267 .SWACCESS("W0C"),
11268 .RESVAL (1'h1)
11269 ) u_dio_pad_sleep_regwen_7 (
11270 .clk_i (clk_i ),
11271 .rst_ni (rst_ni ),
11272
11273 // from register interface
11274 .we (dio_pad_sleep_regwen_7_we),
11275 .wd (dio_pad_sleep_regwen_7_wd),
11276
11277 // from internal hardware
11278 .de (1'b0),
11279 .d ('0 ),
11280
11281 // to internal hardware
11282 .qe (),
11283 .q (),
11284
11285 // to register interface (read)
11286 .qs (dio_pad_sleep_regwen_7_qs)
11287 );
11288
11289 // Subregister 8 of Multireg dio_pad_sleep_regwen
11290 // R[dio_pad_sleep_regwen_8]: V(False)
11291
11292 prim_subreg #(
11293 .DW (1),
11294 .SWACCESS("W0C"),
11295 .RESVAL (1'h1)
11296 ) u_dio_pad_sleep_regwen_8 (
11297 .clk_i (clk_i ),
11298 .rst_ni (rst_ni ),
11299
11300 // from register interface
11301 .we (dio_pad_sleep_regwen_8_we),
11302 .wd (dio_pad_sleep_regwen_8_wd),
11303
11304 // from internal hardware
11305 .de (1'b0),
11306 .d ('0 ),
11307
11308 // to internal hardware
11309 .qe (),
11310 .q (),
11311
11312 // to register interface (read)
11313 .qs (dio_pad_sleep_regwen_8_qs)
11314 );
11315
11316 // Subregister 9 of Multireg dio_pad_sleep_regwen
11317 // R[dio_pad_sleep_regwen_9]: V(False)
11318
11319 prim_subreg #(
11320 .DW (1),
11321 .SWACCESS("W0C"),
11322 .RESVAL (1'h1)
11323 ) u_dio_pad_sleep_regwen_9 (
11324 .clk_i (clk_i ),
11325 .rst_ni (rst_ni ),
11326
11327 // from register interface
11328 .we (dio_pad_sleep_regwen_9_we),
11329 .wd (dio_pad_sleep_regwen_9_wd),
11330
11331 // from internal hardware
11332 .de (1'b0),
11333 .d ('0 ),
11334
11335 // to internal hardware
11336 .qe (),
11337 .q (),
11338
11339 // to register interface (read)
11340 .qs (dio_pad_sleep_regwen_9_qs)
11341 );
11342
11343 // Subregister 10 of Multireg dio_pad_sleep_regwen
11344 // R[dio_pad_sleep_regwen_10]: V(False)
11345
11346 prim_subreg #(
11347 .DW (1),
11348 .SWACCESS("W0C"),
11349 .RESVAL (1'h1)
11350 ) u_dio_pad_sleep_regwen_10 (
11351 .clk_i (clk_i ),
11352 .rst_ni (rst_ni ),
11353
11354 // from register interface
11355 .we (dio_pad_sleep_regwen_10_we),
11356 .wd (dio_pad_sleep_regwen_10_wd),
11357
11358 // from internal hardware
11359 .de (1'b0),
11360 .d ('0 ),
11361
11362 // to internal hardware
11363 .qe (),
11364 .q (),
11365
11366 // to register interface (read)
11367 .qs (dio_pad_sleep_regwen_10_qs)
11368 );
11369
11370 // Subregister 11 of Multireg dio_pad_sleep_regwen
11371 // R[dio_pad_sleep_regwen_11]: V(False)
11372
11373 prim_subreg #(
11374 .DW (1),
11375 .SWACCESS("W0C"),
11376 .RESVAL (1'h1)
11377 ) u_dio_pad_sleep_regwen_11 (
11378 .clk_i (clk_i ),
11379 .rst_ni (rst_ni ),
11380
11381 // from register interface
11382 .we (dio_pad_sleep_regwen_11_we),
11383 .wd (dio_pad_sleep_regwen_11_wd),
11384
11385 // from internal hardware
11386 .de (1'b0),
11387 .d ('0 ),
11388
11389 // to internal hardware
11390 .qe (),
11391 .q (),
11392
11393 // to register interface (read)
11394 .qs (dio_pad_sleep_regwen_11_qs)
11395 );
11396
11397 // Subregister 12 of Multireg dio_pad_sleep_regwen
11398 // R[dio_pad_sleep_regwen_12]: V(False)
11399
11400 prim_subreg #(
11401 .DW (1),
11402 .SWACCESS("W0C"),
11403 .RESVAL (1'h1)
11404 ) u_dio_pad_sleep_regwen_12 (
11405 .clk_i (clk_i ),
11406 .rst_ni (rst_ni ),
11407
11408 // from register interface
11409 .we (dio_pad_sleep_regwen_12_we),
11410 .wd (dio_pad_sleep_regwen_12_wd),
11411
11412 // from internal hardware
11413 .de (1'b0),
11414 .d ('0 ),
11415
11416 // to internal hardware
11417 .qe (),
11418 .q (),
11419
11420 // to register interface (read)
11421 .qs (dio_pad_sleep_regwen_12_qs)
11422 );
11423
11424 // Subregister 13 of Multireg dio_pad_sleep_regwen
11425 // R[dio_pad_sleep_regwen_13]: V(False)
11426
11427 prim_subreg #(
11428 .DW (1),
11429 .SWACCESS("W0C"),
11430 .RESVAL (1'h1)
11431 ) u_dio_pad_sleep_regwen_13 (
11432 .clk_i (clk_i ),
11433 .rst_ni (rst_ni ),
11434
11435 // from register interface
11436 .we (dio_pad_sleep_regwen_13_we),
11437 .wd (dio_pad_sleep_regwen_13_wd),
11438
11439 // from internal hardware
11440 .de (1'b0),
11441 .d ('0 ),
11442
11443 // to internal hardware
11444 .qe (),
11445 .q (),
11446
11447 // to register interface (read)
11448 .qs (dio_pad_sleep_regwen_13_qs)
11449 );
11450
11451 // Subregister 14 of Multireg dio_pad_sleep_regwen
11452 // R[dio_pad_sleep_regwen_14]: V(False)
11453
11454 prim_subreg #(
11455 .DW (1),
11456 .SWACCESS("W0C"),
11457 .RESVAL (1'h1)
11458 ) u_dio_pad_sleep_regwen_14 (
11459 .clk_i (clk_i ),
11460 .rst_ni (rst_ni ),
11461
11462 // from register interface
11463 .we (dio_pad_sleep_regwen_14_we),
11464 .wd (dio_pad_sleep_regwen_14_wd),
11465
11466 // from internal hardware
11467 .de (1'b0),
11468 .d ('0 ),
11469
11470 // to internal hardware
11471 .qe (),
11472 .q (),
11473
11474 // to register interface (read)
11475 .qs (dio_pad_sleep_regwen_14_qs)
11476 );
11477
11478 // Subregister 15 of Multireg dio_pad_sleep_regwen
11479 // R[dio_pad_sleep_regwen_15]: V(False)
11480
11481 prim_subreg #(
11482 .DW (1),
11483 .SWACCESS("W0C"),
11484 .RESVAL (1'h1)
11485 ) u_dio_pad_sleep_regwen_15 (
11486 .clk_i (clk_i ),
11487 .rst_ni (rst_ni ),
11488
11489 // from register interface
11490 .we (dio_pad_sleep_regwen_15_we),
11491 .wd (dio_pad_sleep_regwen_15_wd),
11492
11493 // from internal hardware
11494 .de (1'b0),
11495 .d ('0 ),
11496
11497 // to internal hardware
11498 .qe (),
11499 .q (),
11500
11501 // to register interface (read)
11502 .qs (dio_pad_sleep_regwen_15_qs)
11503 );
11504
11505
11506
11507 // Subregister 0 of Multireg dio_pad_sleep_en
11508 // R[dio_pad_sleep_en_0]: V(False)
11509
11510 prim_subreg #(
11511 .DW (1),
11512 .SWACCESS("RW"),
11513 .RESVAL (1'h0)
11514 ) u_dio_pad_sleep_en_0 (
11515 .clk_i (clk_i ),
11516 .rst_ni (rst_ni ),
11517
11518 // from register interface (qualified with register enable)
11519 .we (dio_pad_sleep_en_0_we & dio_pad_sleep_regwen_0_qs),
11520 .wd (dio_pad_sleep_en_0_wd),
11521
11522 // from internal hardware
11523 .de (1'b0),
11524 .d ('0 ),
11525
11526 // to internal hardware
11527 .qe (),
11528 .q (reg2hw.dio_pad_sleep_en[0].q ),
11529
11530 // to register interface (read)
11531 .qs (dio_pad_sleep_en_0_qs)
11532 );
11533
11534 // Subregister 1 of Multireg dio_pad_sleep_en
11535 // R[dio_pad_sleep_en_1]: V(False)
11536
11537 prim_subreg #(
11538 .DW (1),
11539 .SWACCESS("RW"),
11540 .RESVAL (1'h0)
11541 ) u_dio_pad_sleep_en_1 (
11542 .clk_i (clk_i ),
11543 .rst_ni (rst_ni ),
11544
11545 // from register interface (qualified with register enable)
11546 .we (dio_pad_sleep_en_1_we & dio_pad_sleep_regwen_1_qs),
11547 .wd (dio_pad_sleep_en_1_wd),
11548
11549 // from internal hardware
11550 .de (1'b0),
11551 .d ('0 ),
11552
11553 // to internal hardware
11554 .qe (),
11555 .q (reg2hw.dio_pad_sleep_en[1].q ),
11556
11557 // to register interface (read)
11558 .qs (dio_pad_sleep_en_1_qs)
11559 );
11560
11561 // Subregister 2 of Multireg dio_pad_sleep_en
11562 // R[dio_pad_sleep_en_2]: V(False)
11563
11564 prim_subreg #(
11565 .DW (1),
11566 .SWACCESS("RW"),
11567 .RESVAL (1'h0)
11568 ) u_dio_pad_sleep_en_2 (
11569 .clk_i (clk_i ),
11570 .rst_ni (rst_ni ),
11571
11572 // from register interface (qualified with register enable)
11573 .we (dio_pad_sleep_en_2_we & dio_pad_sleep_regwen_2_qs),
11574 .wd (dio_pad_sleep_en_2_wd),
11575
11576 // from internal hardware
11577 .de (1'b0),
11578 .d ('0 ),
11579
11580 // to internal hardware
11581 .qe (),
11582 .q (reg2hw.dio_pad_sleep_en[2].q ),
11583
11584 // to register interface (read)
11585 .qs (dio_pad_sleep_en_2_qs)
11586 );
11587
11588 // Subregister 3 of Multireg dio_pad_sleep_en
11589 // R[dio_pad_sleep_en_3]: V(False)
11590
11591 prim_subreg #(
11592 .DW (1),
11593 .SWACCESS("RW"),
11594 .RESVAL (1'h0)
11595 ) u_dio_pad_sleep_en_3 (
11596 .clk_i (clk_i ),
11597 .rst_ni (rst_ni ),
11598
11599 // from register interface (qualified with register enable)
11600 .we (dio_pad_sleep_en_3_we & dio_pad_sleep_regwen_3_qs),
11601 .wd (dio_pad_sleep_en_3_wd),
11602
11603 // from internal hardware
11604 .de (1'b0),
11605 .d ('0 ),
11606
11607 // to internal hardware
11608 .qe (),
11609 .q (reg2hw.dio_pad_sleep_en[3].q ),
11610
11611 // to register interface (read)
11612 .qs (dio_pad_sleep_en_3_qs)
11613 );
11614
11615 // Subregister 4 of Multireg dio_pad_sleep_en
11616 // R[dio_pad_sleep_en_4]: V(False)
11617
11618 prim_subreg #(
11619 .DW (1),
11620 .SWACCESS("RW"),
11621 .RESVAL (1'h0)
11622 ) u_dio_pad_sleep_en_4 (
11623 .clk_i (clk_i ),
11624 .rst_ni (rst_ni ),
11625
11626 // from register interface (qualified with register enable)
11627 .we (dio_pad_sleep_en_4_we & dio_pad_sleep_regwen_4_qs),
11628 .wd (dio_pad_sleep_en_4_wd),
11629
11630 // from internal hardware
11631 .de (1'b0),
11632 .d ('0 ),
11633
11634 // to internal hardware
11635 .qe (),
11636 .q (reg2hw.dio_pad_sleep_en[4].q ),
11637
11638 // to register interface (read)
11639 .qs (dio_pad_sleep_en_4_qs)
11640 );
11641
11642 // Subregister 5 of Multireg dio_pad_sleep_en
11643 // R[dio_pad_sleep_en_5]: V(False)
11644
11645 prim_subreg #(
11646 .DW (1),
11647 .SWACCESS("RW"),
11648 .RESVAL (1'h0)
11649 ) u_dio_pad_sleep_en_5 (
11650 .clk_i (clk_i ),
11651 .rst_ni (rst_ni ),
11652
11653 // from register interface (qualified with register enable)
11654 .we (dio_pad_sleep_en_5_we & dio_pad_sleep_regwen_5_qs),
11655 .wd (dio_pad_sleep_en_5_wd),
11656
11657 // from internal hardware
11658 .de (1'b0),
11659 .d ('0 ),
11660
11661 // to internal hardware
11662 .qe (),
11663 .q (reg2hw.dio_pad_sleep_en[5].q ),
11664
11665 // to register interface (read)
11666 .qs (dio_pad_sleep_en_5_qs)
11667 );
11668
11669 // Subregister 6 of Multireg dio_pad_sleep_en
11670 // R[dio_pad_sleep_en_6]: V(False)
11671
11672 prim_subreg #(
11673 .DW (1),
11674 .SWACCESS("RW"),
11675 .RESVAL (1'h0)
11676 ) u_dio_pad_sleep_en_6 (
11677 .clk_i (clk_i ),
11678 .rst_ni (rst_ni ),
11679
11680 // from register interface (qualified with register enable)
11681 .we (dio_pad_sleep_en_6_we & dio_pad_sleep_regwen_6_qs),
11682 .wd (dio_pad_sleep_en_6_wd),
11683
11684 // from internal hardware
11685 .de (1'b0),
11686 .d ('0 ),
11687
11688 // to internal hardware
11689 .qe (),
11690 .q (reg2hw.dio_pad_sleep_en[6].q ),
11691
11692 // to register interface (read)
11693 .qs (dio_pad_sleep_en_6_qs)
11694 );
11695
11696 // Subregister 7 of Multireg dio_pad_sleep_en
11697 // R[dio_pad_sleep_en_7]: V(False)
11698
11699 prim_subreg #(
11700 .DW (1),
11701 .SWACCESS("RW"),
11702 .RESVAL (1'h0)
11703 ) u_dio_pad_sleep_en_7 (
11704 .clk_i (clk_i ),
11705 .rst_ni (rst_ni ),
11706
11707 // from register interface (qualified with register enable)
11708 .we (dio_pad_sleep_en_7_we & dio_pad_sleep_regwen_7_qs),
11709 .wd (dio_pad_sleep_en_7_wd),
11710
11711 // from internal hardware
11712 .de (1'b0),
11713 .d ('0 ),
11714
11715 // to internal hardware
11716 .qe (),
11717 .q (reg2hw.dio_pad_sleep_en[7].q ),
11718
11719 // to register interface (read)
11720 .qs (dio_pad_sleep_en_7_qs)
11721 );
11722
11723 // Subregister 8 of Multireg dio_pad_sleep_en
11724 // R[dio_pad_sleep_en_8]: V(False)
11725
11726 prim_subreg #(
11727 .DW (1),
11728 .SWACCESS("RW"),
11729 .RESVAL (1'h0)
11730 ) u_dio_pad_sleep_en_8 (
11731 .clk_i (clk_i ),
11732 .rst_ni (rst_ni ),
11733
11734 // from register interface (qualified with register enable)
11735 .we (dio_pad_sleep_en_8_we & dio_pad_sleep_regwen_8_qs),
11736 .wd (dio_pad_sleep_en_8_wd),
11737
11738 // from internal hardware
11739 .de (1'b0),
11740 .d ('0 ),
11741
11742 // to internal hardware
11743 .qe (),
11744 .q (reg2hw.dio_pad_sleep_en[8].q ),
11745
11746 // to register interface (read)
11747 .qs (dio_pad_sleep_en_8_qs)
11748 );
11749
11750 // Subregister 9 of Multireg dio_pad_sleep_en
11751 // R[dio_pad_sleep_en_9]: V(False)
11752
11753 prim_subreg #(
11754 .DW (1),
11755 .SWACCESS("RW"),
11756 .RESVAL (1'h0)
11757 ) u_dio_pad_sleep_en_9 (
11758 .clk_i (clk_i ),
11759 .rst_ni (rst_ni ),
11760
11761 // from register interface (qualified with register enable)
11762 .we (dio_pad_sleep_en_9_we & dio_pad_sleep_regwen_9_qs),
11763 .wd (dio_pad_sleep_en_9_wd),
11764
11765 // from internal hardware
11766 .de (1'b0),
11767 .d ('0 ),
11768
11769 // to internal hardware
11770 .qe (),
11771 .q (reg2hw.dio_pad_sleep_en[9].q ),
11772
11773 // to register interface (read)
11774 .qs (dio_pad_sleep_en_9_qs)
11775 );
11776
11777 // Subregister 10 of Multireg dio_pad_sleep_en
11778 // R[dio_pad_sleep_en_10]: V(False)
11779
11780 prim_subreg #(
11781 .DW (1),
11782 .SWACCESS("RW"),
11783 .RESVAL (1'h0)
11784 ) u_dio_pad_sleep_en_10 (
11785 .clk_i (clk_i ),
11786 .rst_ni (rst_ni ),
11787
11788 // from register interface (qualified with register enable)
11789 .we (dio_pad_sleep_en_10_we & dio_pad_sleep_regwen_10_qs),
11790 .wd (dio_pad_sleep_en_10_wd),
11791
11792 // from internal hardware
11793 .de (1'b0),
11794 .d ('0 ),
11795
11796 // to internal hardware
11797 .qe (),
11798 .q (reg2hw.dio_pad_sleep_en[10].q ),
11799
11800 // to register interface (read)
11801 .qs (dio_pad_sleep_en_10_qs)
11802 );
11803
11804 // Subregister 11 of Multireg dio_pad_sleep_en
11805 // R[dio_pad_sleep_en_11]: V(False)
11806
11807 prim_subreg #(
11808 .DW (1),
11809 .SWACCESS("RW"),
11810 .RESVAL (1'h0)
11811 ) u_dio_pad_sleep_en_11 (
11812 .clk_i (clk_i ),
11813 .rst_ni (rst_ni ),
11814
11815 // from register interface (qualified with register enable)
11816 .we (dio_pad_sleep_en_11_we & dio_pad_sleep_regwen_11_qs),
11817 .wd (dio_pad_sleep_en_11_wd),
11818
11819 // from internal hardware
11820 .de (1'b0),
11821 .d ('0 ),
11822
11823 // to internal hardware
11824 .qe (),
11825 .q (reg2hw.dio_pad_sleep_en[11].q ),
11826
11827 // to register interface (read)
11828 .qs (dio_pad_sleep_en_11_qs)
11829 );
11830
11831 // Subregister 12 of Multireg dio_pad_sleep_en
11832 // R[dio_pad_sleep_en_12]: V(False)
11833
11834 prim_subreg #(
11835 .DW (1),
11836 .SWACCESS("RW"),
11837 .RESVAL (1'h0)
11838 ) u_dio_pad_sleep_en_12 (
11839 .clk_i (clk_i ),
11840 .rst_ni (rst_ni ),
11841
11842 // from register interface (qualified with register enable)
11843 .we (dio_pad_sleep_en_12_we & dio_pad_sleep_regwen_12_qs),
11844 .wd (dio_pad_sleep_en_12_wd),
11845
11846 // from internal hardware
11847 .de (1'b0),
11848 .d ('0 ),
11849
11850 // to internal hardware
11851 .qe (),
11852 .q (reg2hw.dio_pad_sleep_en[12].q ),
11853
11854 // to register interface (read)
11855 .qs (dio_pad_sleep_en_12_qs)
11856 );
11857
11858 // Subregister 13 of Multireg dio_pad_sleep_en
11859 // R[dio_pad_sleep_en_13]: V(False)
11860
11861 prim_subreg #(
11862 .DW (1),
11863 .SWACCESS("RW"),
11864 .RESVAL (1'h0)
11865 ) u_dio_pad_sleep_en_13 (
11866 .clk_i (clk_i ),
11867 .rst_ni (rst_ni ),
11868
11869 // from register interface (qualified with register enable)
11870 .we (dio_pad_sleep_en_13_we & dio_pad_sleep_regwen_13_qs),
11871 .wd (dio_pad_sleep_en_13_wd),
11872
11873 // from internal hardware
11874 .de (1'b0),
11875 .d ('0 ),
11876
11877 // to internal hardware
11878 .qe (),
11879 .q (reg2hw.dio_pad_sleep_en[13].q ),
11880
11881 // to register interface (read)
11882 .qs (dio_pad_sleep_en_13_qs)
11883 );
11884
11885 // Subregister 14 of Multireg dio_pad_sleep_en
11886 // R[dio_pad_sleep_en_14]: V(False)
11887
11888 prim_subreg #(
11889 .DW (1),
11890 .SWACCESS("RW"),
11891 .RESVAL (1'h0)
11892 ) u_dio_pad_sleep_en_14 (
11893 .clk_i (clk_i ),
11894 .rst_ni (rst_ni ),
11895
11896 // from register interface (qualified with register enable)
11897 .we (dio_pad_sleep_en_14_we & dio_pad_sleep_regwen_14_qs),
11898 .wd (dio_pad_sleep_en_14_wd),
11899
11900 // from internal hardware
11901 .de (1'b0),
11902 .d ('0 ),
11903
11904 // to internal hardware
11905 .qe (),
11906 .q (reg2hw.dio_pad_sleep_en[14].q ),
11907
11908 // to register interface (read)
11909 .qs (dio_pad_sleep_en_14_qs)
11910 );
11911
11912 // Subregister 15 of Multireg dio_pad_sleep_en
11913 // R[dio_pad_sleep_en_15]: V(False)
11914
11915 prim_subreg #(
11916 .DW (1),
11917 .SWACCESS("RW"),
11918 .RESVAL (1'h0)
11919 ) u_dio_pad_sleep_en_15 (
11920 .clk_i (clk_i ),
11921 .rst_ni (rst_ni ),
11922
11923 // from register interface (qualified with register enable)
11924 .we (dio_pad_sleep_en_15_we & dio_pad_sleep_regwen_15_qs),
11925 .wd (dio_pad_sleep_en_15_wd),
11926
11927 // from internal hardware
11928 .de (1'b0),
11929 .d ('0 ),
11930
11931 // to internal hardware
11932 .qe (),
11933 .q (reg2hw.dio_pad_sleep_en[15].q ),
11934
11935 // to register interface (read)
11936 .qs (dio_pad_sleep_en_15_qs)
11937 );
11938
11939
11940
11941 // Subregister 0 of Multireg dio_pad_sleep_mode
11942 // R[dio_pad_sleep_mode_0]: V(False)
11943
11944 prim_subreg #(
11945 .DW (2),
11946 .SWACCESS("RW"),
11947 .RESVAL (2'h2)
11948 ) u_dio_pad_sleep_mode_0 (
11949 .clk_i (clk_i ),
11950 .rst_ni (rst_ni ),
11951
11952 // from register interface (qualified with register enable)
11953 .we (dio_pad_sleep_mode_0_we & dio_pad_sleep_regwen_0_qs),
11954 .wd (dio_pad_sleep_mode_0_wd),
11955
11956 // from internal hardware
11957 .de (1'b0),
11958 .d ('0 ),
11959
11960 // to internal hardware
11961 .qe (),
11962 .q (reg2hw.dio_pad_sleep_mode[0].q ),
11963
11964 // to register interface (read)
11965 .qs (dio_pad_sleep_mode_0_qs)
11966 );
11967
11968 // Subregister 1 of Multireg dio_pad_sleep_mode
11969 // R[dio_pad_sleep_mode_1]: V(False)
11970
11971 prim_subreg #(
11972 .DW (2),
11973 .SWACCESS("RW"),
11974 .RESVAL (2'h2)
11975 ) u_dio_pad_sleep_mode_1 (
11976 .clk_i (clk_i ),
11977 .rst_ni (rst_ni ),
11978
11979 // from register interface (qualified with register enable)
11980 .we (dio_pad_sleep_mode_1_we & dio_pad_sleep_regwen_1_qs),
11981 .wd (dio_pad_sleep_mode_1_wd),
11982
11983 // from internal hardware
11984 .de (1'b0),
11985 .d ('0 ),
11986
11987 // to internal hardware
11988 .qe (),
11989 .q (reg2hw.dio_pad_sleep_mode[1].q ),
11990
11991 // to register interface (read)
11992 .qs (dio_pad_sleep_mode_1_qs)
11993 );
11994
11995 // Subregister 2 of Multireg dio_pad_sleep_mode
11996 // R[dio_pad_sleep_mode_2]: V(False)
11997
11998 prim_subreg #(
11999 .DW (2),
12000 .SWACCESS("RW"),
12001 .RESVAL (2'h2)
12002 ) u_dio_pad_sleep_mode_2 (
12003 .clk_i (clk_i ),
12004 .rst_ni (rst_ni ),
12005
12006 // from register interface (qualified with register enable)
12007 .we (dio_pad_sleep_mode_2_we & dio_pad_sleep_regwen_2_qs),
12008 .wd (dio_pad_sleep_mode_2_wd),
12009
12010 // from internal hardware
12011 .de (1'b0),
12012 .d ('0 ),
12013
12014 // to internal hardware
12015 .qe (),
12016 .q (reg2hw.dio_pad_sleep_mode[2].q ),
12017
12018 // to register interface (read)
12019 .qs (dio_pad_sleep_mode_2_qs)
12020 );
12021
12022 // Subregister 3 of Multireg dio_pad_sleep_mode
12023 // R[dio_pad_sleep_mode_3]: V(False)
12024
12025 prim_subreg #(
12026 .DW (2),
12027 .SWACCESS("RW"),
12028 .RESVAL (2'h2)
12029 ) u_dio_pad_sleep_mode_3 (
12030 .clk_i (clk_i ),
12031 .rst_ni (rst_ni ),
12032
12033 // from register interface (qualified with register enable)
12034 .we (dio_pad_sleep_mode_3_we & dio_pad_sleep_regwen_3_qs),
12035 .wd (dio_pad_sleep_mode_3_wd),
12036
12037 // from internal hardware
12038 .de (1'b0),
12039 .d ('0 ),
12040
12041 // to internal hardware
12042 .qe (),
12043 .q (reg2hw.dio_pad_sleep_mode[3].q ),
12044
12045 // to register interface (read)
12046 .qs (dio_pad_sleep_mode_3_qs)
12047 );
12048
12049 // Subregister 4 of Multireg dio_pad_sleep_mode
12050 // R[dio_pad_sleep_mode_4]: V(False)
12051
12052 prim_subreg #(
12053 .DW (2),
12054 .SWACCESS("RW"),
12055 .RESVAL (2'h2)
12056 ) u_dio_pad_sleep_mode_4 (
12057 .clk_i (clk_i ),
12058 .rst_ni (rst_ni ),
12059
12060 // from register interface (qualified with register enable)
12061 .we (dio_pad_sleep_mode_4_we & dio_pad_sleep_regwen_4_qs),
12062 .wd (dio_pad_sleep_mode_4_wd),
12063
12064 // from internal hardware
12065 .de (1'b0),
12066 .d ('0 ),
12067
12068 // to internal hardware
12069 .qe (),
12070 .q (reg2hw.dio_pad_sleep_mode[4].q ),
12071
12072 // to register interface (read)
12073 .qs (dio_pad_sleep_mode_4_qs)
12074 );
12075
12076 // Subregister 5 of Multireg dio_pad_sleep_mode
12077 // R[dio_pad_sleep_mode_5]: V(False)
12078
12079 prim_subreg #(
12080 .DW (2),
12081 .SWACCESS("RW"),
12082 .RESVAL (2'h2)
12083 ) u_dio_pad_sleep_mode_5 (
12084 .clk_i (clk_i ),
12085 .rst_ni (rst_ni ),
12086
12087 // from register interface (qualified with register enable)
12088 .we (dio_pad_sleep_mode_5_we & dio_pad_sleep_regwen_5_qs),
12089 .wd (dio_pad_sleep_mode_5_wd),
12090
12091 // from internal hardware
12092 .de (1'b0),
12093 .d ('0 ),
12094
12095 // to internal hardware
12096 .qe (),
12097 .q (reg2hw.dio_pad_sleep_mode[5].q ),
12098
12099 // to register interface (read)
12100 .qs (dio_pad_sleep_mode_5_qs)
12101 );
12102
12103 // Subregister 6 of Multireg dio_pad_sleep_mode
12104 // R[dio_pad_sleep_mode_6]: V(False)
12105
12106 prim_subreg #(
12107 .DW (2),
12108 .SWACCESS("RW"),
12109 .RESVAL (2'h2)
12110 ) u_dio_pad_sleep_mode_6 (
12111 .clk_i (clk_i ),
12112 .rst_ni (rst_ni ),
12113
12114 // from register interface (qualified with register enable)
12115 .we (dio_pad_sleep_mode_6_we & dio_pad_sleep_regwen_6_qs),
12116 .wd (dio_pad_sleep_mode_6_wd),
12117
12118 // from internal hardware
12119 .de (1'b0),
12120 .d ('0 ),
12121
12122 // to internal hardware
12123 .qe (),
12124 .q (reg2hw.dio_pad_sleep_mode[6].q ),
12125
12126 // to register interface (read)
12127 .qs (dio_pad_sleep_mode_6_qs)
12128 );
12129
12130 // Subregister 7 of Multireg dio_pad_sleep_mode
12131 // R[dio_pad_sleep_mode_7]: V(False)
12132
12133 prim_subreg #(
12134 .DW (2),
12135 .SWACCESS("RW"),
12136 .RESVAL (2'h2)
12137 ) u_dio_pad_sleep_mode_7 (
12138 .clk_i (clk_i ),
12139 .rst_ni (rst_ni ),
12140
12141 // from register interface (qualified with register enable)
12142 .we (dio_pad_sleep_mode_7_we & dio_pad_sleep_regwen_7_qs),
12143 .wd (dio_pad_sleep_mode_7_wd),
12144
12145 // from internal hardware
12146 .de (1'b0),
12147 .d ('0 ),
12148
12149 // to internal hardware
12150 .qe (),
12151 .q (reg2hw.dio_pad_sleep_mode[7].q ),
12152
12153 // to register interface (read)
12154 .qs (dio_pad_sleep_mode_7_qs)
12155 );
12156
12157 // Subregister 8 of Multireg dio_pad_sleep_mode
12158 // R[dio_pad_sleep_mode_8]: V(False)
12159
12160 prim_subreg #(
12161 .DW (2),
12162 .SWACCESS("RW"),
12163 .RESVAL (2'h2)
12164 ) u_dio_pad_sleep_mode_8 (
12165 .clk_i (clk_i ),
12166 .rst_ni (rst_ni ),
12167
12168 // from register interface (qualified with register enable)
12169 .we (dio_pad_sleep_mode_8_we & dio_pad_sleep_regwen_8_qs),
12170 .wd (dio_pad_sleep_mode_8_wd),
12171
12172 // from internal hardware
12173 .de (1'b0),
12174 .d ('0 ),
12175
12176 // to internal hardware
12177 .qe (),
12178 .q (reg2hw.dio_pad_sleep_mode[8].q ),
12179
12180 // to register interface (read)
12181 .qs (dio_pad_sleep_mode_8_qs)
12182 );
12183
12184 // Subregister 9 of Multireg dio_pad_sleep_mode
12185 // R[dio_pad_sleep_mode_9]: V(False)
12186
12187 prim_subreg #(
12188 .DW (2),
12189 .SWACCESS("RW"),
12190 .RESVAL (2'h2)
12191 ) u_dio_pad_sleep_mode_9 (
12192 .clk_i (clk_i ),
12193 .rst_ni (rst_ni ),
12194
12195 // from register interface (qualified with register enable)
12196 .we (dio_pad_sleep_mode_9_we & dio_pad_sleep_regwen_9_qs),
12197 .wd (dio_pad_sleep_mode_9_wd),
12198
12199 // from internal hardware
12200 .de (1'b0),
12201 .d ('0 ),
12202
12203 // to internal hardware
12204 .qe (),
12205 .q (reg2hw.dio_pad_sleep_mode[9].q ),
12206
12207 // to register interface (read)
12208 .qs (dio_pad_sleep_mode_9_qs)
12209 );
12210
12211 // Subregister 10 of Multireg dio_pad_sleep_mode
12212 // R[dio_pad_sleep_mode_10]: V(False)
12213
12214 prim_subreg #(
12215 .DW (2),
12216 .SWACCESS("RW"),
12217 .RESVAL (2'h2)
12218 ) u_dio_pad_sleep_mode_10 (
12219 .clk_i (clk_i ),
12220 .rst_ni (rst_ni ),
12221
12222 // from register interface (qualified with register enable)
12223 .we (dio_pad_sleep_mode_10_we & dio_pad_sleep_regwen_10_qs),
12224 .wd (dio_pad_sleep_mode_10_wd),
12225
12226 // from internal hardware
12227 .de (1'b0),
12228 .d ('0 ),
12229
12230 // to internal hardware
12231 .qe (),
12232 .q (reg2hw.dio_pad_sleep_mode[10].q ),
12233
12234 // to register interface (read)
12235 .qs (dio_pad_sleep_mode_10_qs)
12236 );
12237
12238 // Subregister 11 of Multireg dio_pad_sleep_mode
12239 // R[dio_pad_sleep_mode_11]: V(False)
12240
12241 prim_subreg #(
12242 .DW (2),
12243 .SWACCESS("RW"),
12244 .RESVAL (2'h2)
12245 ) u_dio_pad_sleep_mode_11 (
12246 .clk_i (clk_i ),
12247 .rst_ni (rst_ni ),
12248
12249 // from register interface (qualified with register enable)
12250 .we (dio_pad_sleep_mode_11_we & dio_pad_sleep_regwen_11_qs),
12251 .wd (dio_pad_sleep_mode_11_wd),
12252
12253 // from internal hardware
12254 .de (1'b0),
12255 .d ('0 ),
12256
12257 // to internal hardware
12258 .qe (),
12259 .q (reg2hw.dio_pad_sleep_mode[11].q ),
12260
12261 // to register interface (read)
12262 .qs (dio_pad_sleep_mode_11_qs)
12263 );
12264
12265 // Subregister 12 of Multireg dio_pad_sleep_mode
12266 // R[dio_pad_sleep_mode_12]: V(False)
12267
12268 prim_subreg #(
12269 .DW (2),
12270 .SWACCESS("RW"),
12271 .RESVAL (2'h2)
12272 ) u_dio_pad_sleep_mode_12 (
12273 .clk_i (clk_i ),
12274 .rst_ni (rst_ni ),
12275
12276 // from register interface (qualified with register enable)
12277 .we (dio_pad_sleep_mode_12_we & dio_pad_sleep_regwen_12_qs),
12278 .wd (dio_pad_sleep_mode_12_wd),
12279
12280 // from internal hardware
12281 .de (1'b0),
12282 .d ('0 ),
12283
12284 // to internal hardware
12285 .qe (),
12286 .q (reg2hw.dio_pad_sleep_mode[12].q ),
12287
12288 // to register interface (read)
12289 .qs (dio_pad_sleep_mode_12_qs)
12290 );
12291
12292 // Subregister 13 of Multireg dio_pad_sleep_mode
12293 // R[dio_pad_sleep_mode_13]: V(False)
12294
12295 prim_subreg #(
12296 .DW (2),
12297 .SWACCESS("RW"),
12298 .RESVAL (2'h2)
12299 ) u_dio_pad_sleep_mode_13 (
12300 .clk_i (clk_i ),
12301 .rst_ni (rst_ni ),
12302
12303 // from register interface (qualified with register enable)
12304 .we (dio_pad_sleep_mode_13_we & dio_pad_sleep_regwen_13_qs),
12305 .wd (dio_pad_sleep_mode_13_wd),
12306
12307 // from internal hardware
12308 .de (1'b0),
12309 .d ('0 ),
12310
12311 // to internal hardware
12312 .qe (),
12313 .q (reg2hw.dio_pad_sleep_mode[13].q ),
12314
12315 // to register interface (read)
12316 .qs (dio_pad_sleep_mode_13_qs)
12317 );
12318
12319 // Subregister 14 of Multireg dio_pad_sleep_mode
12320 // R[dio_pad_sleep_mode_14]: V(False)
12321
12322 prim_subreg #(
12323 .DW (2),
12324 .SWACCESS("RW"),
12325 .RESVAL (2'h2)
12326 ) u_dio_pad_sleep_mode_14 (
12327 .clk_i (clk_i ),
12328 .rst_ni (rst_ni ),
12329
12330 // from register interface (qualified with register enable)
12331 .we (dio_pad_sleep_mode_14_we & dio_pad_sleep_regwen_14_qs),
12332 .wd (dio_pad_sleep_mode_14_wd),
12333
12334 // from internal hardware
12335 .de (1'b0),
12336 .d ('0 ),
12337
12338 // to internal hardware
12339 .qe (),
12340 .q (reg2hw.dio_pad_sleep_mode[14].q ),
12341
12342 // to register interface (read)
12343 .qs (dio_pad_sleep_mode_14_qs)
12344 );
12345
12346 // Subregister 15 of Multireg dio_pad_sleep_mode
12347 // R[dio_pad_sleep_mode_15]: V(False)
12348
12349 prim_subreg #(
12350 .DW (2),
12351 .SWACCESS("RW"),
12352 .RESVAL (2'h2)
12353 ) u_dio_pad_sleep_mode_15 (
12354 .clk_i (clk_i ),
12355 .rst_ni (rst_ni ),
12356
12357 // from register interface (qualified with register enable)
12358 .we (dio_pad_sleep_mode_15_we & dio_pad_sleep_regwen_15_qs),
12359 .wd (dio_pad_sleep_mode_15_wd),
12360
12361 // from internal hardware
12362 .de (1'b0),
12363 .d ('0 ),
12364
12365 // to internal hardware
12366 .qe (),
12367 .q (reg2hw.dio_pad_sleep_mode[15].q ),
12368
12369 // to register interface (read)
12370 .qs (dio_pad_sleep_mode_15_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012371 );
12372
12373
12374
Michael Schaffner06fdb112021-02-10 16:52:56 -080012375 // Subregister 0 of Multireg wkup_detector_regwen
12376 // R[wkup_detector_regwen_0]: V(False)
12377
12378 prim_subreg #(
12379 .DW (1),
12380 .SWACCESS("W0C"),
12381 .RESVAL (1'h1)
12382 ) u_wkup_detector_regwen_0 (
12383 .clk_i (clk_i ),
12384 .rst_ni (rst_ni ),
12385
12386 // from register interface
12387 .we (wkup_detector_regwen_0_we),
12388 .wd (wkup_detector_regwen_0_wd),
12389
12390 // from internal hardware
12391 .de (1'b0),
12392 .d ('0 ),
12393
12394 // to internal hardware
12395 .qe (),
12396 .q (),
12397
12398 // to register interface (read)
12399 .qs (wkup_detector_regwen_0_qs)
12400 );
12401
12402 // Subregister 1 of Multireg wkup_detector_regwen
12403 // R[wkup_detector_regwen_1]: V(False)
12404
12405 prim_subreg #(
12406 .DW (1),
12407 .SWACCESS("W0C"),
12408 .RESVAL (1'h1)
12409 ) u_wkup_detector_regwen_1 (
12410 .clk_i (clk_i ),
12411 .rst_ni (rst_ni ),
12412
12413 // from register interface
12414 .we (wkup_detector_regwen_1_we),
12415 .wd (wkup_detector_regwen_1_wd),
12416
12417 // from internal hardware
12418 .de (1'b0),
12419 .d ('0 ),
12420
12421 // to internal hardware
12422 .qe (),
12423 .q (),
12424
12425 // to register interface (read)
12426 .qs (wkup_detector_regwen_1_qs)
12427 );
12428
12429 // Subregister 2 of Multireg wkup_detector_regwen
12430 // R[wkup_detector_regwen_2]: V(False)
12431
12432 prim_subreg #(
12433 .DW (1),
12434 .SWACCESS("W0C"),
12435 .RESVAL (1'h1)
12436 ) u_wkup_detector_regwen_2 (
12437 .clk_i (clk_i ),
12438 .rst_ni (rst_ni ),
12439
12440 // from register interface
12441 .we (wkup_detector_regwen_2_we),
12442 .wd (wkup_detector_regwen_2_wd),
12443
12444 // from internal hardware
12445 .de (1'b0),
12446 .d ('0 ),
12447
12448 // to internal hardware
12449 .qe (),
12450 .q (),
12451
12452 // to register interface (read)
12453 .qs (wkup_detector_regwen_2_qs)
12454 );
12455
12456 // Subregister 3 of Multireg wkup_detector_regwen
12457 // R[wkup_detector_regwen_3]: V(False)
12458
12459 prim_subreg #(
12460 .DW (1),
12461 .SWACCESS("W0C"),
12462 .RESVAL (1'h1)
12463 ) u_wkup_detector_regwen_3 (
12464 .clk_i (clk_i ),
12465 .rst_ni (rst_ni ),
12466
12467 // from register interface
12468 .we (wkup_detector_regwen_3_we),
12469 .wd (wkup_detector_regwen_3_wd),
12470
12471 // from internal hardware
12472 .de (1'b0),
12473 .d ('0 ),
12474
12475 // to internal hardware
12476 .qe (),
12477 .q (),
12478
12479 // to register interface (read)
12480 .qs (wkup_detector_regwen_3_qs)
12481 );
12482
12483 // Subregister 4 of Multireg wkup_detector_regwen
12484 // R[wkup_detector_regwen_4]: V(False)
12485
12486 prim_subreg #(
12487 .DW (1),
12488 .SWACCESS("W0C"),
12489 .RESVAL (1'h1)
12490 ) u_wkup_detector_regwen_4 (
12491 .clk_i (clk_i ),
12492 .rst_ni (rst_ni ),
12493
12494 // from register interface
12495 .we (wkup_detector_regwen_4_we),
12496 .wd (wkup_detector_regwen_4_wd),
12497
12498 // from internal hardware
12499 .de (1'b0),
12500 .d ('0 ),
12501
12502 // to internal hardware
12503 .qe (),
12504 .q (),
12505
12506 // to register interface (read)
12507 .qs (wkup_detector_regwen_4_qs)
12508 );
12509
12510 // Subregister 5 of Multireg wkup_detector_regwen
12511 // R[wkup_detector_regwen_5]: V(False)
12512
12513 prim_subreg #(
12514 .DW (1),
12515 .SWACCESS("W0C"),
12516 .RESVAL (1'h1)
12517 ) u_wkup_detector_regwen_5 (
12518 .clk_i (clk_i ),
12519 .rst_ni (rst_ni ),
12520
12521 // from register interface
12522 .we (wkup_detector_regwen_5_we),
12523 .wd (wkup_detector_regwen_5_wd),
12524
12525 // from internal hardware
12526 .de (1'b0),
12527 .d ('0 ),
12528
12529 // to internal hardware
12530 .qe (),
12531 .q (),
12532
12533 // to register interface (read)
12534 .qs (wkup_detector_regwen_5_qs)
12535 );
12536
12537 // Subregister 6 of Multireg wkup_detector_regwen
12538 // R[wkup_detector_regwen_6]: V(False)
12539
12540 prim_subreg #(
12541 .DW (1),
12542 .SWACCESS("W0C"),
12543 .RESVAL (1'h1)
12544 ) u_wkup_detector_regwen_6 (
12545 .clk_i (clk_i ),
12546 .rst_ni (rst_ni ),
12547
12548 // from register interface
12549 .we (wkup_detector_regwen_6_we),
12550 .wd (wkup_detector_regwen_6_wd),
12551
12552 // from internal hardware
12553 .de (1'b0),
12554 .d ('0 ),
12555
12556 // to internal hardware
12557 .qe (),
12558 .q (),
12559
12560 // to register interface (read)
12561 .qs (wkup_detector_regwen_6_qs)
12562 );
12563
12564 // Subregister 7 of Multireg wkup_detector_regwen
12565 // R[wkup_detector_regwen_7]: V(False)
12566
12567 prim_subreg #(
12568 .DW (1),
12569 .SWACCESS("W0C"),
12570 .RESVAL (1'h1)
12571 ) u_wkup_detector_regwen_7 (
12572 .clk_i (clk_i ),
12573 .rst_ni (rst_ni ),
12574
12575 // from register interface
12576 .we (wkup_detector_regwen_7_we),
12577 .wd (wkup_detector_regwen_7_wd),
12578
12579 // from internal hardware
12580 .de (1'b0),
12581 .d ('0 ),
12582
12583 // to internal hardware
12584 .qe (),
12585 .q (),
12586
12587 // to register interface (read)
12588 .qs (wkup_detector_regwen_7_qs)
12589 );
12590
12591
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012592
12593 // Subregister 0 of Multireg wkup_detector_en
Michael Schaffner06fdb112021-02-10 16:52:56 -080012594 // R[wkup_detector_en_0]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012595
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012596 prim_subreg #(
12597 .DW (1),
12598 .SWACCESS("RW"),
12599 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012600 ) u_wkup_detector_en_0 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012601 .clk_i (clk_i ),
12602 .rst_ni (rst_ni ),
12603
12604 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012605 .we (wkup_detector_en_0_we & wkup_detector_regwen_0_qs),
12606 .wd (wkup_detector_en_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012607
12608 // from internal hardware
12609 .de (1'b0),
12610 .d ('0 ),
12611
12612 // to internal hardware
12613 .qe (),
12614 .q (reg2hw.wkup_detector_en[0].q ),
12615
12616 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012617 .qs (wkup_detector_en_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012618 );
12619
Michael Schaffner06fdb112021-02-10 16:52:56 -080012620 // Subregister 1 of Multireg wkup_detector_en
12621 // R[wkup_detector_en_1]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012622
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012623 prim_subreg #(
12624 .DW (1),
12625 .SWACCESS("RW"),
12626 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012627 ) u_wkup_detector_en_1 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012628 .clk_i (clk_i ),
12629 .rst_ni (rst_ni ),
12630
12631 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012632 .we (wkup_detector_en_1_we & wkup_detector_regwen_1_qs),
12633 .wd (wkup_detector_en_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012634
12635 // from internal hardware
12636 .de (1'b0),
12637 .d ('0 ),
12638
12639 // to internal hardware
12640 .qe (),
12641 .q (reg2hw.wkup_detector_en[1].q ),
12642
12643 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012644 .qs (wkup_detector_en_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012645 );
12646
Michael Schaffner06fdb112021-02-10 16:52:56 -080012647 // Subregister 2 of Multireg wkup_detector_en
12648 // R[wkup_detector_en_2]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012649
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012650 prim_subreg #(
12651 .DW (1),
12652 .SWACCESS("RW"),
12653 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012654 ) u_wkup_detector_en_2 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012655 .clk_i (clk_i ),
12656 .rst_ni (rst_ni ),
12657
12658 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012659 .we (wkup_detector_en_2_we & wkup_detector_regwen_2_qs),
12660 .wd (wkup_detector_en_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012661
12662 // from internal hardware
12663 .de (1'b0),
12664 .d ('0 ),
12665
12666 // to internal hardware
12667 .qe (),
12668 .q (reg2hw.wkup_detector_en[2].q ),
12669
12670 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012671 .qs (wkup_detector_en_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012672 );
12673
Michael Schaffner06fdb112021-02-10 16:52:56 -080012674 // Subregister 3 of Multireg wkup_detector_en
12675 // R[wkup_detector_en_3]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012676
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012677 prim_subreg #(
12678 .DW (1),
12679 .SWACCESS("RW"),
12680 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012681 ) u_wkup_detector_en_3 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012682 .clk_i (clk_i ),
12683 .rst_ni (rst_ni ),
12684
12685 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012686 .we (wkup_detector_en_3_we & wkup_detector_regwen_3_qs),
12687 .wd (wkup_detector_en_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012688
12689 // from internal hardware
12690 .de (1'b0),
12691 .d ('0 ),
12692
12693 // to internal hardware
12694 .qe (),
12695 .q (reg2hw.wkup_detector_en[3].q ),
12696
12697 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012698 .qs (wkup_detector_en_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012699 );
12700
Michael Schaffner06fdb112021-02-10 16:52:56 -080012701 // Subregister 4 of Multireg wkup_detector_en
12702 // R[wkup_detector_en_4]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012703
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012704 prim_subreg #(
12705 .DW (1),
12706 .SWACCESS("RW"),
12707 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012708 ) u_wkup_detector_en_4 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012709 .clk_i (clk_i ),
12710 .rst_ni (rst_ni ),
12711
12712 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012713 .we (wkup_detector_en_4_we & wkup_detector_regwen_4_qs),
12714 .wd (wkup_detector_en_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012715
12716 // from internal hardware
12717 .de (1'b0),
12718 .d ('0 ),
12719
12720 // to internal hardware
12721 .qe (),
12722 .q (reg2hw.wkup_detector_en[4].q ),
12723
12724 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012725 .qs (wkup_detector_en_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012726 );
12727
Michael Schaffner06fdb112021-02-10 16:52:56 -080012728 // Subregister 5 of Multireg wkup_detector_en
12729 // R[wkup_detector_en_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012730
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012731 prim_subreg #(
12732 .DW (1),
12733 .SWACCESS("RW"),
12734 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012735 ) u_wkup_detector_en_5 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012736 .clk_i (clk_i ),
12737 .rst_ni (rst_ni ),
12738
12739 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012740 .we (wkup_detector_en_5_we & wkup_detector_regwen_5_qs),
12741 .wd (wkup_detector_en_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012742
12743 // from internal hardware
12744 .de (1'b0),
12745 .d ('0 ),
12746
12747 // to internal hardware
12748 .qe (),
12749 .q (reg2hw.wkup_detector_en[5].q ),
12750
12751 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012752 .qs (wkup_detector_en_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012753 );
12754
Michael Schaffner06fdb112021-02-10 16:52:56 -080012755 // Subregister 6 of Multireg wkup_detector_en
12756 // R[wkup_detector_en_6]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012757
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012758 prim_subreg #(
12759 .DW (1),
12760 .SWACCESS("RW"),
12761 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012762 ) u_wkup_detector_en_6 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012763 .clk_i (clk_i ),
12764 .rst_ni (rst_ni ),
12765
12766 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012767 .we (wkup_detector_en_6_we & wkup_detector_regwen_6_qs),
12768 .wd (wkup_detector_en_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012769
12770 // from internal hardware
12771 .de (1'b0),
12772 .d ('0 ),
12773
12774 // to internal hardware
12775 .qe (),
12776 .q (reg2hw.wkup_detector_en[6].q ),
12777
12778 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012779 .qs (wkup_detector_en_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012780 );
12781
Michael Schaffner06fdb112021-02-10 16:52:56 -080012782 // Subregister 7 of Multireg wkup_detector_en
12783 // R[wkup_detector_en_7]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012784
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012785 prim_subreg #(
12786 .DW (1),
12787 .SWACCESS("RW"),
12788 .RESVAL (1'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012789 ) u_wkup_detector_en_7 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012790 .clk_i (clk_i ),
12791 .rst_ni (rst_ni ),
12792
12793 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012794 .we (wkup_detector_en_7_we & wkup_detector_regwen_7_qs),
12795 .wd (wkup_detector_en_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012796
12797 // from internal hardware
12798 .de (1'b0),
12799 .d ('0 ),
12800
12801 // to internal hardware
12802 .qe (),
12803 .q (reg2hw.wkup_detector_en[7].q ),
12804
12805 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012806 .qs (wkup_detector_en_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012807 );
12808
12809
12810
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012811 // Subregister 0 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012812 // R[wkup_detector_0]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012813
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012814 // F[mode_0]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012815 prim_subreg #(
12816 .DW (3),
12817 .SWACCESS("RW"),
12818 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012819 ) u_wkup_detector_0_mode_0 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012820 .clk_i (clk_i ),
12821 .rst_ni (rst_ni ),
12822
12823 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012824 .we (wkup_detector_0_mode_0_we & wkup_detector_regwen_0_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012825 .wd (wkup_detector_0_mode_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012826
12827 // from internal hardware
12828 .de (1'b0),
12829 .d ('0 ),
12830
12831 // to internal hardware
12832 .qe (),
12833 .q (reg2hw.wkup_detector[0].mode.q ),
12834
12835 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012836 .qs (wkup_detector_0_mode_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012837 );
12838
12839
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012840 // F[filter_0]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012841 prim_subreg #(
12842 .DW (1),
12843 .SWACCESS("RW"),
12844 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012845 ) u_wkup_detector_0_filter_0 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012846 .clk_i (clk_i ),
12847 .rst_ni (rst_ni ),
12848
12849 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012850 .we (wkup_detector_0_filter_0_we & wkup_detector_regwen_0_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012851 .wd (wkup_detector_0_filter_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012852
12853 // from internal hardware
12854 .de (1'b0),
12855 .d ('0 ),
12856
12857 // to internal hardware
12858 .qe (),
12859 .q (reg2hw.wkup_detector[0].filter.q ),
12860
12861 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012862 .qs (wkup_detector_0_filter_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012863 );
12864
12865
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012866 // F[miodio_0]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012867 prim_subreg #(
12868 .DW (1),
12869 .SWACCESS("RW"),
12870 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012871 ) u_wkup_detector_0_miodio_0 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012872 .clk_i (clk_i ),
12873 .rst_ni (rst_ni ),
12874
12875 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012876 .we (wkup_detector_0_miodio_0_we & wkup_detector_regwen_0_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012877 .wd (wkup_detector_0_miodio_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012878
12879 // from internal hardware
12880 .de (1'b0),
12881 .d ('0 ),
12882
12883 // to internal hardware
12884 .qe (),
12885 .q (reg2hw.wkup_detector[0].miodio.q ),
12886
12887 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012888 .qs (wkup_detector_0_miodio_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012889 );
12890
12891
12892 // Subregister 1 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012893 // R[wkup_detector_1]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012894
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012895 // F[mode_1]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012896 prim_subreg #(
12897 .DW (3),
12898 .SWACCESS("RW"),
12899 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012900 ) u_wkup_detector_1_mode_1 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012901 .clk_i (clk_i ),
12902 .rst_ni (rst_ni ),
12903
12904 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012905 .we (wkup_detector_1_mode_1_we & wkup_detector_regwen_1_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012906 .wd (wkup_detector_1_mode_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012907
12908 // from internal hardware
12909 .de (1'b0),
12910 .d ('0 ),
12911
12912 // to internal hardware
12913 .qe (),
12914 .q (reg2hw.wkup_detector[1].mode.q ),
12915
12916 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012917 .qs (wkup_detector_1_mode_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012918 );
12919
12920
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012921 // F[filter_1]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012922 prim_subreg #(
12923 .DW (1),
12924 .SWACCESS("RW"),
12925 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012926 ) u_wkup_detector_1_filter_1 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012927 .clk_i (clk_i ),
12928 .rst_ni (rst_ni ),
12929
12930 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012931 .we (wkup_detector_1_filter_1_we & wkup_detector_regwen_1_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012932 .wd (wkup_detector_1_filter_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012933
12934 // from internal hardware
12935 .de (1'b0),
12936 .d ('0 ),
12937
12938 // to internal hardware
12939 .qe (),
12940 .q (reg2hw.wkup_detector[1].filter.q ),
12941
12942 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012943 .qs (wkup_detector_1_filter_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012944 );
12945
12946
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012947 // F[miodio_1]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012948 prim_subreg #(
12949 .DW (1),
12950 .SWACCESS("RW"),
12951 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012952 ) u_wkup_detector_1_miodio_1 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012953 .clk_i (clk_i ),
12954 .rst_ni (rst_ni ),
12955
12956 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012957 .we (wkup_detector_1_miodio_1_we & wkup_detector_regwen_1_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012958 .wd (wkup_detector_1_miodio_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012959
12960 // from internal hardware
12961 .de (1'b0),
12962 .d ('0 ),
12963
12964 // to internal hardware
12965 .qe (),
12966 .q (reg2hw.wkup_detector[1].miodio.q ),
12967
12968 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012969 .qs (wkup_detector_1_miodio_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012970 );
12971
12972
12973 // Subregister 2 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012974 // R[wkup_detector_2]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012975
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012976 // F[mode_2]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012977 prim_subreg #(
12978 .DW (3),
12979 .SWACCESS("RW"),
12980 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012981 ) u_wkup_detector_2_mode_2 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012982 .clk_i (clk_i ),
12983 .rst_ni (rst_ni ),
12984
12985 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080012986 .we (wkup_detector_2_mode_2_we & wkup_detector_regwen_2_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012987 .wd (wkup_detector_2_mode_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012988
12989 // from internal hardware
12990 .de (1'b0),
12991 .d ('0 ),
12992
12993 // to internal hardware
12994 .qe (),
12995 .q (reg2hw.wkup_detector[2].mode.q ),
12996
12997 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020012998 .qs (wkup_detector_2_mode_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070012999 );
13000
13001
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013002 // F[filter_2]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013003 prim_subreg #(
13004 .DW (1),
13005 .SWACCESS("RW"),
13006 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013007 ) u_wkup_detector_2_filter_2 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013008 .clk_i (clk_i ),
13009 .rst_ni (rst_ni ),
13010
13011 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013012 .we (wkup_detector_2_filter_2_we & wkup_detector_regwen_2_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013013 .wd (wkup_detector_2_filter_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013014
13015 // from internal hardware
13016 .de (1'b0),
13017 .d ('0 ),
13018
13019 // to internal hardware
13020 .qe (),
13021 .q (reg2hw.wkup_detector[2].filter.q ),
13022
13023 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013024 .qs (wkup_detector_2_filter_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013025 );
13026
13027
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013028 // F[miodio_2]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013029 prim_subreg #(
13030 .DW (1),
13031 .SWACCESS("RW"),
13032 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013033 ) u_wkup_detector_2_miodio_2 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013034 .clk_i (clk_i ),
13035 .rst_ni (rst_ni ),
13036
13037 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013038 .we (wkup_detector_2_miodio_2_we & wkup_detector_regwen_2_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013039 .wd (wkup_detector_2_miodio_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013040
13041 // from internal hardware
13042 .de (1'b0),
13043 .d ('0 ),
13044
13045 // to internal hardware
13046 .qe (),
13047 .q (reg2hw.wkup_detector[2].miodio.q ),
13048
13049 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013050 .qs (wkup_detector_2_miodio_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013051 );
13052
13053
13054 // Subregister 3 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013055 // R[wkup_detector_3]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013056
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013057 // F[mode_3]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013058 prim_subreg #(
13059 .DW (3),
13060 .SWACCESS("RW"),
13061 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013062 ) u_wkup_detector_3_mode_3 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013063 .clk_i (clk_i ),
13064 .rst_ni (rst_ni ),
13065
13066 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013067 .we (wkup_detector_3_mode_3_we & wkup_detector_regwen_3_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013068 .wd (wkup_detector_3_mode_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013069
13070 // from internal hardware
13071 .de (1'b0),
13072 .d ('0 ),
13073
13074 // to internal hardware
13075 .qe (),
13076 .q (reg2hw.wkup_detector[3].mode.q ),
13077
13078 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013079 .qs (wkup_detector_3_mode_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013080 );
13081
13082
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013083 // F[filter_3]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013084 prim_subreg #(
13085 .DW (1),
13086 .SWACCESS("RW"),
13087 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013088 ) u_wkup_detector_3_filter_3 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013089 .clk_i (clk_i ),
13090 .rst_ni (rst_ni ),
13091
13092 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013093 .we (wkup_detector_3_filter_3_we & wkup_detector_regwen_3_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013094 .wd (wkup_detector_3_filter_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013095
13096 // from internal hardware
13097 .de (1'b0),
13098 .d ('0 ),
13099
13100 // to internal hardware
13101 .qe (),
13102 .q (reg2hw.wkup_detector[3].filter.q ),
13103
13104 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013105 .qs (wkup_detector_3_filter_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013106 );
13107
13108
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013109 // F[miodio_3]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013110 prim_subreg #(
13111 .DW (1),
13112 .SWACCESS("RW"),
13113 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013114 ) u_wkup_detector_3_miodio_3 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013115 .clk_i (clk_i ),
13116 .rst_ni (rst_ni ),
13117
13118 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013119 .we (wkup_detector_3_miodio_3_we & wkup_detector_regwen_3_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013120 .wd (wkup_detector_3_miodio_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013121
13122 // from internal hardware
13123 .de (1'b0),
13124 .d ('0 ),
13125
13126 // to internal hardware
13127 .qe (),
13128 .q (reg2hw.wkup_detector[3].miodio.q ),
13129
13130 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013131 .qs (wkup_detector_3_miodio_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013132 );
13133
13134
13135 // Subregister 4 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013136 // R[wkup_detector_4]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013137
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013138 // F[mode_4]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013139 prim_subreg #(
13140 .DW (3),
13141 .SWACCESS("RW"),
13142 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013143 ) u_wkup_detector_4_mode_4 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013144 .clk_i (clk_i ),
13145 .rst_ni (rst_ni ),
13146
13147 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013148 .we (wkup_detector_4_mode_4_we & wkup_detector_regwen_4_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013149 .wd (wkup_detector_4_mode_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013150
13151 // from internal hardware
13152 .de (1'b0),
13153 .d ('0 ),
13154
13155 // to internal hardware
13156 .qe (),
13157 .q (reg2hw.wkup_detector[4].mode.q ),
13158
13159 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013160 .qs (wkup_detector_4_mode_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013161 );
13162
13163
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013164 // F[filter_4]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013165 prim_subreg #(
13166 .DW (1),
13167 .SWACCESS("RW"),
13168 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013169 ) u_wkup_detector_4_filter_4 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013170 .clk_i (clk_i ),
13171 .rst_ni (rst_ni ),
13172
13173 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013174 .we (wkup_detector_4_filter_4_we & wkup_detector_regwen_4_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013175 .wd (wkup_detector_4_filter_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013176
13177 // from internal hardware
13178 .de (1'b0),
13179 .d ('0 ),
13180
13181 // to internal hardware
13182 .qe (),
13183 .q (reg2hw.wkup_detector[4].filter.q ),
13184
13185 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013186 .qs (wkup_detector_4_filter_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013187 );
13188
13189
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013190 // F[miodio_4]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013191 prim_subreg #(
13192 .DW (1),
13193 .SWACCESS("RW"),
13194 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013195 ) u_wkup_detector_4_miodio_4 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013196 .clk_i (clk_i ),
13197 .rst_ni (rst_ni ),
13198
13199 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013200 .we (wkup_detector_4_miodio_4_we & wkup_detector_regwen_4_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013201 .wd (wkup_detector_4_miodio_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013202
13203 // from internal hardware
13204 .de (1'b0),
13205 .d ('0 ),
13206
13207 // to internal hardware
13208 .qe (),
13209 .q (reg2hw.wkup_detector[4].miodio.q ),
13210
13211 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013212 .qs (wkup_detector_4_miodio_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013213 );
13214
13215
13216 // Subregister 5 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013217 // R[wkup_detector_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013218
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013219 // F[mode_5]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013220 prim_subreg #(
13221 .DW (3),
13222 .SWACCESS("RW"),
13223 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013224 ) u_wkup_detector_5_mode_5 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013225 .clk_i (clk_i ),
13226 .rst_ni (rst_ni ),
13227
13228 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013229 .we (wkup_detector_5_mode_5_we & wkup_detector_regwen_5_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013230 .wd (wkup_detector_5_mode_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013231
13232 // from internal hardware
13233 .de (1'b0),
13234 .d ('0 ),
13235
13236 // to internal hardware
13237 .qe (),
13238 .q (reg2hw.wkup_detector[5].mode.q ),
13239
13240 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013241 .qs (wkup_detector_5_mode_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013242 );
13243
13244
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013245 // F[filter_5]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013246 prim_subreg #(
13247 .DW (1),
13248 .SWACCESS("RW"),
13249 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013250 ) u_wkup_detector_5_filter_5 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013251 .clk_i (clk_i ),
13252 .rst_ni (rst_ni ),
13253
13254 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013255 .we (wkup_detector_5_filter_5_we & wkup_detector_regwen_5_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013256 .wd (wkup_detector_5_filter_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013257
13258 // from internal hardware
13259 .de (1'b0),
13260 .d ('0 ),
13261
13262 // to internal hardware
13263 .qe (),
13264 .q (reg2hw.wkup_detector[5].filter.q ),
13265
13266 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013267 .qs (wkup_detector_5_filter_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013268 );
13269
13270
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013271 // F[miodio_5]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013272 prim_subreg #(
13273 .DW (1),
13274 .SWACCESS("RW"),
13275 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013276 ) u_wkup_detector_5_miodio_5 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013277 .clk_i (clk_i ),
13278 .rst_ni (rst_ni ),
13279
13280 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013281 .we (wkup_detector_5_miodio_5_we & wkup_detector_regwen_5_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013282 .wd (wkup_detector_5_miodio_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013283
13284 // from internal hardware
13285 .de (1'b0),
13286 .d ('0 ),
13287
13288 // to internal hardware
13289 .qe (),
13290 .q (reg2hw.wkup_detector[5].miodio.q ),
13291
13292 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013293 .qs (wkup_detector_5_miodio_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013294 );
13295
13296
13297 // Subregister 6 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013298 // R[wkup_detector_6]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013299
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013300 // F[mode_6]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013301 prim_subreg #(
13302 .DW (3),
13303 .SWACCESS("RW"),
13304 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013305 ) u_wkup_detector_6_mode_6 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013306 .clk_i (clk_i ),
13307 .rst_ni (rst_ni ),
13308
13309 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013310 .we (wkup_detector_6_mode_6_we & wkup_detector_regwen_6_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013311 .wd (wkup_detector_6_mode_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013312
13313 // from internal hardware
13314 .de (1'b0),
13315 .d ('0 ),
13316
13317 // to internal hardware
13318 .qe (),
13319 .q (reg2hw.wkup_detector[6].mode.q ),
13320
13321 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013322 .qs (wkup_detector_6_mode_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013323 );
13324
13325
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013326 // F[filter_6]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013327 prim_subreg #(
13328 .DW (1),
13329 .SWACCESS("RW"),
13330 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013331 ) u_wkup_detector_6_filter_6 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013332 .clk_i (clk_i ),
13333 .rst_ni (rst_ni ),
13334
13335 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013336 .we (wkup_detector_6_filter_6_we & wkup_detector_regwen_6_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013337 .wd (wkup_detector_6_filter_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013338
13339 // from internal hardware
13340 .de (1'b0),
13341 .d ('0 ),
13342
13343 // to internal hardware
13344 .qe (),
13345 .q (reg2hw.wkup_detector[6].filter.q ),
13346
13347 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013348 .qs (wkup_detector_6_filter_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013349 );
13350
13351
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013352 // F[miodio_6]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013353 prim_subreg #(
13354 .DW (1),
13355 .SWACCESS("RW"),
13356 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013357 ) u_wkup_detector_6_miodio_6 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013358 .clk_i (clk_i ),
13359 .rst_ni (rst_ni ),
13360
13361 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013362 .we (wkup_detector_6_miodio_6_we & wkup_detector_regwen_6_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013363 .wd (wkup_detector_6_miodio_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013364
13365 // from internal hardware
13366 .de (1'b0),
13367 .d ('0 ),
13368
13369 // to internal hardware
13370 .qe (),
13371 .q (reg2hw.wkup_detector[6].miodio.q ),
13372
13373 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013374 .qs (wkup_detector_6_miodio_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013375 );
13376
13377
13378 // Subregister 7 of Multireg wkup_detector
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013379 // R[wkup_detector_7]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013380
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013381 // F[mode_7]: 2:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013382 prim_subreg #(
13383 .DW (3),
13384 .SWACCESS("RW"),
13385 .RESVAL (3'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013386 ) u_wkup_detector_7_mode_7 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013387 .clk_i (clk_i ),
13388 .rst_ni (rst_ni ),
13389
13390 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013391 .we (wkup_detector_7_mode_7_we & wkup_detector_regwen_7_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013392 .wd (wkup_detector_7_mode_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013393
13394 // from internal hardware
13395 .de (1'b0),
13396 .d ('0 ),
13397
13398 // to internal hardware
13399 .qe (),
13400 .q (reg2hw.wkup_detector[7].mode.q ),
13401
13402 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013403 .qs (wkup_detector_7_mode_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013404 );
13405
13406
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013407 // F[filter_7]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013408 prim_subreg #(
13409 .DW (1),
13410 .SWACCESS("RW"),
13411 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013412 ) u_wkup_detector_7_filter_7 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013413 .clk_i (clk_i ),
13414 .rst_ni (rst_ni ),
13415
13416 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013417 .we (wkup_detector_7_filter_7_we & wkup_detector_regwen_7_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013418 .wd (wkup_detector_7_filter_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013419
13420 // from internal hardware
13421 .de (1'b0),
13422 .d ('0 ),
13423
13424 // to internal hardware
13425 .qe (),
13426 .q (reg2hw.wkup_detector[7].filter.q ),
13427
13428 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013429 .qs (wkup_detector_7_filter_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013430 );
13431
13432
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013433 // F[miodio_7]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013434 prim_subreg #(
13435 .DW (1),
13436 .SWACCESS("RW"),
13437 .RESVAL (1'h0)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013438 ) u_wkup_detector_7_miodio_7 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013439 .clk_i (clk_i ),
13440 .rst_ni (rst_ni ),
13441
13442 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013443 .we (wkup_detector_7_miodio_7_we & wkup_detector_regwen_7_qs),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013444 .wd (wkup_detector_7_miodio_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013445
13446 // from internal hardware
13447 .de (1'b0),
13448 .d ('0 ),
13449
13450 // to internal hardware
13451 .qe (),
13452 .q (reg2hw.wkup_detector[7].miodio.q ),
13453
13454 // to register interface (read)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013455 .qs (wkup_detector_7_miodio_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013456 );
13457
13458
13459
13460
13461 // Subregister 0 of Multireg wkup_detector_cnt_th
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013462 // R[wkup_detector_cnt_th_0]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013463
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013464 prim_subreg #(
13465 .DW (8),
13466 .SWACCESS("RW"),
13467 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013468 ) u_wkup_detector_cnt_th_0 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013469 .clk_i (clk_i ),
13470 .rst_ni (rst_ni ),
13471
13472 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013473 .we (wkup_detector_cnt_th_0_we & wkup_detector_regwen_0_qs),
13474 .wd (wkup_detector_cnt_th_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013475
13476 // from internal hardware
13477 .de (1'b0),
13478 .d ('0 ),
13479
13480 // to internal hardware
13481 .qe (),
13482 .q (reg2hw.wkup_detector_cnt_th[0].q ),
13483
13484 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013485 .qs (wkup_detector_cnt_th_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013486 );
13487
Michael Schaffner06fdb112021-02-10 16:52:56 -080013488 // Subregister 1 of Multireg wkup_detector_cnt_th
13489 // R[wkup_detector_cnt_th_1]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013490
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013491 prim_subreg #(
13492 .DW (8),
13493 .SWACCESS("RW"),
13494 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013495 ) u_wkup_detector_cnt_th_1 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013496 .clk_i (clk_i ),
13497 .rst_ni (rst_ni ),
13498
13499 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013500 .we (wkup_detector_cnt_th_1_we & wkup_detector_regwen_1_qs),
13501 .wd (wkup_detector_cnt_th_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013502
13503 // from internal hardware
13504 .de (1'b0),
13505 .d ('0 ),
13506
13507 // to internal hardware
13508 .qe (),
13509 .q (reg2hw.wkup_detector_cnt_th[1].q ),
13510
13511 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013512 .qs (wkup_detector_cnt_th_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013513 );
13514
Michael Schaffner06fdb112021-02-10 16:52:56 -080013515 // Subregister 2 of Multireg wkup_detector_cnt_th
13516 // R[wkup_detector_cnt_th_2]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013517
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013518 prim_subreg #(
13519 .DW (8),
13520 .SWACCESS("RW"),
13521 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013522 ) u_wkup_detector_cnt_th_2 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013523 .clk_i (clk_i ),
13524 .rst_ni (rst_ni ),
13525
13526 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013527 .we (wkup_detector_cnt_th_2_we & wkup_detector_regwen_2_qs),
13528 .wd (wkup_detector_cnt_th_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013529
13530 // from internal hardware
13531 .de (1'b0),
13532 .d ('0 ),
13533
13534 // to internal hardware
13535 .qe (),
13536 .q (reg2hw.wkup_detector_cnt_th[2].q ),
13537
13538 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013539 .qs (wkup_detector_cnt_th_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013540 );
13541
Michael Schaffner06fdb112021-02-10 16:52:56 -080013542 // Subregister 3 of Multireg wkup_detector_cnt_th
13543 // R[wkup_detector_cnt_th_3]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013544
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013545 prim_subreg #(
13546 .DW (8),
13547 .SWACCESS("RW"),
13548 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013549 ) u_wkup_detector_cnt_th_3 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013550 .clk_i (clk_i ),
13551 .rst_ni (rst_ni ),
13552
13553 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013554 .we (wkup_detector_cnt_th_3_we & wkup_detector_regwen_3_qs),
13555 .wd (wkup_detector_cnt_th_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013556
13557 // from internal hardware
13558 .de (1'b0),
13559 .d ('0 ),
13560
13561 // to internal hardware
13562 .qe (),
13563 .q (reg2hw.wkup_detector_cnt_th[3].q ),
13564
13565 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013566 .qs (wkup_detector_cnt_th_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013567 );
13568
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013569 // Subregister 4 of Multireg wkup_detector_cnt_th
Michael Schaffner06fdb112021-02-10 16:52:56 -080013570 // R[wkup_detector_cnt_th_4]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013571
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013572 prim_subreg #(
13573 .DW (8),
13574 .SWACCESS("RW"),
13575 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013576 ) u_wkup_detector_cnt_th_4 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013577 .clk_i (clk_i ),
13578 .rst_ni (rst_ni ),
13579
13580 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013581 .we (wkup_detector_cnt_th_4_we & wkup_detector_regwen_4_qs),
13582 .wd (wkup_detector_cnt_th_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013583
13584 // from internal hardware
13585 .de (1'b0),
13586 .d ('0 ),
13587
13588 // to internal hardware
13589 .qe (),
13590 .q (reg2hw.wkup_detector_cnt_th[4].q ),
13591
13592 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013593 .qs (wkup_detector_cnt_th_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013594 );
13595
Michael Schaffner06fdb112021-02-10 16:52:56 -080013596 // Subregister 5 of Multireg wkup_detector_cnt_th
13597 // R[wkup_detector_cnt_th_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013598
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013599 prim_subreg #(
13600 .DW (8),
13601 .SWACCESS("RW"),
13602 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013603 ) u_wkup_detector_cnt_th_5 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013604 .clk_i (clk_i ),
13605 .rst_ni (rst_ni ),
13606
13607 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013608 .we (wkup_detector_cnt_th_5_we & wkup_detector_regwen_5_qs),
13609 .wd (wkup_detector_cnt_th_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013610
13611 // from internal hardware
13612 .de (1'b0),
13613 .d ('0 ),
13614
13615 // to internal hardware
13616 .qe (),
13617 .q (reg2hw.wkup_detector_cnt_th[5].q ),
13618
13619 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013620 .qs (wkup_detector_cnt_th_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013621 );
13622
Michael Schaffner06fdb112021-02-10 16:52:56 -080013623 // Subregister 6 of Multireg wkup_detector_cnt_th
13624 // R[wkup_detector_cnt_th_6]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013625
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013626 prim_subreg #(
13627 .DW (8),
13628 .SWACCESS("RW"),
13629 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013630 ) u_wkup_detector_cnt_th_6 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013631 .clk_i (clk_i ),
13632 .rst_ni (rst_ni ),
13633
13634 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013635 .we (wkup_detector_cnt_th_6_we & wkup_detector_regwen_6_qs),
13636 .wd (wkup_detector_cnt_th_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013637
13638 // from internal hardware
13639 .de (1'b0),
13640 .d ('0 ),
13641
13642 // to internal hardware
13643 .qe (),
13644 .q (reg2hw.wkup_detector_cnt_th[6].q ),
13645
13646 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013647 .qs (wkup_detector_cnt_th_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013648 );
13649
Michael Schaffner06fdb112021-02-10 16:52:56 -080013650 // Subregister 7 of Multireg wkup_detector_cnt_th
13651 // R[wkup_detector_cnt_th_7]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013652
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013653 prim_subreg #(
13654 .DW (8),
13655 .SWACCESS("RW"),
13656 .RESVAL (8'h0)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013657 ) u_wkup_detector_cnt_th_7 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013658 .clk_i (clk_i ),
13659 .rst_ni (rst_ni ),
13660
13661 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013662 .we (wkup_detector_cnt_th_7_we & wkup_detector_regwen_7_qs),
13663 .wd (wkup_detector_cnt_th_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013664
13665 // from internal hardware
13666 .de (1'b0),
13667 .d ('0 ),
13668
13669 // to internal hardware
13670 .qe (),
13671 .q (reg2hw.wkup_detector_cnt_th[7].q ),
13672
13673 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013674 .qs (wkup_detector_cnt_th_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013675 );
13676
13677
13678
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013679 // Subregister 0 of Multireg wkup_detector_padsel
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013680 // R[wkup_detector_padsel_0]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013681
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013682 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013683 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013684 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013685 .RESVAL (6'h0)
13686 ) u_wkup_detector_padsel_0 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013687 .clk_i (clk_i ),
13688 .rst_ni (rst_ni ),
13689
13690 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013691 .we (wkup_detector_padsel_0_we & wkup_detector_regwen_0_qs),
13692 .wd (wkup_detector_padsel_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013693
13694 // from internal hardware
13695 .de (1'b0),
13696 .d ('0 ),
13697
13698 // to internal hardware
13699 .qe (),
13700 .q (reg2hw.wkup_detector_padsel[0].q ),
13701
13702 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013703 .qs (wkup_detector_padsel_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013704 );
13705
Michael Schaffner06fdb112021-02-10 16:52:56 -080013706 // Subregister 1 of Multireg wkup_detector_padsel
13707 // R[wkup_detector_padsel_1]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013708
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013709 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013710 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013711 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013712 .RESVAL (6'h0)
13713 ) u_wkup_detector_padsel_1 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013714 .clk_i (clk_i ),
13715 .rst_ni (rst_ni ),
13716
13717 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013718 .we (wkup_detector_padsel_1_we & wkup_detector_regwen_1_qs),
13719 .wd (wkup_detector_padsel_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013720
13721 // from internal hardware
13722 .de (1'b0),
13723 .d ('0 ),
13724
13725 // to internal hardware
13726 .qe (),
13727 .q (reg2hw.wkup_detector_padsel[1].q ),
13728
13729 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013730 .qs (wkup_detector_padsel_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013731 );
13732
Michael Schaffner06fdb112021-02-10 16:52:56 -080013733 // Subregister 2 of Multireg wkup_detector_padsel
13734 // R[wkup_detector_padsel_2]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013735
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013736 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013737 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013738 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013739 .RESVAL (6'h0)
13740 ) u_wkup_detector_padsel_2 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013741 .clk_i (clk_i ),
13742 .rst_ni (rst_ni ),
13743
13744 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013745 .we (wkup_detector_padsel_2_we & wkup_detector_regwen_2_qs),
13746 .wd (wkup_detector_padsel_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013747
13748 // from internal hardware
13749 .de (1'b0),
13750 .d ('0 ),
13751
13752 // to internal hardware
13753 .qe (),
13754 .q (reg2hw.wkup_detector_padsel[2].q ),
13755
13756 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013757 .qs (wkup_detector_padsel_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013758 );
13759
Michael Schaffner06fdb112021-02-10 16:52:56 -080013760 // Subregister 3 of Multireg wkup_detector_padsel
13761 // R[wkup_detector_padsel_3]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013762
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013763 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013764 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013765 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013766 .RESVAL (6'h0)
13767 ) u_wkup_detector_padsel_3 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013768 .clk_i (clk_i ),
13769 .rst_ni (rst_ni ),
13770
13771 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013772 .we (wkup_detector_padsel_3_we & wkup_detector_regwen_3_qs),
13773 .wd (wkup_detector_padsel_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013774
13775 // from internal hardware
13776 .de (1'b0),
13777 .d ('0 ),
13778
13779 // to internal hardware
13780 .qe (),
13781 .q (reg2hw.wkup_detector_padsel[3].q ),
13782
13783 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013784 .qs (wkup_detector_padsel_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013785 );
13786
Michael Schaffner06fdb112021-02-10 16:52:56 -080013787 // Subregister 4 of Multireg wkup_detector_padsel
13788 // R[wkup_detector_padsel_4]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013789
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013790 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013791 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013792 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013793 .RESVAL (6'h0)
13794 ) u_wkup_detector_padsel_4 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013795 .clk_i (clk_i ),
13796 .rst_ni (rst_ni ),
13797
13798 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013799 .we (wkup_detector_padsel_4_we & wkup_detector_regwen_4_qs),
13800 .wd (wkup_detector_padsel_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013801
13802 // from internal hardware
13803 .de (1'b0),
13804 .d ('0 ),
13805
13806 // to internal hardware
13807 .qe (),
13808 .q (reg2hw.wkup_detector_padsel[4].q ),
13809
13810 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013811 .qs (wkup_detector_padsel_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013812 );
13813
Michael Schaffner06fdb112021-02-10 16:52:56 -080013814 // Subregister 5 of Multireg wkup_detector_padsel
13815 // R[wkup_detector_padsel_5]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013816
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013817 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013818 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013819 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013820 .RESVAL (6'h0)
13821 ) u_wkup_detector_padsel_5 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013822 .clk_i (clk_i ),
13823 .rst_ni (rst_ni ),
13824
13825 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013826 .we (wkup_detector_padsel_5_we & wkup_detector_regwen_5_qs),
13827 .wd (wkup_detector_padsel_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013828
13829 // from internal hardware
13830 .de (1'b0),
13831 .d ('0 ),
13832
13833 // to internal hardware
13834 .qe (),
13835 .q (reg2hw.wkup_detector_padsel[5].q ),
13836
13837 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013838 .qs (wkup_detector_padsel_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013839 );
13840
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013841 // Subregister 6 of Multireg wkup_detector_padsel
Michael Schaffner06fdb112021-02-10 16:52:56 -080013842 // R[wkup_detector_padsel_6]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013843
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013844 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013845 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013846 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013847 .RESVAL (6'h0)
13848 ) u_wkup_detector_padsel_6 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013849 .clk_i (clk_i ),
13850 .rst_ni (rst_ni ),
13851
13852 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013853 .we (wkup_detector_padsel_6_we & wkup_detector_regwen_6_qs),
13854 .wd (wkup_detector_padsel_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013855
13856 // from internal hardware
13857 .de (1'b0),
13858 .d ('0 ),
13859
13860 // to internal hardware
13861 .qe (),
13862 .q (reg2hw.wkup_detector_padsel[6].q ),
13863
13864 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013865 .qs (wkup_detector_padsel_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013866 );
13867
Michael Schaffner06fdb112021-02-10 16:52:56 -080013868 // Subregister 7 of Multireg wkup_detector_padsel
13869 // R[wkup_detector_padsel_7]: V(False)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013870
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013871 prim_subreg #(
Michael Schaffner06fdb112021-02-10 16:52:56 -080013872 .DW (6),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013873 .SWACCESS("RW"),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013874 .RESVAL (6'h0)
13875 ) u_wkup_detector_padsel_7 (
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013876 .clk_i (clk_i ),
13877 .rst_ni (rst_ni ),
13878
13879 // from register interface (qualified with register enable)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013880 .we (wkup_detector_padsel_7_we & wkup_detector_regwen_7_qs),
13881 .wd (wkup_detector_padsel_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013882
13883 // from internal hardware
13884 .de (1'b0),
13885 .d ('0 ),
13886
13887 // to internal hardware
13888 .qe (),
13889 .q (reg2hw.wkup_detector_padsel[7].q ),
13890
13891 // to register interface (read)
Michael Schaffner06fdb112021-02-10 16:52:56 -080013892 .qs (wkup_detector_padsel_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013893 );
13894
13895
13896
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013897 // Subregister 0 of Multireg wkup_cause
13898 // R[wkup_cause]: V(True)
13899
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013900 // F[cause_0]: 0:0
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013901 prim_subreg_ext #(
13902 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013903 ) u_wkup_cause_cause_0 (
13904 .re (wkup_cause_cause_0_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013905 .we (wkup_cause_cause_0_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013906 .wd (wkup_cause_cause_0_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013907 .d (hw2reg.wkup_cause[0].d),
13908 .qre (),
13909 .qe (reg2hw.wkup_cause[0].qe),
13910 .q (reg2hw.wkup_cause[0].q ),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013911 .qs (wkup_cause_cause_0_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013912 );
13913
13914
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013915 // F[cause_1]: 1:1
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013916 prim_subreg_ext #(
13917 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013918 ) u_wkup_cause_cause_1 (
13919 .re (wkup_cause_cause_1_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013920 .we (wkup_cause_cause_1_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013921 .wd (wkup_cause_cause_1_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013922 .d (hw2reg.wkup_cause[1].d),
13923 .qre (),
13924 .qe (reg2hw.wkup_cause[1].qe),
13925 .q (reg2hw.wkup_cause[1].q ),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013926 .qs (wkup_cause_cause_1_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013927 );
13928
13929
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013930 // F[cause_2]: 2:2
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013931 prim_subreg_ext #(
13932 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013933 ) u_wkup_cause_cause_2 (
13934 .re (wkup_cause_cause_2_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013935 .we (wkup_cause_cause_2_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013936 .wd (wkup_cause_cause_2_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013937 .d (hw2reg.wkup_cause[2].d),
13938 .qre (),
13939 .qe (reg2hw.wkup_cause[2].qe),
13940 .q (reg2hw.wkup_cause[2].q ),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013941 .qs (wkup_cause_cause_2_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013942 );
13943
13944
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013945 // F[cause_3]: 3:3
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013946 prim_subreg_ext #(
13947 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013948 ) u_wkup_cause_cause_3 (
13949 .re (wkup_cause_cause_3_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013950 .we (wkup_cause_cause_3_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013951 .wd (wkup_cause_cause_3_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013952 .d (hw2reg.wkup_cause[3].d),
13953 .qre (),
13954 .qe (reg2hw.wkup_cause[3].qe),
13955 .q (reg2hw.wkup_cause[3].q ),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013956 .qs (wkup_cause_cause_3_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013957 );
13958
13959
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013960 // F[cause_4]: 4:4
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013961 prim_subreg_ext #(
13962 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013963 ) u_wkup_cause_cause_4 (
13964 .re (wkup_cause_cause_4_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013965 .we (wkup_cause_cause_4_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013966 .wd (wkup_cause_cause_4_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013967 .d (hw2reg.wkup_cause[4].d),
13968 .qre (),
13969 .qe (reg2hw.wkup_cause[4].qe),
13970 .q (reg2hw.wkup_cause[4].q ),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013971 .qs (wkup_cause_cause_4_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013972 );
13973
13974
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013975 // F[cause_5]: 5:5
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013976 prim_subreg_ext #(
13977 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013978 ) u_wkup_cause_cause_5 (
13979 .re (wkup_cause_cause_5_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013980 .we (wkup_cause_cause_5_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013981 .wd (wkup_cause_cause_5_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013982 .d (hw2reg.wkup_cause[5].d),
13983 .qre (),
13984 .qe (reg2hw.wkup_cause[5].qe),
13985 .q (reg2hw.wkup_cause[5].q ),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013986 .qs (wkup_cause_cause_5_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013987 );
13988
13989
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013990 // F[cause_6]: 6:6
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013991 prim_subreg_ext #(
13992 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013993 ) u_wkup_cause_cause_6 (
13994 .re (wkup_cause_cause_6_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080013995 .we (wkup_cause_cause_6_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020013996 .wd (wkup_cause_cause_6_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070013997 .d (hw2reg.wkup_cause[6].d),
13998 .qre (),
13999 .qe (reg2hw.wkup_cause[6].qe),
14000 .q (reg2hw.wkup_cause[6].q ),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020014001 .qs (wkup_cause_cause_6_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070014002 );
14003
14004
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020014005 // F[cause_7]: 7:7
Michael Schaffner920e4cc2020-04-28 22:58:12 -070014006 prim_subreg_ext #(
14007 .DW (1)
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020014008 ) u_wkup_cause_cause_7 (
14009 .re (wkup_cause_cause_7_re),
Michael Schaffner06fdb112021-02-10 16:52:56 -080014010 .we (wkup_cause_cause_7_we),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020014011 .wd (wkup_cause_cause_7_wd),
Michael Schaffner920e4cc2020-04-28 22:58:12 -070014012 .d (hw2reg.wkup_cause[7].d),
14013 .qre (),
14014 .qe (reg2hw.wkup_cause[7].qe),
14015 .q (reg2hw.wkup_cause[7].q ),
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020014016 .qs (wkup_cause_cause_7_qs)
Michael Schaffner920e4cc2020-04-28 22:58:12 -070014017 );
14018
14019
14020
14021
14022
Michael Schaffner43ce8d52021-02-10 17:04:57 -080014023 logic [412:0] addr_hit;
Michael Schaffner51c61442019-10-01 15:49:10 -070014024 always_comb begin
14025 addr_hit = '0;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014026 addr_hit[ 0] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_0_OFFSET);
14027 addr_hit[ 1] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_1_OFFSET);
14028 addr_hit[ 2] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_2_OFFSET);
14029 addr_hit[ 3] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_3_OFFSET);
14030 addr_hit[ 4] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_4_OFFSET);
14031 addr_hit[ 5] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_5_OFFSET);
14032 addr_hit[ 6] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_6_OFFSET);
14033 addr_hit[ 7] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_7_OFFSET);
14034 addr_hit[ 8] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_8_OFFSET);
14035 addr_hit[ 9] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_9_OFFSET);
14036 addr_hit[ 10] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_10_OFFSET);
14037 addr_hit[ 11] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_11_OFFSET);
14038 addr_hit[ 12] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_12_OFFSET);
14039 addr_hit[ 13] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_13_OFFSET);
14040 addr_hit[ 14] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_14_OFFSET);
14041 addr_hit[ 15] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_15_OFFSET);
14042 addr_hit[ 16] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_16_OFFSET);
14043 addr_hit[ 17] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_17_OFFSET);
14044 addr_hit[ 18] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_18_OFFSET);
14045 addr_hit[ 19] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_19_OFFSET);
14046 addr_hit[ 20] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_20_OFFSET);
14047 addr_hit[ 21] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_21_OFFSET);
14048 addr_hit[ 22] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_22_OFFSET);
14049 addr_hit[ 23] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_23_OFFSET);
14050 addr_hit[ 24] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_24_OFFSET);
14051 addr_hit[ 25] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_25_OFFSET);
14052 addr_hit[ 26] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_26_OFFSET);
14053 addr_hit[ 27] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_27_OFFSET);
14054 addr_hit[ 28] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_28_OFFSET);
14055 addr_hit[ 29] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_29_OFFSET);
14056 addr_hit[ 30] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_30_OFFSET);
14057 addr_hit[ 31] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_31_OFFSET);
14058 addr_hit[ 32] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_REGWEN_32_OFFSET);
14059 addr_hit[ 33] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_0_OFFSET);
14060 addr_hit[ 34] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_1_OFFSET);
14061 addr_hit[ 35] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_2_OFFSET);
14062 addr_hit[ 36] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_3_OFFSET);
14063 addr_hit[ 37] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_4_OFFSET);
14064 addr_hit[ 38] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_5_OFFSET);
14065 addr_hit[ 39] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_6_OFFSET);
14066 addr_hit[ 40] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_7_OFFSET);
14067 addr_hit[ 41] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_8_OFFSET);
14068 addr_hit[ 42] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_9_OFFSET);
14069 addr_hit[ 43] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_10_OFFSET);
14070 addr_hit[ 44] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_11_OFFSET);
14071 addr_hit[ 45] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_12_OFFSET);
14072 addr_hit[ 46] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_13_OFFSET);
14073 addr_hit[ 47] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_14_OFFSET);
14074 addr_hit[ 48] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_15_OFFSET);
14075 addr_hit[ 49] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_16_OFFSET);
14076 addr_hit[ 50] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_17_OFFSET);
14077 addr_hit[ 51] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_18_OFFSET);
14078 addr_hit[ 52] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_19_OFFSET);
14079 addr_hit[ 53] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_20_OFFSET);
14080 addr_hit[ 54] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_21_OFFSET);
14081 addr_hit[ 55] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_22_OFFSET);
14082 addr_hit[ 56] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_23_OFFSET);
14083 addr_hit[ 57] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_24_OFFSET);
14084 addr_hit[ 58] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_25_OFFSET);
14085 addr_hit[ 59] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_26_OFFSET);
14086 addr_hit[ 60] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_27_OFFSET);
14087 addr_hit[ 61] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_28_OFFSET);
14088 addr_hit[ 62] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_29_OFFSET);
14089 addr_hit[ 63] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_30_OFFSET);
14090 addr_hit[ 64] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_31_OFFSET);
14091 addr_hit[ 65] = (reg_addr == PINMUX_MIO_PERIPH_INSEL_32_OFFSET);
14092 addr_hit[ 66] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_0_OFFSET);
14093 addr_hit[ 67] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_1_OFFSET);
14094 addr_hit[ 68] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_2_OFFSET);
14095 addr_hit[ 69] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_3_OFFSET);
14096 addr_hit[ 70] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_4_OFFSET);
14097 addr_hit[ 71] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_5_OFFSET);
14098 addr_hit[ 72] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_6_OFFSET);
14099 addr_hit[ 73] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_7_OFFSET);
14100 addr_hit[ 74] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_8_OFFSET);
14101 addr_hit[ 75] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_9_OFFSET);
14102 addr_hit[ 76] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_10_OFFSET);
14103 addr_hit[ 77] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_11_OFFSET);
14104 addr_hit[ 78] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_12_OFFSET);
14105 addr_hit[ 79] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_13_OFFSET);
14106 addr_hit[ 80] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_14_OFFSET);
14107 addr_hit[ 81] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_15_OFFSET);
14108 addr_hit[ 82] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_16_OFFSET);
14109 addr_hit[ 83] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_17_OFFSET);
14110 addr_hit[ 84] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_18_OFFSET);
14111 addr_hit[ 85] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_19_OFFSET);
14112 addr_hit[ 86] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_20_OFFSET);
14113 addr_hit[ 87] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_21_OFFSET);
14114 addr_hit[ 88] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_22_OFFSET);
14115 addr_hit[ 89] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_23_OFFSET);
14116 addr_hit[ 90] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_24_OFFSET);
14117 addr_hit[ 91] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_25_OFFSET);
14118 addr_hit[ 92] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_26_OFFSET);
14119 addr_hit[ 93] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_27_OFFSET);
14120 addr_hit[ 94] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_28_OFFSET);
14121 addr_hit[ 95] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_29_OFFSET);
14122 addr_hit[ 96] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_30_OFFSET);
14123 addr_hit[ 97] = (reg_addr == PINMUX_MIO_OUTSEL_REGWEN_31_OFFSET);
14124 addr_hit[ 98] = (reg_addr == PINMUX_MIO_OUTSEL_0_OFFSET);
14125 addr_hit[ 99] = (reg_addr == PINMUX_MIO_OUTSEL_1_OFFSET);
14126 addr_hit[100] = (reg_addr == PINMUX_MIO_OUTSEL_2_OFFSET);
14127 addr_hit[101] = (reg_addr == PINMUX_MIO_OUTSEL_3_OFFSET);
14128 addr_hit[102] = (reg_addr == PINMUX_MIO_OUTSEL_4_OFFSET);
14129 addr_hit[103] = (reg_addr == PINMUX_MIO_OUTSEL_5_OFFSET);
14130 addr_hit[104] = (reg_addr == PINMUX_MIO_OUTSEL_6_OFFSET);
14131 addr_hit[105] = (reg_addr == PINMUX_MIO_OUTSEL_7_OFFSET);
14132 addr_hit[106] = (reg_addr == PINMUX_MIO_OUTSEL_8_OFFSET);
14133 addr_hit[107] = (reg_addr == PINMUX_MIO_OUTSEL_9_OFFSET);
14134 addr_hit[108] = (reg_addr == PINMUX_MIO_OUTSEL_10_OFFSET);
14135 addr_hit[109] = (reg_addr == PINMUX_MIO_OUTSEL_11_OFFSET);
14136 addr_hit[110] = (reg_addr == PINMUX_MIO_OUTSEL_12_OFFSET);
14137 addr_hit[111] = (reg_addr == PINMUX_MIO_OUTSEL_13_OFFSET);
14138 addr_hit[112] = (reg_addr == PINMUX_MIO_OUTSEL_14_OFFSET);
14139 addr_hit[113] = (reg_addr == PINMUX_MIO_OUTSEL_15_OFFSET);
14140 addr_hit[114] = (reg_addr == PINMUX_MIO_OUTSEL_16_OFFSET);
14141 addr_hit[115] = (reg_addr == PINMUX_MIO_OUTSEL_17_OFFSET);
14142 addr_hit[116] = (reg_addr == PINMUX_MIO_OUTSEL_18_OFFSET);
14143 addr_hit[117] = (reg_addr == PINMUX_MIO_OUTSEL_19_OFFSET);
14144 addr_hit[118] = (reg_addr == PINMUX_MIO_OUTSEL_20_OFFSET);
14145 addr_hit[119] = (reg_addr == PINMUX_MIO_OUTSEL_21_OFFSET);
14146 addr_hit[120] = (reg_addr == PINMUX_MIO_OUTSEL_22_OFFSET);
14147 addr_hit[121] = (reg_addr == PINMUX_MIO_OUTSEL_23_OFFSET);
14148 addr_hit[122] = (reg_addr == PINMUX_MIO_OUTSEL_24_OFFSET);
14149 addr_hit[123] = (reg_addr == PINMUX_MIO_OUTSEL_25_OFFSET);
14150 addr_hit[124] = (reg_addr == PINMUX_MIO_OUTSEL_26_OFFSET);
14151 addr_hit[125] = (reg_addr == PINMUX_MIO_OUTSEL_27_OFFSET);
14152 addr_hit[126] = (reg_addr == PINMUX_MIO_OUTSEL_28_OFFSET);
14153 addr_hit[127] = (reg_addr == PINMUX_MIO_OUTSEL_29_OFFSET);
14154 addr_hit[128] = (reg_addr == PINMUX_MIO_OUTSEL_30_OFFSET);
14155 addr_hit[129] = (reg_addr == PINMUX_MIO_OUTSEL_31_OFFSET);
Michael Schaffner43ce8d52021-02-10 17:04:57 -080014156 addr_hit[130] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_0_OFFSET);
14157 addr_hit[131] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_1_OFFSET);
14158 addr_hit[132] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_2_OFFSET);
14159 addr_hit[133] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_3_OFFSET);
14160 addr_hit[134] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_4_OFFSET);
14161 addr_hit[135] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_5_OFFSET);
14162 addr_hit[136] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_6_OFFSET);
14163 addr_hit[137] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_7_OFFSET);
14164 addr_hit[138] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_8_OFFSET);
14165 addr_hit[139] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_9_OFFSET);
14166 addr_hit[140] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_10_OFFSET);
14167 addr_hit[141] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_11_OFFSET);
14168 addr_hit[142] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_12_OFFSET);
14169 addr_hit[143] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_13_OFFSET);
14170 addr_hit[144] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_14_OFFSET);
14171 addr_hit[145] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_15_OFFSET);
14172 addr_hit[146] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_16_OFFSET);
14173 addr_hit[147] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_17_OFFSET);
14174 addr_hit[148] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_18_OFFSET);
14175 addr_hit[149] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_19_OFFSET);
14176 addr_hit[150] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_20_OFFSET);
14177 addr_hit[151] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_21_OFFSET);
14178 addr_hit[152] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_22_OFFSET);
14179 addr_hit[153] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_23_OFFSET);
14180 addr_hit[154] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_24_OFFSET);
14181 addr_hit[155] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_25_OFFSET);
14182 addr_hit[156] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_26_OFFSET);
14183 addr_hit[157] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_27_OFFSET);
14184 addr_hit[158] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_28_OFFSET);
14185 addr_hit[159] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_29_OFFSET);
14186 addr_hit[160] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_30_OFFSET);
14187 addr_hit[161] = (reg_addr == PINMUX_MIO_PAD_ATTR_REGWEN_31_OFFSET);
14188 addr_hit[162] = (reg_addr == PINMUX_MIO_PAD_ATTR_0_OFFSET);
14189 addr_hit[163] = (reg_addr == PINMUX_MIO_PAD_ATTR_1_OFFSET);
14190 addr_hit[164] = (reg_addr == PINMUX_MIO_PAD_ATTR_2_OFFSET);
14191 addr_hit[165] = (reg_addr == PINMUX_MIO_PAD_ATTR_3_OFFSET);
14192 addr_hit[166] = (reg_addr == PINMUX_MIO_PAD_ATTR_4_OFFSET);
14193 addr_hit[167] = (reg_addr == PINMUX_MIO_PAD_ATTR_5_OFFSET);
14194 addr_hit[168] = (reg_addr == PINMUX_MIO_PAD_ATTR_6_OFFSET);
14195 addr_hit[169] = (reg_addr == PINMUX_MIO_PAD_ATTR_7_OFFSET);
14196 addr_hit[170] = (reg_addr == PINMUX_MIO_PAD_ATTR_8_OFFSET);
14197 addr_hit[171] = (reg_addr == PINMUX_MIO_PAD_ATTR_9_OFFSET);
14198 addr_hit[172] = (reg_addr == PINMUX_MIO_PAD_ATTR_10_OFFSET);
14199 addr_hit[173] = (reg_addr == PINMUX_MIO_PAD_ATTR_11_OFFSET);
14200 addr_hit[174] = (reg_addr == PINMUX_MIO_PAD_ATTR_12_OFFSET);
14201 addr_hit[175] = (reg_addr == PINMUX_MIO_PAD_ATTR_13_OFFSET);
14202 addr_hit[176] = (reg_addr == PINMUX_MIO_PAD_ATTR_14_OFFSET);
14203 addr_hit[177] = (reg_addr == PINMUX_MIO_PAD_ATTR_15_OFFSET);
14204 addr_hit[178] = (reg_addr == PINMUX_MIO_PAD_ATTR_16_OFFSET);
14205 addr_hit[179] = (reg_addr == PINMUX_MIO_PAD_ATTR_17_OFFSET);
14206 addr_hit[180] = (reg_addr == PINMUX_MIO_PAD_ATTR_18_OFFSET);
14207 addr_hit[181] = (reg_addr == PINMUX_MIO_PAD_ATTR_19_OFFSET);
14208 addr_hit[182] = (reg_addr == PINMUX_MIO_PAD_ATTR_20_OFFSET);
14209 addr_hit[183] = (reg_addr == PINMUX_MIO_PAD_ATTR_21_OFFSET);
14210 addr_hit[184] = (reg_addr == PINMUX_MIO_PAD_ATTR_22_OFFSET);
14211 addr_hit[185] = (reg_addr == PINMUX_MIO_PAD_ATTR_23_OFFSET);
14212 addr_hit[186] = (reg_addr == PINMUX_MIO_PAD_ATTR_24_OFFSET);
14213 addr_hit[187] = (reg_addr == PINMUX_MIO_PAD_ATTR_25_OFFSET);
14214 addr_hit[188] = (reg_addr == PINMUX_MIO_PAD_ATTR_26_OFFSET);
14215 addr_hit[189] = (reg_addr == PINMUX_MIO_PAD_ATTR_27_OFFSET);
14216 addr_hit[190] = (reg_addr == PINMUX_MIO_PAD_ATTR_28_OFFSET);
14217 addr_hit[191] = (reg_addr == PINMUX_MIO_PAD_ATTR_29_OFFSET);
14218 addr_hit[192] = (reg_addr == PINMUX_MIO_PAD_ATTR_30_OFFSET);
14219 addr_hit[193] = (reg_addr == PINMUX_MIO_PAD_ATTR_31_OFFSET);
14220 addr_hit[194] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_0_OFFSET);
14221 addr_hit[195] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_1_OFFSET);
14222 addr_hit[196] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_2_OFFSET);
14223 addr_hit[197] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_3_OFFSET);
14224 addr_hit[198] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_4_OFFSET);
14225 addr_hit[199] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_5_OFFSET);
14226 addr_hit[200] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_6_OFFSET);
14227 addr_hit[201] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_7_OFFSET);
14228 addr_hit[202] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_8_OFFSET);
14229 addr_hit[203] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_9_OFFSET);
14230 addr_hit[204] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_10_OFFSET);
14231 addr_hit[205] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_11_OFFSET);
14232 addr_hit[206] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_12_OFFSET);
14233 addr_hit[207] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_13_OFFSET);
14234 addr_hit[208] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_14_OFFSET);
14235 addr_hit[209] = (reg_addr == PINMUX_DIO_PAD_ATTR_REGWEN_15_OFFSET);
14236 addr_hit[210] = (reg_addr == PINMUX_DIO_PAD_ATTR_0_OFFSET);
14237 addr_hit[211] = (reg_addr == PINMUX_DIO_PAD_ATTR_1_OFFSET);
14238 addr_hit[212] = (reg_addr == PINMUX_DIO_PAD_ATTR_2_OFFSET);
14239 addr_hit[213] = (reg_addr == PINMUX_DIO_PAD_ATTR_3_OFFSET);
14240 addr_hit[214] = (reg_addr == PINMUX_DIO_PAD_ATTR_4_OFFSET);
14241 addr_hit[215] = (reg_addr == PINMUX_DIO_PAD_ATTR_5_OFFSET);
14242 addr_hit[216] = (reg_addr == PINMUX_DIO_PAD_ATTR_6_OFFSET);
14243 addr_hit[217] = (reg_addr == PINMUX_DIO_PAD_ATTR_7_OFFSET);
14244 addr_hit[218] = (reg_addr == PINMUX_DIO_PAD_ATTR_8_OFFSET);
14245 addr_hit[219] = (reg_addr == PINMUX_DIO_PAD_ATTR_9_OFFSET);
14246 addr_hit[220] = (reg_addr == PINMUX_DIO_PAD_ATTR_10_OFFSET);
14247 addr_hit[221] = (reg_addr == PINMUX_DIO_PAD_ATTR_11_OFFSET);
14248 addr_hit[222] = (reg_addr == PINMUX_DIO_PAD_ATTR_12_OFFSET);
14249 addr_hit[223] = (reg_addr == PINMUX_DIO_PAD_ATTR_13_OFFSET);
14250 addr_hit[224] = (reg_addr == PINMUX_DIO_PAD_ATTR_14_OFFSET);
14251 addr_hit[225] = (reg_addr == PINMUX_DIO_PAD_ATTR_15_OFFSET);
14252 addr_hit[226] = (reg_addr == PINMUX_MIO_PAD_SLEEP_STATUS_OFFSET);
14253 addr_hit[227] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_0_OFFSET);
14254 addr_hit[228] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_1_OFFSET);
14255 addr_hit[229] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_2_OFFSET);
14256 addr_hit[230] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_3_OFFSET);
14257 addr_hit[231] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_4_OFFSET);
14258 addr_hit[232] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_5_OFFSET);
14259 addr_hit[233] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_6_OFFSET);
14260 addr_hit[234] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_7_OFFSET);
14261 addr_hit[235] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_8_OFFSET);
14262 addr_hit[236] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_9_OFFSET);
14263 addr_hit[237] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_10_OFFSET);
14264 addr_hit[238] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_11_OFFSET);
14265 addr_hit[239] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_12_OFFSET);
14266 addr_hit[240] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_13_OFFSET);
14267 addr_hit[241] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_14_OFFSET);
14268 addr_hit[242] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_15_OFFSET);
14269 addr_hit[243] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_16_OFFSET);
14270 addr_hit[244] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_17_OFFSET);
14271 addr_hit[245] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_18_OFFSET);
14272 addr_hit[246] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_19_OFFSET);
14273 addr_hit[247] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_20_OFFSET);
14274 addr_hit[248] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_21_OFFSET);
14275 addr_hit[249] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_22_OFFSET);
14276 addr_hit[250] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_23_OFFSET);
14277 addr_hit[251] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_24_OFFSET);
14278 addr_hit[252] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_25_OFFSET);
14279 addr_hit[253] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_26_OFFSET);
14280 addr_hit[254] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_27_OFFSET);
14281 addr_hit[255] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_28_OFFSET);
14282 addr_hit[256] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_29_OFFSET);
14283 addr_hit[257] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_30_OFFSET);
14284 addr_hit[258] = (reg_addr == PINMUX_MIO_PAD_SLEEP_REGWEN_31_OFFSET);
14285 addr_hit[259] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_0_OFFSET);
14286 addr_hit[260] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_1_OFFSET);
14287 addr_hit[261] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_2_OFFSET);
14288 addr_hit[262] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_3_OFFSET);
14289 addr_hit[263] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_4_OFFSET);
14290 addr_hit[264] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_5_OFFSET);
14291 addr_hit[265] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_6_OFFSET);
14292 addr_hit[266] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_7_OFFSET);
14293 addr_hit[267] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_8_OFFSET);
14294 addr_hit[268] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_9_OFFSET);
14295 addr_hit[269] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_10_OFFSET);
14296 addr_hit[270] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_11_OFFSET);
14297 addr_hit[271] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_12_OFFSET);
14298 addr_hit[272] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_13_OFFSET);
14299 addr_hit[273] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_14_OFFSET);
14300 addr_hit[274] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_15_OFFSET);
14301 addr_hit[275] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_16_OFFSET);
14302 addr_hit[276] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_17_OFFSET);
14303 addr_hit[277] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_18_OFFSET);
14304 addr_hit[278] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_19_OFFSET);
14305 addr_hit[279] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_20_OFFSET);
14306 addr_hit[280] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_21_OFFSET);
14307 addr_hit[281] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_22_OFFSET);
14308 addr_hit[282] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_23_OFFSET);
14309 addr_hit[283] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_24_OFFSET);
14310 addr_hit[284] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_25_OFFSET);
14311 addr_hit[285] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_26_OFFSET);
14312 addr_hit[286] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_27_OFFSET);
14313 addr_hit[287] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_28_OFFSET);
14314 addr_hit[288] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_29_OFFSET);
14315 addr_hit[289] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_30_OFFSET);
14316 addr_hit[290] = (reg_addr == PINMUX_MIO_PAD_SLEEP_EN_31_OFFSET);
14317 addr_hit[291] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_0_OFFSET);
14318 addr_hit[292] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_1_OFFSET);
14319 addr_hit[293] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_2_OFFSET);
14320 addr_hit[294] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_3_OFFSET);
14321 addr_hit[295] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_4_OFFSET);
14322 addr_hit[296] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_5_OFFSET);
14323 addr_hit[297] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_6_OFFSET);
14324 addr_hit[298] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_7_OFFSET);
14325 addr_hit[299] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_8_OFFSET);
14326 addr_hit[300] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_9_OFFSET);
14327 addr_hit[301] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_10_OFFSET);
14328 addr_hit[302] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_11_OFFSET);
14329 addr_hit[303] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_12_OFFSET);
14330 addr_hit[304] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_13_OFFSET);
14331 addr_hit[305] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_14_OFFSET);
14332 addr_hit[306] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_15_OFFSET);
14333 addr_hit[307] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_16_OFFSET);
14334 addr_hit[308] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_17_OFFSET);
14335 addr_hit[309] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_18_OFFSET);
14336 addr_hit[310] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_19_OFFSET);
14337 addr_hit[311] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_20_OFFSET);
14338 addr_hit[312] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_21_OFFSET);
14339 addr_hit[313] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_22_OFFSET);
14340 addr_hit[314] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_23_OFFSET);
14341 addr_hit[315] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_24_OFFSET);
14342 addr_hit[316] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_25_OFFSET);
14343 addr_hit[317] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_26_OFFSET);
14344 addr_hit[318] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_27_OFFSET);
14345 addr_hit[319] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_28_OFFSET);
14346 addr_hit[320] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_29_OFFSET);
14347 addr_hit[321] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_30_OFFSET);
14348 addr_hit[322] = (reg_addr == PINMUX_MIO_PAD_SLEEP_MODE_31_OFFSET);
14349 addr_hit[323] = (reg_addr == PINMUX_DIO_PAD_SLEEP_STATUS_OFFSET);
14350 addr_hit[324] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_0_OFFSET);
14351 addr_hit[325] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_1_OFFSET);
14352 addr_hit[326] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_2_OFFSET);
14353 addr_hit[327] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_3_OFFSET);
14354 addr_hit[328] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_4_OFFSET);
14355 addr_hit[329] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_5_OFFSET);
14356 addr_hit[330] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_6_OFFSET);
14357 addr_hit[331] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_7_OFFSET);
14358 addr_hit[332] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_8_OFFSET);
14359 addr_hit[333] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_9_OFFSET);
14360 addr_hit[334] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_10_OFFSET);
14361 addr_hit[335] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_11_OFFSET);
14362 addr_hit[336] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_12_OFFSET);
14363 addr_hit[337] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_13_OFFSET);
14364 addr_hit[338] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_14_OFFSET);
14365 addr_hit[339] = (reg_addr == PINMUX_DIO_PAD_SLEEP_REGWEN_15_OFFSET);
14366 addr_hit[340] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_0_OFFSET);
14367 addr_hit[341] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_1_OFFSET);
14368 addr_hit[342] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_2_OFFSET);
14369 addr_hit[343] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_3_OFFSET);
14370 addr_hit[344] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_4_OFFSET);
14371 addr_hit[345] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_5_OFFSET);
14372 addr_hit[346] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_6_OFFSET);
14373 addr_hit[347] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_7_OFFSET);
14374 addr_hit[348] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_8_OFFSET);
14375 addr_hit[349] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_9_OFFSET);
14376 addr_hit[350] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_10_OFFSET);
14377 addr_hit[351] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_11_OFFSET);
14378 addr_hit[352] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_12_OFFSET);
14379 addr_hit[353] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_13_OFFSET);
14380 addr_hit[354] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_14_OFFSET);
14381 addr_hit[355] = (reg_addr == PINMUX_DIO_PAD_SLEEP_EN_15_OFFSET);
14382 addr_hit[356] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_0_OFFSET);
14383 addr_hit[357] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_1_OFFSET);
14384 addr_hit[358] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_2_OFFSET);
14385 addr_hit[359] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_3_OFFSET);
14386 addr_hit[360] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_4_OFFSET);
14387 addr_hit[361] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_5_OFFSET);
14388 addr_hit[362] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_6_OFFSET);
14389 addr_hit[363] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_7_OFFSET);
14390 addr_hit[364] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_8_OFFSET);
14391 addr_hit[365] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_9_OFFSET);
14392 addr_hit[366] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_10_OFFSET);
14393 addr_hit[367] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_11_OFFSET);
14394 addr_hit[368] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_12_OFFSET);
14395 addr_hit[369] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_13_OFFSET);
14396 addr_hit[370] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_14_OFFSET);
14397 addr_hit[371] = (reg_addr == PINMUX_DIO_PAD_SLEEP_MODE_15_OFFSET);
14398 addr_hit[372] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_0_OFFSET);
14399 addr_hit[373] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_1_OFFSET);
14400 addr_hit[374] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_2_OFFSET);
14401 addr_hit[375] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_3_OFFSET);
14402 addr_hit[376] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_4_OFFSET);
14403 addr_hit[377] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_5_OFFSET);
14404 addr_hit[378] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_6_OFFSET);
14405 addr_hit[379] = (reg_addr == PINMUX_WKUP_DETECTOR_REGWEN_7_OFFSET);
14406 addr_hit[380] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_0_OFFSET);
14407 addr_hit[381] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_1_OFFSET);
14408 addr_hit[382] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_2_OFFSET);
14409 addr_hit[383] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_3_OFFSET);
14410 addr_hit[384] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_4_OFFSET);
14411 addr_hit[385] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_5_OFFSET);
14412 addr_hit[386] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_6_OFFSET);
14413 addr_hit[387] = (reg_addr == PINMUX_WKUP_DETECTOR_EN_7_OFFSET);
14414 addr_hit[388] = (reg_addr == PINMUX_WKUP_DETECTOR_0_OFFSET);
14415 addr_hit[389] = (reg_addr == PINMUX_WKUP_DETECTOR_1_OFFSET);
14416 addr_hit[390] = (reg_addr == PINMUX_WKUP_DETECTOR_2_OFFSET);
14417 addr_hit[391] = (reg_addr == PINMUX_WKUP_DETECTOR_3_OFFSET);
14418 addr_hit[392] = (reg_addr == PINMUX_WKUP_DETECTOR_4_OFFSET);
14419 addr_hit[393] = (reg_addr == PINMUX_WKUP_DETECTOR_5_OFFSET);
14420 addr_hit[394] = (reg_addr == PINMUX_WKUP_DETECTOR_6_OFFSET);
14421 addr_hit[395] = (reg_addr == PINMUX_WKUP_DETECTOR_7_OFFSET);
14422 addr_hit[396] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_0_OFFSET);
14423 addr_hit[397] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_1_OFFSET);
14424 addr_hit[398] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_2_OFFSET);
14425 addr_hit[399] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_3_OFFSET);
14426 addr_hit[400] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_4_OFFSET);
14427 addr_hit[401] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_5_OFFSET);
14428 addr_hit[402] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_6_OFFSET);
14429 addr_hit[403] = (reg_addr == PINMUX_WKUP_DETECTOR_CNT_TH_7_OFFSET);
14430 addr_hit[404] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_0_OFFSET);
14431 addr_hit[405] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_1_OFFSET);
14432 addr_hit[406] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_2_OFFSET);
14433 addr_hit[407] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_3_OFFSET);
14434 addr_hit[408] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_4_OFFSET);
14435 addr_hit[409] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_5_OFFSET);
14436 addr_hit[410] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_6_OFFSET);
14437 addr_hit[411] = (reg_addr == PINMUX_WKUP_DETECTOR_PADSEL_7_OFFSET);
14438 addr_hit[412] = (reg_addr == PINMUX_WKUP_CAUSE_OFFSET);
Michael Schaffner51c61442019-10-01 15:49:10 -070014439 end
14440
14441 assign addrmiss = (reg_re || reg_we) ? ~|addr_hit : 1'b0 ;
14442
14443 // Check sub-word write is permitted
14444 always_comb begin
14445 wr_err = 1'b0;
Michael Schaffner06fdb112021-02-10 16:52:56 -080014446 if (addr_hit[ 0] && reg_we && (PINMUX_PERMIT[ 0] != (PINMUX_PERMIT[ 0] & reg_be))) wr_err = 1'b1 ;
14447 if (addr_hit[ 1] && reg_we && (PINMUX_PERMIT[ 1] != (PINMUX_PERMIT[ 1] & reg_be))) wr_err = 1'b1 ;
14448 if (addr_hit[ 2] && reg_we && (PINMUX_PERMIT[ 2] != (PINMUX_PERMIT[ 2] & reg_be))) wr_err = 1'b1 ;
14449 if (addr_hit[ 3] && reg_we && (PINMUX_PERMIT[ 3] != (PINMUX_PERMIT[ 3] & reg_be))) wr_err = 1'b1 ;
14450 if (addr_hit[ 4] && reg_we && (PINMUX_PERMIT[ 4] != (PINMUX_PERMIT[ 4] & reg_be))) wr_err = 1'b1 ;
14451 if (addr_hit[ 5] && reg_we && (PINMUX_PERMIT[ 5] != (PINMUX_PERMIT[ 5] & reg_be))) wr_err = 1'b1 ;
14452 if (addr_hit[ 6] && reg_we && (PINMUX_PERMIT[ 6] != (PINMUX_PERMIT[ 6] & reg_be))) wr_err = 1'b1 ;
14453 if (addr_hit[ 7] && reg_we && (PINMUX_PERMIT[ 7] != (PINMUX_PERMIT[ 7] & reg_be))) wr_err = 1'b1 ;
14454 if (addr_hit[ 8] && reg_we && (PINMUX_PERMIT[ 8] != (PINMUX_PERMIT[ 8] & reg_be))) wr_err = 1'b1 ;
14455 if (addr_hit[ 9] && reg_we && (PINMUX_PERMIT[ 9] != (PINMUX_PERMIT[ 9] & reg_be))) wr_err = 1'b1 ;
14456 if (addr_hit[ 10] && reg_we && (PINMUX_PERMIT[ 10] != (PINMUX_PERMIT[ 10] & reg_be))) wr_err = 1'b1 ;
14457 if (addr_hit[ 11] && reg_we && (PINMUX_PERMIT[ 11] != (PINMUX_PERMIT[ 11] & reg_be))) wr_err = 1'b1 ;
14458 if (addr_hit[ 12] && reg_we && (PINMUX_PERMIT[ 12] != (PINMUX_PERMIT[ 12] & reg_be))) wr_err = 1'b1 ;
14459 if (addr_hit[ 13] && reg_we && (PINMUX_PERMIT[ 13] != (PINMUX_PERMIT[ 13] & reg_be))) wr_err = 1'b1 ;
14460 if (addr_hit[ 14] && reg_we && (PINMUX_PERMIT[ 14] != (PINMUX_PERMIT[ 14] & reg_be))) wr_err = 1'b1 ;
14461 if (addr_hit[ 15] && reg_we && (PINMUX_PERMIT[ 15] != (PINMUX_PERMIT[ 15] & reg_be))) wr_err = 1'b1 ;
14462 if (addr_hit[ 16] && reg_we && (PINMUX_PERMIT[ 16] != (PINMUX_PERMIT[ 16] & reg_be))) wr_err = 1'b1 ;
14463 if (addr_hit[ 17] && reg_we && (PINMUX_PERMIT[ 17] != (PINMUX_PERMIT[ 17] & reg_be))) wr_err = 1'b1 ;
14464 if (addr_hit[ 18] && reg_we && (PINMUX_PERMIT[ 18] != (PINMUX_PERMIT[ 18] & reg_be))) wr_err = 1'b1 ;
14465 if (addr_hit[ 19] && reg_we && (PINMUX_PERMIT[ 19] != (PINMUX_PERMIT[ 19] & reg_be))) wr_err = 1'b1 ;
14466 if (addr_hit[ 20] && reg_we && (PINMUX_PERMIT[ 20] != (PINMUX_PERMIT[ 20] & reg_be))) wr_err = 1'b1 ;
14467 if (addr_hit[ 21] && reg_we && (PINMUX_PERMIT[ 21] != (PINMUX_PERMIT[ 21] & reg_be))) wr_err = 1'b1 ;
14468 if (addr_hit[ 22] && reg_we && (PINMUX_PERMIT[ 22] != (PINMUX_PERMIT[ 22] & reg_be))) wr_err = 1'b1 ;
14469 if (addr_hit[ 23] && reg_we && (PINMUX_PERMIT[ 23] != (PINMUX_PERMIT[ 23] & reg_be))) wr_err = 1'b1 ;
14470 if (addr_hit[ 24] && reg_we && (PINMUX_PERMIT[ 24] != (PINMUX_PERMIT[ 24] & reg_be))) wr_err = 1'b1 ;
14471 if (addr_hit[ 25] && reg_we && (PINMUX_PERMIT[ 25] != (PINMUX_PERMIT[ 25] & reg_be))) wr_err = 1'b1 ;
14472 if (addr_hit[ 26] && reg_we && (PINMUX_PERMIT[ 26] != (PINMUX_PERMIT[ 26] & reg_be))) wr_err = 1'b1 ;
14473 if (addr_hit[ 27] && reg_we && (PINMUX_PERMIT[ 27] != (PINMUX_PERMIT[ 27] & reg_be))) wr_err = 1'b1 ;
14474 if (addr_hit[ 28] && reg_we && (PINMUX_PERMIT[ 28] != (PINMUX_PERMIT[ 28] & reg_be))) wr_err = 1'b1 ;
14475 if (addr_hit[ 29] && reg_we && (PINMUX_PERMIT[ 29] != (PINMUX_PERMIT[ 29] & reg_be))) wr_err = 1'b1 ;
14476 if (addr_hit[ 30] && reg_we && (PINMUX_PERMIT[ 30] != (PINMUX_PERMIT[ 30] & reg_be))) wr_err = 1'b1 ;
14477 if (addr_hit[ 31] && reg_we && (PINMUX_PERMIT[ 31] != (PINMUX_PERMIT[ 31] & reg_be))) wr_err = 1'b1 ;
14478 if (addr_hit[ 32] && reg_we && (PINMUX_PERMIT[ 32] != (PINMUX_PERMIT[ 32] & reg_be))) wr_err = 1'b1 ;
14479 if (addr_hit[ 33] && reg_we && (PINMUX_PERMIT[ 33] != (PINMUX_PERMIT[ 33] & reg_be))) wr_err = 1'b1 ;
14480 if (addr_hit[ 34] && reg_we && (PINMUX_PERMIT[ 34] != (PINMUX_PERMIT[ 34] & reg_be))) wr_err = 1'b1 ;
14481 if (addr_hit[ 35] && reg_we && (PINMUX_PERMIT[ 35] != (PINMUX_PERMIT[ 35] & reg_be))) wr_err = 1'b1 ;
14482 if (addr_hit[ 36] && reg_we && (PINMUX_PERMIT[ 36] != (PINMUX_PERMIT[ 36] & reg_be))) wr_err = 1'b1 ;
14483 if (addr_hit[ 37] && reg_we && (PINMUX_PERMIT[ 37] != (PINMUX_PERMIT[ 37] & reg_be))) wr_err = 1'b1 ;
14484 if (addr_hit[ 38] && reg_we && (PINMUX_PERMIT[ 38] != (PINMUX_PERMIT[ 38] & reg_be))) wr_err = 1'b1 ;
14485 if (addr_hit[ 39] && reg_we && (PINMUX_PERMIT[ 39] != (PINMUX_PERMIT[ 39] & reg_be))) wr_err = 1'b1 ;
14486 if (addr_hit[ 40] && reg_we && (PINMUX_PERMIT[ 40] != (PINMUX_PERMIT[ 40] & reg_be))) wr_err = 1'b1 ;
14487 if (addr_hit[ 41] && reg_we && (PINMUX_PERMIT[ 41] != (PINMUX_PERMIT[ 41] & reg_be))) wr_err = 1'b1 ;
14488 if (addr_hit[ 42] && reg_we && (PINMUX_PERMIT[ 42] != (PINMUX_PERMIT[ 42] & reg_be))) wr_err = 1'b1 ;
14489 if (addr_hit[ 43] && reg_we && (PINMUX_PERMIT[ 43] != (PINMUX_PERMIT[ 43] & reg_be))) wr_err = 1'b1 ;
14490 if (addr_hit[ 44] && reg_we && (PINMUX_PERMIT[ 44] != (PINMUX_PERMIT[ 44] & reg_be))) wr_err = 1'b1 ;
14491 if (addr_hit[ 45] && reg_we && (PINMUX_PERMIT[ 45] != (PINMUX_PERMIT[ 45] & reg_be))) wr_err = 1'b1 ;
14492 if (addr_hit[ 46] && reg_we && (PINMUX_PERMIT[ 46] != (PINMUX_PERMIT[ 46] & reg_be))) wr_err = 1'b1 ;
14493 if (addr_hit[ 47] && reg_we && (PINMUX_PERMIT[ 47] != (PINMUX_PERMIT[ 47] & reg_be))) wr_err = 1'b1 ;
14494 if (addr_hit[ 48] && reg_we && (PINMUX_PERMIT[ 48] != (PINMUX_PERMIT[ 48] & reg_be))) wr_err = 1'b1 ;
14495 if (addr_hit[ 49] && reg_we && (PINMUX_PERMIT[ 49] != (PINMUX_PERMIT[ 49] & reg_be))) wr_err = 1'b1 ;
14496 if (addr_hit[ 50] && reg_we && (PINMUX_PERMIT[ 50] != (PINMUX_PERMIT[ 50] & reg_be))) wr_err = 1'b1 ;
14497 if (addr_hit[ 51] && reg_we && (PINMUX_PERMIT[ 51] != (PINMUX_PERMIT[ 51] & reg_be))) wr_err = 1'b1 ;
14498 if (addr_hit[ 52] && reg_we && (PINMUX_PERMIT[ 52] != (PINMUX_PERMIT[ 52] & reg_be))) wr_err = 1'b1 ;
14499 if (addr_hit[ 53] && reg_we && (PINMUX_PERMIT[ 53] != (PINMUX_PERMIT[ 53] & reg_be))) wr_err = 1'b1 ;
14500 if (addr_hit[ 54] && reg_we && (PINMUX_PERMIT[ 54] != (PINMUX_PERMIT[ 54] & reg_be))) wr_err = 1'b1 ;
14501 if (addr_hit[ 55] && reg_we && (PINMUX_PERMIT[ 55] != (PINMUX_PERMIT[ 55] & reg_be))) wr_err = 1'b1 ;
14502 if (addr_hit[ 56] && reg_we && (PINMUX_PERMIT[ 56] != (PINMUX_PERMIT[ 56] & reg_be))) wr_err = 1'b1 ;
14503 if (addr_hit[ 57] && reg_we && (PINMUX_PERMIT[ 57] != (PINMUX_PERMIT[ 57] & reg_be))) wr_err = 1'b1 ;
14504 if (addr_hit[ 58] && reg_we && (PINMUX_PERMIT[ 58] != (PINMUX_PERMIT[ 58] & reg_be))) wr_err = 1'b1 ;
14505 if (addr_hit[ 59] && reg_we && (PINMUX_PERMIT[ 59] != (PINMUX_PERMIT[ 59] & reg_be))) wr_err = 1'b1 ;
14506 if (addr_hit[ 60] && reg_we && (PINMUX_PERMIT[ 60] != (PINMUX_PERMIT[ 60] & reg_be))) wr_err = 1'b1 ;
14507 if (addr_hit[ 61] && reg_we && (PINMUX_PERMIT[ 61] != (PINMUX_PERMIT[ 61] & reg_be))) wr_err = 1'b1 ;
14508 if (addr_hit[ 62] && reg_we && (PINMUX_PERMIT[ 62] != (PINMUX_PERMIT[ 62] & reg_be))) wr_err = 1'b1 ;
14509 if (addr_hit[ 63] && reg_we && (PINMUX_PERMIT[ 63] != (PINMUX_PERMIT[ 63] & reg_be))) wr_err = 1'b1 ;
14510 if (addr_hit[ 64] && reg_we && (PINMUX_PERMIT[ 64] != (PINMUX_PERMIT[ 64] & reg_be))) wr_err = 1'b1 ;
14511 if (addr_hit[ 65] && reg_we && (PINMUX_PERMIT[ 65] != (PINMUX_PERMIT[ 65] & reg_be))) wr_err = 1'b1 ;
14512 if (addr_hit[ 66] && reg_we && (PINMUX_PERMIT[ 66] != (PINMUX_PERMIT[ 66] & reg_be))) wr_err = 1'b1 ;
14513 if (addr_hit[ 67] && reg_we && (PINMUX_PERMIT[ 67] != (PINMUX_PERMIT[ 67] & reg_be))) wr_err = 1'b1 ;
14514 if (addr_hit[ 68] && reg_we && (PINMUX_PERMIT[ 68] != (PINMUX_PERMIT[ 68] & reg_be))) wr_err = 1'b1 ;
14515 if (addr_hit[ 69] && reg_we && (PINMUX_PERMIT[ 69] != (PINMUX_PERMIT[ 69] & reg_be))) wr_err = 1'b1 ;
14516 if (addr_hit[ 70] && reg_we && (PINMUX_PERMIT[ 70] != (PINMUX_PERMIT[ 70] & reg_be))) wr_err = 1'b1 ;
14517 if (addr_hit[ 71] && reg_we && (PINMUX_PERMIT[ 71] != (PINMUX_PERMIT[ 71] & reg_be))) wr_err = 1'b1 ;
14518 if (addr_hit[ 72] && reg_we && (PINMUX_PERMIT[ 72] != (PINMUX_PERMIT[ 72] & reg_be))) wr_err = 1'b1 ;
14519 if (addr_hit[ 73] && reg_we && (PINMUX_PERMIT[ 73] != (PINMUX_PERMIT[ 73] & reg_be))) wr_err = 1'b1 ;
14520 if (addr_hit[ 74] && reg_we && (PINMUX_PERMIT[ 74] != (PINMUX_PERMIT[ 74] & reg_be))) wr_err = 1'b1 ;
14521 if (addr_hit[ 75] && reg_we && (PINMUX_PERMIT[ 75] != (PINMUX_PERMIT[ 75] & reg_be))) wr_err = 1'b1 ;
14522 if (addr_hit[ 76] && reg_we && (PINMUX_PERMIT[ 76] != (PINMUX_PERMIT[ 76] & reg_be))) wr_err = 1'b1 ;
14523 if (addr_hit[ 77] && reg_we && (PINMUX_PERMIT[ 77] != (PINMUX_PERMIT[ 77] & reg_be))) wr_err = 1'b1 ;
14524 if (addr_hit[ 78] && reg_we && (PINMUX_PERMIT[ 78] != (PINMUX_PERMIT[ 78] & reg_be))) wr_err = 1'b1 ;
14525 if (addr_hit[ 79] && reg_we && (PINMUX_PERMIT[ 79] != (PINMUX_PERMIT[ 79] & reg_be))) wr_err = 1'b1 ;
14526 if (addr_hit[ 80] && reg_we && (PINMUX_PERMIT[ 80] != (PINMUX_PERMIT[ 80] & reg_be))) wr_err = 1'b1 ;
14527 if (addr_hit[ 81] && reg_we && (PINMUX_PERMIT[ 81] != (PINMUX_PERMIT[ 81] & reg_be))) wr_err = 1'b1 ;
14528 if (addr_hit[ 82] && reg_we && (PINMUX_PERMIT[ 82] != (PINMUX_PERMIT[ 82] & reg_be))) wr_err = 1'b1 ;
14529 if (addr_hit[ 83] && reg_we && (PINMUX_PERMIT[ 83] != (PINMUX_PERMIT[ 83] & reg_be))) wr_err = 1'b1 ;
14530 if (addr_hit[ 84] && reg_we && (PINMUX_PERMIT[ 84] != (PINMUX_PERMIT[ 84] & reg_be))) wr_err = 1'b1 ;
14531 if (addr_hit[ 85] && reg_we && (PINMUX_PERMIT[ 85] != (PINMUX_PERMIT[ 85] & reg_be))) wr_err = 1'b1 ;
14532 if (addr_hit[ 86] && reg_we && (PINMUX_PERMIT[ 86] != (PINMUX_PERMIT[ 86] & reg_be))) wr_err = 1'b1 ;
14533 if (addr_hit[ 87] && reg_we && (PINMUX_PERMIT[ 87] != (PINMUX_PERMIT[ 87] & reg_be))) wr_err = 1'b1 ;
14534 if (addr_hit[ 88] && reg_we && (PINMUX_PERMIT[ 88] != (PINMUX_PERMIT[ 88] & reg_be))) wr_err = 1'b1 ;
14535 if (addr_hit[ 89] && reg_we && (PINMUX_PERMIT[ 89] != (PINMUX_PERMIT[ 89] & reg_be))) wr_err = 1'b1 ;
14536 if (addr_hit[ 90] && reg_we && (PINMUX_PERMIT[ 90] != (PINMUX_PERMIT[ 90] & reg_be))) wr_err = 1'b1 ;
14537 if (addr_hit[ 91] && reg_we && (PINMUX_PERMIT[ 91] != (PINMUX_PERMIT[ 91] & reg_be))) wr_err = 1'b1 ;
14538 if (addr_hit[ 92] && reg_we && (PINMUX_PERMIT[ 92] != (PINMUX_PERMIT[ 92] & reg_be))) wr_err = 1'b1 ;
14539 if (addr_hit[ 93] && reg_we && (PINMUX_PERMIT[ 93] != (PINMUX_PERMIT[ 93] & reg_be))) wr_err = 1'b1 ;
14540 if (addr_hit[ 94] && reg_we && (PINMUX_PERMIT[ 94] != (PINMUX_PERMIT[ 94] & reg_be))) wr_err = 1'b1 ;
14541 if (addr_hit[ 95] && reg_we && (PINMUX_PERMIT[ 95] != (PINMUX_PERMIT[ 95] & reg_be))) wr_err = 1'b1 ;
14542 if (addr_hit[ 96] && reg_we && (PINMUX_PERMIT[ 96] != (PINMUX_PERMIT[ 96] & reg_be))) wr_err = 1'b1 ;
14543 if (addr_hit[ 97] && reg_we && (PINMUX_PERMIT[ 97] != (PINMUX_PERMIT[ 97] & reg_be))) wr_err = 1'b1 ;
14544 if (addr_hit[ 98] && reg_we && (PINMUX_PERMIT[ 98] != (PINMUX_PERMIT[ 98] & reg_be))) wr_err = 1'b1 ;
14545 if (addr_hit[ 99] && reg_we && (PINMUX_PERMIT[ 99] != (PINMUX_PERMIT[ 99] & reg_be))) wr_err = 1'b1 ;
14546 if (addr_hit[100] && reg_we && (PINMUX_PERMIT[100] != (PINMUX_PERMIT[100] & reg_be))) wr_err = 1'b1 ;
14547 if (addr_hit[101] && reg_we && (PINMUX_PERMIT[101] != (PINMUX_PERMIT[101] & reg_be))) wr_err = 1'b1 ;
14548 if (addr_hit[102] && reg_we && (PINMUX_PERMIT[102] != (PINMUX_PERMIT[102] & reg_be))) wr_err = 1'b1 ;
14549 if (addr_hit[103] && reg_we && (PINMUX_PERMIT[103] != (PINMUX_PERMIT[103] & reg_be))) wr_err = 1'b1 ;
14550 if (addr_hit[104] && reg_we && (PINMUX_PERMIT[104] != (PINMUX_PERMIT[104] & reg_be))) wr_err = 1'b1 ;
14551 if (addr_hit[105] && reg_we && (PINMUX_PERMIT[105] != (PINMUX_PERMIT[105] & reg_be))) wr_err = 1'b1 ;
14552 if (addr_hit[106] && reg_we && (PINMUX_PERMIT[106] != (PINMUX_PERMIT[106] & reg_be))) wr_err = 1'b1 ;
14553 if (addr_hit[107] && reg_we && (PINMUX_PERMIT[107] != (PINMUX_PERMIT[107] & reg_be))) wr_err = 1'b1 ;
14554 if (addr_hit[108] && reg_we && (PINMUX_PERMIT[108] != (PINMUX_PERMIT[108] & reg_be))) wr_err = 1'b1 ;
14555 if (addr_hit[109] && reg_we && (PINMUX_PERMIT[109] != (PINMUX_PERMIT[109] & reg_be))) wr_err = 1'b1 ;
14556 if (addr_hit[110] && reg_we && (PINMUX_PERMIT[110] != (PINMUX_PERMIT[110] & reg_be))) wr_err = 1'b1 ;
14557 if (addr_hit[111] && reg_we && (PINMUX_PERMIT[111] != (PINMUX_PERMIT[111] & reg_be))) wr_err = 1'b1 ;
14558 if (addr_hit[112] && reg_we && (PINMUX_PERMIT[112] != (PINMUX_PERMIT[112] & reg_be))) wr_err = 1'b1 ;
14559 if (addr_hit[113] && reg_we && (PINMUX_PERMIT[113] != (PINMUX_PERMIT[113] & reg_be))) wr_err = 1'b1 ;
14560 if (addr_hit[114] && reg_we && (PINMUX_PERMIT[114] != (PINMUX_PERMIT[114] & reg_be))) wr_err = 1'b1 ;
14561 if (addr_hit[115] && reg_we && (PINMUX_PERMIT[115] != (PINMUX_PERMIT[115] & reg_be))) wr_err = 1'b1 ;
14562 if (addr_hit[116] && reg_we && (PINMUX_PERMIT[116] != (PINMUX_PERMIT[116] & reg_be))) wr_err = 1'b1 ;
14563 if (addr_hit[117] && reg_we && (PINMUX_PERMIT[117] != (PINMUX_PERMIT[117] & reg_be))) wr_err = 1'b1 ;
14564 if (addr_hit[118] && reg_we && (PINMUX_PERMIT[118] != (PINMUX_PERMIT[118] & reg_be))) wr_err = 1'b1 ;
14565 if (addr_hit[119] && reg_we && (PINMUX_PERMIT[119] != (PINMUX_PERMIT[119] & reg_be))) wr_err = 1'b1 ;
14566 if (addr_hit[120] && reg_we && (PINMUX_PERMIT[120] != (PINMUX_PERMIT[120] & reg_be))) wr_err = 1'b1 ;
14567 if (addr_hit[121] && reg_we && (PINMUX_PERMIT[121] != (PINMUX_PERMIT[121] & reg_be))) wr_err = 1'b1 ;
14568 if (addr_hit[122] && reg_we && (PINMUX_PERMIT[122] != (PINMUX_PERMIT[122] & reg_be))) wr_err = 1'b1 ;
14569 if (addr_hit[123] && reg_we && (PINMUX_PERMIT[123] != (PINMUX_PERMIT[123] & reg_be))) wr_err = 1'b1 ;
14570 if (addr_hit[124] && reg_we && (PINMUX_PERMIT[124] != (PINMUX_PERMIT[124] & reg_be))) wr_err = 1'b1 ;
14571 if (addr_hit[125] && reg_we && (PINMUX_PERMIT[125] != (PINMUX_PERMIT[125] & reg_be))) wr_err = 1'b1 ;
14572 if (addr_hit[126] && reg_we && (PINMUX_PERMIT[126] != (PINMUX_PERMIT[126] & reg_be))) wr_err = 1'b1 ;
14573 if (addr_hit[127] && reg_we && (PINMUX_PERMIT[127] != (PINMUX_PERMIT[127] & reg_be))) wr_err = 1'b1 ;
14574 if (addr_hit[128] && reg_we && (PINMUX_PERMIT[128] != (PINMUX_PERMIT[128] & reg_be))) wr_err = 1'b1 ;
14575 if (addr_hit[129] && reg_we && (PINMUX_PERMIT[129] != (PINMUX_PERMIT[129] & reg_be))) wr_err = 1'b1 ;
14576 if (addr_hit[130] && reg_we && (PINMUX_PERMIT[130] != (PINMUX_PERMIT[130] & reg_be))) wr_err = 1'b1 ;
14577 if (addr_hit[131] && reg_we && (PINMUX_PERMIT[131] != (PINMUX_PERMIT[131] & reg_be))) wr_err = 1'b1 ;
14578 if (addr_hit[132] && reg_we && (PINMUX_PERMIT[132] != (PINMUX_PERMIT[132] & reg_be))) wr_err = 1'b1 ;
14579 if (addr_hit[133] && reg_we && (PINMUX_PERMIT[133] != (PINMUX_PERMIT[133] & reg_be))) wr_err = 1'b1 ;
14580 if (addr_hit[134] && reg_we && (PINMUX_PERMIT[134] != (PINMUX_PERMIT[134] & reg_be))) wr_err = 1'b1 ;
14581 if (addr_hit[135] && reg_we && (PINMUX_PERMIT[135] != (PINMUX_PERMIT[135] & reg_be))) wr_err = 1'b1 ;
14582 if (addr_hit[136] && reg_we && (PINMUX_PERMIT[136] != (PINMUX_PERMIT[136] & reg_be))) wr_err = 1'b1 ;
14583 if (addr_hit[137] && reg_we && (PINMUX_PERMIT[137] != (PINMUX_PERMIT[137] & reg_be))) wr_err = 1'b1 ;
14584 if (addr_hit[138] && reg_we && (PINMUX_PERMIT[138] != (PINMUX_PERMIT[138] & reg_be))) wr_err = 1'b1 ;
14585 if (addr_hit[139] && reg_we && (PINMUX_PERMIT[139] != (PINMUX_PERMIT[139] & reg_be))) wr_err = 1'b1 ;
14586 if (addr_hit[140] && reg_we && (PINMUX_PERMIT[140] != (PINMUX_PERMIT[140] & reg_be))) wr_err = 1'b1 ;
14587 if (addr_hit[141] && reg_we && (PINMUX_PERMIT[141] != (PINMUX_PERMIT[141] & reg_be))) wr_err = 1'b1 ;
14588 if (addr_hit[142] && reg_we && (PINMUX_PERMIT[142] != (PINMUX_PERMIT[142] & reg_be))) wr_err = 1'b1 ;
14589 if (addr_hit[143] && reg_we && (PINMUX_PERMIT[143] != (PINMUX_PERMIT[143] & reg_be))) wr_err = 1'b1 ;
14590 if (addr_hit[144] && reg_we && (PINMUX_PERMIT[144] != (PINMUX_PERMIT[144] & reg_be))) wr_err = 1'b1 ;
14591 if (addr_hit[145] && reg_we && (PINMUX_PERMIT[145] != (PINMUX_PERMIT[145] & reg_be))) wr_err = 1'b1 ;
14592 if (addr_hit[146] && reg_we && (PINMUX_PERMIT[146] != (PINMUX_PERMIT[146] & reg_be))) wr_err = 1'b1 ;
14593 if (addr_hit[147] && reg_we && (PINMUX_PERMIT[147] != (PINMUX_PERMIT[147] & reg_be))) wr_err = 1'b1 ;
14594 if (addr_hit[148] && reg_we && (PINMUX_PERMIT[148] != (PINMUX_PERMIT[148] & reg_be))) wr_err = 1'b1 ;
14595 if (addr_hit[149] && reg_we && (PINMUX_PERMIT[149] != (PINMUX_PERMIT[149] & reg_be))) wr_err = 1'b1 ;
14596 if (addr_hit[150] && reg_we && (PINMUX_PERMIT[150] != (PINMUX_PERMIT[150] & reg_be))) wr_err = 1'b1 ;
14597 if (addr_hit[151] && reg_we && (PINMUX_PERMIT[151] != (PINMUX_PERMIT[151] & reg_be))) wr_err = 1'b1 ;
14598 if (addr_hit[152] && reg_we && (PINMUX_PERMIT[152] != (PINMUX_PERMIT[152] & reg_be))) wr_err = 1'b1 ;
14599 if (addr_hit[153] && reg_we && (PINMUX_PERMIT[153] != (PINMUX_PERMIT[153] & reg_be))) wr_err = 1'b1 ;
14600 if (addr_hit[154] && reg_we && (PINMUX_PERMIT[154] != (PINMUX_PERMIT[154] & reg_be))) wr_err = 1'b1 ;
14601 if (addr_hit[155] && reg_we && (PINMUX_PERMIT[155] != (PINMUX_PERMIT[155] & reg_be))) wr_err = 1'b1 ;
14602 if (addr_hit[156] && reg_we && (PINMUX_PERMIT[156] != (PINMUX_PERMIT[156] & reg_be))) wr_err = 1'b1 ;
14603 if (addr_hit[157] && reg_we && (PINMUX_PERMIT[157] != (PINMUX_PERMIT[157] & reg_be))) wr_err = 1'b1 ;
14604 if (addr_hit[158] && reg_we && (PINMUX_PERMIT[158] != (PINMUX_PERMIT[158] & reg_be))) wr_err = 1'b1 ;
14605 if (addr_hit[159] && reg_we && (PINMUX_PERMIT[159] != (PINMUX_PERMIT[159] & reg_be))) wr_err = 1'b1 ;
14606 if (addr_hit[160] && reg_we && (PINMUX_PERMIT[160] != (PINMUX_PERMIT[160] & reg_be))) wr_err = 1'b1 ;
14607 if (addr_hit[161] && reg_we && (PINMUX_PERMIT[161] != (PINMUX_PERMIT[161] & reg_be))) wr_err = 1'b1 ;
14608 if (addr_hit[162] && reg_we && (PINMUX_PERMIT[162] != (PINMUX_PERMIT[162] & reg_be))) wr_err = 1'b1 ;
14609 if (addr_hit[163] && reg_we && (PINMUX_PERMIT[163] != (PINMUX_PERMIT[163] & reg_be))) wr_err = 1'b1 ;
14610 if (addr_hit[164] && reg_we && (PINMUX_PERMIT[164] != (PINMUX_PERMIT[164] & reg_be))) wr_err = 1'b1 ;
14611 if (addr_hit[165] && reg_we && (PINMUX_PERMIT[165] != (PINMUX_PERMIT[165] & reg_be))) wr_err = 1'b1 ;
14612 if (addr_hit[166] && reg_we && (PINMUX_PERMIT[166] != (PINMUX_PERMIT[166] & reg_be))) wr_err = 1'b1 ;
14613 if (addr_hit[167] && reg_we && (PINMUX_PERMIT[167] != (PINMUX_PERMIT[167] & reg_be))) wr_err = 1'b1 ;
14614 if (addr_hit[168] && reg_we && (PINMUX_PERMIT[168] != (PINMUX_PERMIT[168] & reg_be))) wr_err = 1'b1 ;
14615 if (addr_hit[169] && reg_we && (PINMUX_PERMIT[169] != (PINMUX_PERMIT[169] & reg_be))) wr_err = 1'b1 ;
14616 if (addr_hit[170] && reg_we && (PINMUX_PERMIT[170] != (PINMUX_PERMIT[170] & reg_be))) wr_err = 1'b1 ;
14617 if (addr_hit[171] && reg_we && (PINMUX_PERMIT[171] != (PINMUX_PERMIT[171] & reg_be))) wr_err = 1'b1 ;
14618 if (addr_hit[172] && reg_we && (PINMUX_PERMIT[172] != (PINMUX_PERMIT[172] & reg_be))) wr_err = 1'b1 ;
14619 if (addr_hit[173] && reg_we && (PINMUX_PERMIT[173] != (PINMUX_PERMIT[173] & reg_be))) wr_err = 1'b1 ;
14620 if (addr_hit[174] && reg_we && (PINMUX_PERMIT[174] != (PINMUX_PERMIT[174] & reg_be))) wr_err = 1'b1 ;
14621 if (addr_hit[175] && reg_we && (PINMUX_PERMIT[175] != (PINMUX_PERMIT[175] & reg_be))) wr_err = 1'b1 ;
14622 if (addr_hit[176] && reg_we && (PINMUX_PERMIT[176] != (PINMUX_PERMIT[176] & reg_be))) wr_err = 1'b1 ;
14623 if (addr_hit[177] && reg_we && (PINMUX_PERMIT[177] != (PINMUX_PERMIT[177] & reg_be))) wr_err = 1'b1 ;
14624 if (addr_hit[178] && reg_we && (PINMUX_PERMIT[178] != (PINMUX_PERMIT[178] & reg_be))) wr_err = 1'b1 ;
14625 if (addr_hit[179] && reg_we && (PINMUX_PERMIT[179] != (PINMUX_PERMIT[179] & reg_be))) wr_err = 1'b1 ;
14626 if (addr_hit[180] && reg_we && (PINMUX_PERMIT[180] != (PINMUX_PERMIT[180] & reg_be))) wr_err = 1'b1 ;
14627 if (addr_hit[181] && reg_we && (PINMUX_PERMIT[181] != (PINMUX_PERMIT[181] & reg_be))) wr_err = 1'b1 ;
14628 if (addr_hit[182] && reg_we && (PINMUX_PERMIT[182] != (PINMUX_PERMIT[182] & reg_be))) wr_err = 1'b1 ;
14629 if (addr_hit[183] && reg_we && (PINMUX_PERMIT[183] != (PINMUX_PERMIT[183] & reg_be))) wr_err = 1'b1 ;
14630 if (addr_hit[184] && reg_we && (PINMUX_PERMIT[184] != (PINMUX_PERMIT[184] & reg_be))) wr_err = 1'b1 ;
14631 if (addr_hit[185] && reg_we && (PINMUX_PERMIT[185] != (PINMUX_PERMIT[185] & reg_be))) wr_err = 1'b1 ;
14632 if (addr_hit[186] && reg_we && (PINMUX_PERMIT[186] != (PINMUX_PERMIT[186] & reg_be))) wr_err = 1'b1 ;
14633 if (addr_hit[187] && reg_we && (PINMUX_PERMIT[187] != (PINMUX_PERMIT[187] & reg_be))) wr_err = 1'b1 ;
14634 if (addr_hit[188] && reg_we && (PINMUX_PERMIT[188] != (PINMUX_PERMIT[188] & reg_be))) wr_err = 1'b1 ;
14635 if (addr_hit[189] && reg_we && (PINMUX_PERMIT[189] != (PINMUX_PERMIT[189] & reg_be))) wr_err = 1'b1 ;
14636 if (addr_hit[190] && reg_we && (PINMUX_PERMIT[190] != (PINMUX_PERMIT[190] & reg_be))) wr_err = 1'b1 ;
14637 if (addr_hit[191] && reg_we && (PINMUX_PERMIT[191] != (PINMUX_PERMIT[191] & reg_be))) wr_err = 1'b1 ;
14638 if (addr_hit[192] && reg_we && (PINMUX_PERMIT[192] != (PINMUX_PERMIT[192] & reg_be))) wr_err = 1'b1 ;
14639 if (addr_hit[193] && reg_we && (PINMUX_PERMIT[193] != (PINMUX_PERMIT[193] & reg_be))) wr_err = 1'b1 ;
14640 if (addr_hit[194] && reg_we && (PINMUX_PERMIT[194] != (PINMUX_PERMIT[194] & reg_be))) wr_err = 1'b1 ;
14641 if (addr_hit[195] && reg_we && (PINMUX_PERMIT[195] != (PINMUX_PERMIT[195] & reg_be))) wr_err = 1'b1 ;
14642 if (addr_hit[196] && reg_we && (PINMUX_PERMIT[196] != (PINMUX_PERMIT[196] & reg_be))) wr_err = 1'b1 ;
14643 if (addr_hit[197] && reg_we && (PINMUX_PERMIT[197] != (PINMUX_PERMIT[197] & reg_be))) wr_err = 1'b1 ;
14644 if (addr_hit[198] && reg_we && (PINMUX_PERMIT[198] != (PINMUX_PERMIT[198] & reg_be))) wr_err = 1'b1 ;
14645 if (addr_hit[199] && reg_we && (PINMUX_PERMIT[199] != (PINMUX_PERMIT[199] & reg_be))) wr_err = 1'b1 ;
14646 if (addr_hit[200] && reg_we && (PINMUX_PERMIT[200] != (PINMUX_PERMIT[200] & reg_be))) wr_err = 1'b1 ;
14647 if (addr_hit[201] && reg_we && (PINMUX_PERMIT[201] != (PINMUX_PERMIT[201] & reg_be))) wr_err = 1'b1 ;
14648 if (addr_hit[202] && reg_we && (PINMUX_PERMIT[202] != (PINMUX_PERMIT[202] & reg_be))) wr_err = 1'b1 ;
14649 if (addr_hit[203] && reg_we && (PINMUX_PERMIT[203] != (PINMUX_PERMIT[203] & reg_be))) wr_err = 1'b1 ;
14650 if (addr_hit[204] && reg_we && (PINMUX_PERMIT[204] != (PINMUX_PERMIT[204] & reg_be))) wr_err = 1'b1 ;
14651 if (addr_hit[205] && reg_we && (PINMUX_PERMIT[205] != (PINMUX_PERMIT[205] & reg_be))) wr_err = 1'b1 ;
14652 if (addr_hit[206] && reg_we && (PINMUX_PERMIT[206] != (PINMUX_PERMIT[206] & reg_be))) wr_err = 1'b1 ;
14653 if (addr_hit[207] && reg_we && (PINMUX_PERMIT[207] != (PINMUX_PERMIT[207] & reg_be))) wr_err = 1'b1 ;
14654 if (addr_hit[208] && reg_we && (PINMUX_PERMIT[208] != (PINMUX_PERMIT[208] & reg_be))) wr_err = 1'b1 ;
14655 if (addr_hit[209] && reg_we && (PINMUX_PERMIT[209] != (PINMUX_PERMIT[209] & reg_be))) wr_err = 1'b1 ;
14656 if (addr_hit[210] && reg_we && (PINMUX_PERMIT[210] != (PINMUX_PERMIT[210] & reg_be))) wr_err = 1'b1 ;
14657 if (addr_hit[211] && reg_we && (PINMUX_PERMIT[211] != (PINMUX_PERMIT[211] & reg_be))) wr_err = 1'b1 ;
14658 if (addr_hit[212] && reg_we && (PINMUX_PERMIT[212] != (PINMUX_PERMIT[212] & reg_be))) wr_err = 1'b1 ;
14659 if (addr_hit[213] && reg_we && (PINMUX_PERMIT[213] != (PINMUX_PERMIT[213] & reg_be))) wr_err = 1'b1 ;
14660 if (addr_hit[214] && reg_we && (PINMUX_PERMIT[214] != (PINMUX_PERMIT[214] & reg_be))) wr_err = 1'b1 ;
14661 if (addr_hit[215] && reg_we && (PINMUX_PERMIT[215] != (PINMUX_PERMIT[215] & reg_be))) wr_err = 1'b1 ;
14662 if (addr_hit[216] && reg_we && (PINMUX_PERMIT[216] != (PINMUX_PERMIT[216] & reg_be))) wr_err = 1'b1 ;
14663 if (addr_hit[217] && reg_we && (PINMUX_PERMIT[217] != (PINMUX_PERMIT[217] & reg_be))) wr_err = 1'b1 ;
14664 if (addr_hit[218] && reg_we && (PINMUX_PERMIT[218] != (PINMUX_PERMIT[218] & reg_be))) wr_err = 1'b1 ;
14665 if (addr_hit[219] && reg_we && (PINMUX_PERMIT[219] != (PINMUX_PERMIT[219] & reg_be))) wr_err = 1'b1 ;
14666 if (addr_hit[220] && reg_we && (PINMUX_PERMIT[220] != (PINMUX_PERMIT[220] & reg_be))) wr_err = 1'b1 ;
14667 if (addr_hit[221] && reg_we && (PINMUX_PERMIT[221] != (PINMUX_PERMIT[221] & reg_be))) wr_err = 1'b1 ;
14668 if (addr_hit[222] && reg_we && (PINMUX_PERMIT[222] != (PINMUX_PERMIT[222] & reg_be))) wr_err = 1'b1 ;
14669 if (addr_hit[223] && reg_we && (PINMUX_PERMIT[223] != (PINMUX_PERMIT[223] & reg_be))) wr_err = 1'b1 ;
14670 if (addr_hit[224] && reg_we && (PINMUX_PERMIT[224] != (PINMUX_PERMIT[224] & reg_be))) wr_err = 1'b1 ;
14671 if (addr_hit[225] && reg_we && (PINMUX_PERMIT[225] != (PINMUX_PERMIT[225] & reg_be))) wr_err = 1'b1 ;
14672 if (addr_hit[226] && reg_we && (PINMUX_PERMIT[226] != (PINMUX_PERMIT[226] & reg_be))) wr_err = 1'b1 ;
14673 if (addr_hit[227] && reg_we && (PINMUX_PERMIT[227] != (PINMUX_PERMIT[227] & reg_be))) wr_err = 1'b1 ;
14674 if (addr_hit[228] && reg_we && (PINMUX_PERMIT[228] != (PINMUX_PERMIT[228] & reg_be))) wr_err = 1'b1 ;
14675 if (addr_hit[229] && reg_we && (PINMUX_PERMIT[229] != (PINMUX_PERMIT[229] & reg_be))) wr_err = 1'b1 ;
14676 if (addr_hit[230] && reg_we && (PINMUX_PERMIT[230] != (PINMUX_PERMIT[230] & reg_be))) wr_err = 1'b1 ;
14677 if (addr_hit[231] && reg_we && (PINMUX_PERMIT[231] != (PINMUX_PERMIT[231] & reg_be))) wr_err = 1'b1 ;
14678 if (addr_hit[232] && reg_we && (PINMUX_PERMIT[232] != (PINMUX_PERMIT[232] & reg_be))) wr_err = 1'b1 ;
14679 if (addr_hit[233] && reg_we && (PINMUX_PERMIT[233] != (PINMUX_PERMIT[233] & reg_be))) wr_err = 1'b1 ;
14680 if (addr_hit[234] && reg_we && (PINMUX_PERMIT[234] != (PINMUX_PERMIT[234] & reg_be))) wr_err = 1'b1 ;
14681 if (addr_hit[235] && reg_we && (PINMUX_PERMIT[235] != (PINMUX_PERMIT[235] & reg_be))) wr_err = 1'b1 ;
14682 if (addr_hit[236] && reg_we && (PINMUX_PERMIT[236] != (PINMUX_PERMIT[236] & reg_be))) wr_err = 1'b1 ;
14683 if (addr_hit[237] && reg_we && (PINMUX_PERMIT[237] != (PINMUX_PERMIT[237] & reg_be))) wr_err = 1'b1 ;
14684 if (addr_hit[238] && reg_we && (PINMUX_PERMIT[238] != (PINMUX_PERMIT[238] & reg_be))) wr_err = 1'b1 ;
14685 if (addr_hit[239] && reg_we && (PINMUX_PERMIT[239] != (PINMUX_PERMIT[239] & reg_be))) wr_err = 1'b1 ;
14686 if (addr_hit[240] && reg_we && (PINMUX_PERMIT[240] != (PINMUX_PERMIT[240] & reg_be))) wr_err = 1'b1 ;
14687 if (addr_hit[241] && reg_we && (PINMUX_PERMIT[241] != (PINMUX_PERMIT[241] & reg_be))) wr_err = 1'b1 ;
14688 if (addr_hit[242] && reg_we && (PINMUX_PERMIT[242] != (PINMUX_PERMIT[242] & reg_be))) wr_err = 1'b1 ;
14689 if (addr_hit[243] && reg_we && (PINMUX_PERMIT[243] != (PINMUX_PERMIT[243] & reg_be))) wr_err = 1'b1 ;
14690 if (addr_hit[244] && reg_we && (PINMUX_PERMIT[244] != (PINMUX_PERMIT[244] & reg_be))) wr_err = 1'b1 ;
14691 if (addr_hit[245] && reg_we && (PINMUX_PERMIT[245] != (PINMUX_PERMIT[245] & reg_be))) wr_err = 1'b1 ;
14692 if (addr_hit[246] && reg_we && (PINMUX_PERMIT[246] != (PINMUX_PERMIT[246] & reg_be))) wr_err = 1'b1 ;
14693 if (addr_hit[247] && reg_we && (PINMUX_PERMIT[247] != (PINMUX_PERMIT[247] & reg_be))) wr_err = 1'b1 ;
14694 if (addr_hit[248] && reg_we && (PINMUX_PERMIT[248] != (PINMUX_PERMIT[248] & reg_be))) wr_err = 1'b1 ;
14695 if (addr_hit[249] && reg_we && (PINMUX_PERMIT[249] != (PINMUX_PERMIT[249] & reg_be))) wr_err = 1'b1 ;
14696 if (addr_hit[250] && reg_we && (PINMUX_PERMIT[250] != (PINMUX_PERMIT[250] & reg_be))) wr_err = 1'b1 ;
14697 if (addr_hit[251] && reg_we && (PINMUX_PERMIT[251] != (PINMUX_PERMIT[251] & reg_be))) wr_err = 1'b1 ;
14698 if (addr_hit[252] && reg_we && (PINMUX_PERMIT[252] != (PINMUX_PERMIT[252] & reg_be))) wr_err = 1'b1 ;
14699 if (addr_hit[253] && reg_we && (PINMUX_PERMIT[253] != (PINMUX_PERMIT[253] & reg_be))) wr_err = 1'b1 ;
14700 if (addr_hit[254] && reg_we && (PINMUX_PERMIT[254] != (PINMUX_PERMIT[254] & reg_be))) wr_err = 1'b1 ;
14701 if (addr_hit[255] && reg_we && (PINMUX_PERMIT[255] != (PINMUX_PERMIT[255] & reg_be))) wr_err = 1'b1 ;
14702 if (addr_hit[256] && reg_we && (PINMUX_PERMIT[256] != (PINMUX_PERMIT[256] & reg_be))) wr_err = 1'b1 ;
14703 if (addr_hit[257] && reg_we && (PINMUX_PERMIT[257] != (PINMUX_PERMIT[257] & reg_be))) wr_err = 1'b1 ;
14704 if (addr_hit[258] && reg_we && (PINMUX_PERMIT[258] != (PINMUX_PERMIT[258] & reg_be))) wr_err = 1'b1 ;
14705 if (addr_hit[259] && reg_we && (PINMUX_PERMIT[259] != (PINMUX_PERMIT[259] & reg_be))) wr_err = 1'b1 ;
14706 if (addr_hit[260] && reg_we && (PINMUX_PERMIT[260] != (PINMUX_PERMIT[260] & reg_be))) wr_err = 1'b1 ;
14707 if (addr_hit[261] && reg_we && (PINMUX_PERMIT[261] != (PINMUX_PERMIT[261] & reg_be))) wr_err = 1'b1 ;
14708 if (addr_hit[262] && reg_we && (PINMUX_PERMIT[262] != (PINMUX_PERMIT[262] & reg_be))) wr_err = 1'b1 ;
14709 if (addr_hit[263] && reg_we && (PINMUX_PERMIT[263] != (PINMUX_PERMIT[263] & reg_be))) wr_err = 1'b1 ;
14710 if (addr_hit[264] && reg_we && (PINMUX_PERMIT[264] != (PINMUX_PERMIT[264] & reg_be))) wr_err = 1'b1 ;
14711 if (addr_hit[265] && reg_we && (PINMUX_PERMIT[265] != (PINMUX_PERMIT[265] & reg_be))) wr_err = 1'b1 ;
14712 if (addr_hit[266] && reg_we && (PINMUX_PERMIT[266] != (PINMUX_PERMIT[266] & reg_be))) wr_err = 1'b1 ;
Michael Schaffner43ce8d52021-02-10 17:04:57 -080014713 if (addr_hit[267] && reg_we && (PINMUX_PERMIT[267] != (PINMUX_PERMIT[267] & reg_be))) wr_err = 1'b1 ;
14714 if (addr_hit[268] && reg_we && (PINMUX_PERMIT[268] != (PINMUX_PERMIT[268] & reg_be))) wr_err = 1'b1 ;
14715 if (addr_hit[269] && reg_we && (PINMUX_PERMIT[269] != (PINMUX_PERMIT[269] & reg_be))) wr_err = 1'b1 ;
14716 if (addr_hit[270] && reg_we && (PINMUX_PERMIT[270] != (PINMUX_PERMIT[270] & reg_be))) wr_err = 1'b1 ;
14717 if (addr_hit[271] && reg_we && (PINMUX_PERMIT[271] != (PINMUX_PERMIT[271] & reg_be))) wr_err = 1'b1 ;
14718 if (addr_hit[272] && reg_we && (PINMUX_PERMIT[272] != (PINMUX_PERMIT[272] & reg_be))) wr_err = 1'b1 ;
14719 if (addr_hit[273] && reg_we && (PINMUX_PERMIT[273] != (PINMUX_PERMIT[273] & reg_be))) wr_err = 1'b1 ;
14720 if (addr_hit[274] && reg_we && (PINMUX_PERMIT[274] != (PINMUX_PERMIT[274] & reg_be))) wr_err = 1'b1 ;
14721 if (addr_hit[275] && reg_we && (PINMUX_PERMIT[275] != (PINMUX_PERMIT[275] & reg_be))) wr_err = 1'b1 ;
14722 if (addr_hit[276] && reg_we && (PINMUX_PERMIT[276] != (PINMUX_PERMIT[276] & reg_be))) wr_err = 1'b1 ;
14723 if (addr_hit[277] && reg_we && (PINMUX_PERMIT[277] != (PINMUX_PERMIT[277] & reg_be))) wr_err = 1'b1 ;
14724 if (addr_hit[278] && reg_we && (PINMUX_PERMIT[278] != (PINMUX_PERMIT[278] & reg_be))) wr_err = 1'b1 ;
14725 if (addr_hit[279] && reg_we && (PINMUX_PERMIT[279] != (PINMUX_PERMIT[279] & reg_be))) wr_err = 1'b1 ;
14726 if (addr_hit[280] && reg_we && (PINMUX_PERMIT[280] != (PINMUX_PERMIT[280] & reg_be))) wr_err = 1'b1 ;
14727 if (addr_hit[281] && reg_we && (PINMUX_PERMIT[281] != (PINMUX_PERMIT[281] & reg_be))) wr_err = 1'b1 ;
14728 if (addr_hit[282] && reg_we && (PINMUX_PERMIT[282] != (PINMUX_PERMIT[282] & reg_be))) wr_err = 1'b1 ;
14729 if (addr_hit[283] && reg_we && (PINMUX_PERMIT[283] != (PINMUX_PERMIT[283] & reg_be))) wr_err = 1'b1 ;
14730 if (addr_hit[284] && reg_we && (PINMUX_PERMIT[284] != (PINMUX_PERMIT[284] & reg_be))) wr_err = 1'b1 ;
14731 if (addr_hit[285] && reg_we && (PINMUX_PERMIT[285] != (PINMUX_PERMIT[285] & reg_be))) wr_err = 1'b1 ;
14732 if (addr_hit[286] && reg_we && (PINMUX_PERMIT[286] != (PINMUX_PERMIT[286] & reg_be))) wr_err = 1'b1 ;
14733 if (addr_hit[287] && reg_we && (PINMUX_PERMIT[287] != (PINMUX_PERMIT[287] & reg_be))) wr_err = 1'b1 ;
14734 if (addr_hit[288] && reg_we && (PINMUX_PERMIT[288] != (PINMUX_PERMIT[288] & reg_be))) wr_err = 1'b1 ;
14735 if (addr_hit[289] && reg_we && (PINMUX_PERMIT[289] != (PINMUX_PERMIT[289] & reg_be))) wr_err = 1'b1 ;
14736 if (addr_hit[290] && reg_we && (PINMUX_PERMIT[290] != (PINMUX_PERMIT[290] & reg_be))) wr_err = 1'b1 ;
14737 if (addr_hit[291] && reg_we && (PINMUX_PERMIT[291] != (PINMUX_PERMIT[291] & reg_be))) wr_err = 1'b1 ;
14738 if (addr_hit[292] && reg_we && (PINMUX_PERMIT[292] != (PINMUX_PERMIT[292] & reg_be))) wr_err = 1'b1 ;
14739 if (addr_hit[293] && reg_we && (PINMUX_PERMIT[293] != (PINMUX_PERMIT[293] & reg_be))) wr_err = 1'b1 ;
14740 if (addr_hit[294] && reg_we && (PINMUX_PERMIT[294] != (PINMUX_PERMIT[294] & reg_be))) wr_err = 1'b1 ;
14741 if (addr_hit[295] && reg_we && (PINMUX_PERMIT[295] != (PINMUX_PERMIT[295] & reg_be))) wr_err = 1'b1 ;
14742 if (addr_hit[296] && reg_we && (PINMUX_PERMIT[296] != (PINMUX_PERMIT[296] & reg_be))) wr_err = 1'b1 ;
14743 if (addr_hit[297] && reg_we && (PINMUX_PERMIT[297] != (PINMUX_PERMIT[297] & reg_be))) wr_err = 1'b1 ;
14744 if (addr_hit[298] && reg_we && (PINMUX_PERMIT[298] != (PINMUX_PERMIT[298] & reg_be))) wr_err = 1'b1 ;
14745 if (addr_hit[299] && reg_we && (PINMUX_PERMIT[299] != (PINMUX_PERMIT[299] & reg_be))) wr_err = 1'b1 ;
14746 if (addr_hit[300] && reg_we && (PINMUX_PERMIT[300] != (PINMUX_PERMIT[300] & reg_be))) wr_err = 1'b1 ;
14747 if (addr_hit[301] && reg_we && (PINMUX_PERMIT[301] != (PINMUX_PERMIT[301] & reg_be))) wr_err = 1'b1 ;
14748 if (addr_hit[302] && reg_we && (PINMUX_PERMIT[302] != (PINMUX_PERMIT[302] & reg_be))) wr_err = 1'b1 ;
14749 if (addr_hit[303] && reg_we && (PINMUX_PERMIT[303] != (PINMUX_PERMIT[303] & reg_be))) wr_err = 1'b1 ;
14750 if (addr_hit[304] && reg_we && (PINMUX_PERMIT[304] != (PINMUX_PERMIT[304] & reg_be))) wr_err = 1'b1 ;
14751 if (addr_hit[305] && reg_we && (PINMUX_PERMIT[305] != (PINMUX_PERMIT[305] & reg_be))) wr_err = 1'b1 ;
14752 if (addr_hit[306] && reg_we && (PINMUX_PERMIT[306] != (PINMUX_PERMIT[306] & reg_be))) wr_err = 1'b1 ;
14753 if (addr_hit[307] && reg_we && (PINMUX_PERMIT[307] != (PINMUX_PERMIT[307] & reg_be))) wr_err = 1'b1 ;
14754 if (addr_hit[308] && reg_we && (PINMUX_PERMIT[308] != (PINMUX_PERMIT[308] & reg_be))) wr_err = 1'b1 ;
14755 if (addr_hit[309] && reg_we && (PINMUX_PERMIT[309] != (PINMUX_PERMIT[309] & reg_be))) wr_err = 1'b1 ;
14756 if (addr_hit[310] && reg_we && (PINMUX_PERMIT[310] != (PINMUX_PERMIT[310] & reg_be))) wr_err = 1'b1 ;
14757 if (addr_hit[311] && reg_we && (PINMUX_PERMIT[311] != (PINMUX_PERMIT[311] & reg_be))) wr_err = 1'b1 ;
14758 if (addr_hit[312] && reg_we && (PINMUX_PERMIT[312] != (PINMUX_PERMIT[312] & reg_be))) wr_err = 1'b1 ;
14759 if (addr_hit[313] && reg_we && (PINMUX_PERMIT[313] != (PINMUX_PERMIT[313] & reg_be))) wr_err = 1'b1 ;
14760 if (addr_hit[314] && reg_we && (PINMUX_PERMIT[314] != (PINMUX_PERMIT[314] & reg_be))) wr_err = 1'b1 ;
14761 if (addr_hit[315] && reg_we && (PINMUX_PERMIT[315] != (PINMUX_PERMIT[315] & reg_be))) wr_err = 1'b1 ;
14762 if (addr_hit[316] && reg_we && (PINMUX_PERMIT[316] != (PINMUX_PERMIT[316] & reg_be))) wr_err = 1'b1 ;
14763 if (addr_hit[317] && reg_we && (PINMUX_PERMIT[317] != (PINMUX_PERMIT[317] & reg_be))) wr_err = 1'b1 ;
14764 if (addr_hit[318] && reg_we && (PINMUX_PERMIT[318] != (PINMUX_PERMIT[318] & reg_be))) wr_err = 1'b1 ;
14765 if (addr_hit[319] && reg_we && (PINMUX_PERMIT[319] != (PINMUX_PERMIT[319] & reg_be))) wr_err = 1'b1 ;
14766 if (addr_hit[320] && reg_we && (PINMUX_PERMIT[320] != (PINMUX_PERMIT[320] & reg_be))) wr_err = 1'b1 ;
14767 if (addr_hit[321] && reg_we && (PINMUX_PERMIT[321] != (PINMUX_PERMIT[321] & reg_be))) wr_err = 1'b1 ;
14768 if (addr_hit[322] && reg_we && (PINMUX_PERMIT[322] != (PINMUX_PERMIT[322] & reg_be))) wr_err = 1'b1 ;
14769 if (addr_hit[323] && reg_we && (PINMUX_PERMIT[323] != (PINMUX_PERMIT[323] & reg_be))) wr_err = 1'b1 ;
14770 if (addr_hit[324] && reg_we && (PINMUX_PERMIT[324] != (PINMUX_PERMIT[324] & reg_be))) wr_err = 1'b1 ;
14771 if (addr_hit[325] && reg_we && (PINMUX_PERMIT[325] != (PINMUX_PERMIT[325] & reg_be))) wr_err = 1'b1 ;
14772 if (addr_hit[326] && reg_we && (PINMUX_PERMIT[326] != (PINMUX_PERMIT[326] & reg_be))) wr_err = 1'b1 ;
14773 if (addr_hit[327] && reg_we && (PINMUX_PERMIT[327] != (PINMUX_PERMIT[327] & reg_be))) wr_err = 1'b1 ;
14774 if (addr_hit[328] && reg_we && (PINMUX_PERMIT[328] != (PINMUX_PERMIT[328] & reg_be))) wr_err = 1'b1 ;
14775 if (addr_hit[329] && reg_we && (PINMUX_PERMIT[329] != (PINMUX_PERMIT[329] & reg_be))) wr_err = 1'b1 ;
14776 if (addr_hit[330] && reg_we && (PINMUX_PERMIT[330] != (PINMUX_PERMIT[330] & reg_be))) wr_err = 1'b1 ;
14777 if (addr_hit[331] && reg_we && (PINMUX_PERMIT[331] != (PINMUX_PERMIT[331] & reg_be))) wr_err = 1'b1 ;
14778 if (addr_hit[332] && reg_we && (PINMUX_PERMIT[332] != (PINMUX_PERMIT[332] & reg_be))) wr_err = 1'b1 ;
14779 if (addr_hit[333] && reg_we && (PINMUX_PERMIT[333] != (PINMUX_PERMIT[333] & reg_be))) wr_err = 1'b1 ;
14780 if (addr_hit[334] && reg_we && (PINMUX_PERMIT[334] != (PINMUX_PERMIT[334] & reg_be))) wr_err = 1'b1 ;
14781 if (addr_hit[335] && reg_we && (PINMUX_PERMIT[335] != (PINMUX_PERMIT[335] & reg_be))) wr_err = 1'b1 ;
14782 if (addr_hit[336] && reg_we && (PINMUX_PERMIT[336] != (PINMUX_PERMIT[336] & reg_be))) wr_err = 1'b1 ;
14783 if (addr_hit[337] && reg_we && (PINMUX_PERMIT[337] != (PINMUX_PERMIT[337] & reg_be))) wr_err = 1'b1 ;
14784 if (addr_hit[338] && reg_we && (PINMUX_PERMIT[338] != (PINMUX_PERMIT[338] & reg_be))) wr_err = 1'b1 ;
14785 if (addr_hit[339] && reg_we && (PINMUX_PERMIT[339] != (PINMUX_PERMIT[339] & reg_be))) wr_err = 1'b1 ;
14786 if (addr_hit[340] && reg_we && (PINMUX_PERMIT[340] != (PINMUX_PERMIT[340] & reg_be))) wr_err = 1'b1 ;
14787 if (addr_hit[341] && reg_we && (PINMUX_PERMIT[341] != (PINMUX_PERMIT[341] & reg_be))) wr_err = 1'b1 ;
14788 if (addr_hit[342] && reg_we && (PINMUX_PERMIT[342] != (PINMUX_PERMIT[342] & reg_be))) wr_err = 1'b1 ;
14789 if (addr_hit[343] && reg_we && (PINMUX_PERMIT[343] != (PINMUX_PERMIT[343] & reg_be))) wr_err = 1'b1 ;
14790 if (addr_hit[344] && reg_we && (PINMUX_PERMIT[344] != (PINMUX_PERMIT[344] & reg_be))) wr_err = 1'b1 ;
14791 if (addr_hit[345] && reg_we && (PINMUX_PERMIT[345] != (PINMUX_PERMIT[345] & reg_be))) wr_err = 1'b1 ;
14792 if (addr_hit[346] && reg_we && (PINMUX_PERMIT[346] != (PINMUX_PERMIT[346] & reg_be))) wr_err = 1'b1 ;
14793 if (addr_hit[347] && reg_we && (PINMUX_PERMIT[347] != (PINMUX_PERMIT[347] & reg_be))) wr_err = 1'b1 ;
14794 if (addr_hit[348] && reg_we && (PINMUX_PERMIT[348] != (PINMUX_PERMIT[348] & reg_be))) wr_err = 1'b1 ;
14795 if (addr_hit[349] && reg_we && (PINMUX_PERMIT[349] != (PINMUX_PERMIT[349] & reg_be))) wr_err = 1'b1 ;
14796 if (addr_hit[350] && reg_we && (PINMUX_PERMIT[350] != (PINMUX_PERMIT[350] & reg_be))) wr_err = 1'b1 ;
14797 if (addr_hit[351] && reg_we && (PINMUX_PERMIT[351] != (PINMUX_PERMIT[351] & reg_be))) wr_err = 1'b1 ;
14798 if (addr_hit[352] && reg_we && (PINMUX_PERMIT[352] != (PINMUX_PERMIT[352] & reg_be))) wr_err = 1'b1 ;
14799 if (addr_hit[353] && reg_we && (PINMUX_PERMIT[353] != (PINMUX_PERMIT[353] & reg_be))) wr_err = 1'b1 ;
14800 if (addr_hit[354] && reg_we && (PINMUX_PERMIT[354] != (PINMUX_PERMIT[354] & reg_be))) wr_err = 1'b1 ;
14801 if (addr_hit[355] && reg_we && (PINMUX_PERMIT[355] != (PINMUX_PERMIT[355] & reg_be))) wr_err = 1'b1 ;
14802 if (addr_hit[356] && reg_we && (PINMUX_PERMIT[356] != (PINMUX_PERMIT[356] & reg_be))) wr_err = 1'b1 ;
14803 if (addr_hit[357] && reg_we && (PINMUX_PERMIT[357] != (PINMUX_PERMIT[357] & reg_be))) wr_err = 1'b1 ;
14804 if (addr_hit[358] && reg_we && (PINMUX_PERMIT[358] != (PINMUX_PERMIT[358] & reg_be))) wr_err = 1'b1 ;
14805 if (addr_hit[359] && reg_we && (PINMUX_PERMIT[359] != (PINMUX_PERMIT[359] & reg_be))) wr_err = 1'b1 ;
14806 if (addr_hit[360] && reg_we && (PINMUX_PERMIT[360] != (PINMUX_PERMIT[360] & reg_be))) wr_err = 1'b1 ;
14807 if (addr_hit[361] && reg_we && (PINMUX_PERMIT[361] != (PINMUX_PERMIT[361] & reg_be))) wr_err = 1'b1 ;
14808 if (addr_hit[362] && reg_we && (PINMUX_PERMIT[362] != (PINMUX_PERMIT[362] & reg_be))) wr_err = 1'b1 ;
14809 if (addr_hit[363] && reg_we && (PINMUX_PERMIT[363] != (PINMUX_PERMIT[363] & reg_be))) wr_err = 1'b1 ;
14810 if (addr_hit[364] && reg_we && (PINMUX_PERMIT[364] != (PINMUX_PERMIT[364] & reg_be))) wr_err = 1'b1 ;
14811 if (addr_hit[365] && reg_we && (PINMUX_PERMIT[365] != (PINMUX_PERMIT[365] & reg_be))) wr_err = 1'b1 ;
14812 if (addr_hit[366] && reg_we && (PINMUX_PERMIT[366] != (PINMUX_PERMIT[366] & reg_be))) wr_err = 1'b1 ;
14813 if (addr_hit[367] && reg_we && (PINMUX_PERMIT[367] != (PINMUX_PERMIT[367] & reg_be))) wr_err = 1'b1 ;
14814 if (addr_hit[368] && reg_we && (PINMUX_PERMIT[368] != (PINMUX_PERMIT[368] & reg_be))) wr_err = 1'b1 ;
14815 if (addr_hit[369] && reg_we && (PINMUX_PERMIT[369] != (PINMUX_PERMIT[369] & reg_be))) wr_err = 1'b1 ;
14816 if (addr_hit[370] && reg_we && (PINMUX_PERMIT[370] != (PINMUX_PERMIT[370] & reg_be))) wr_err = 1'b1 ;
14817 if (addr_hit[371] && reg_we && (PINMUX_PERMIT[371] != (PINMUX_PERMIT[371] & reg_be))) wr_err = 1'b1 ;
14818 if (addr_hit[372] && reg_we && (PINMUX_PERMIT[372] != (PINMUX_PERMIT[372] & reg_be))) wr_err = 1'b1 ;
14819 if (addr_hit[373] && reg_we && (PINMUX_PERMIT[373] != (PINMUX_PERMIT[373] & reg_be))) wr_err = 1'b1 ;
14820 if (addr_hit[374] && reg_we && (PINMUX_PERMIT[374] != (PINMUX_PERMIT[374] & reg_be))) wr_err = 1'b1 ;
14821 if (addr_hit[375] && reg_we && (PINMUX_PERMIT[375] != (PINMUX_PERMIT[375] & reg_be))) wr_err = 1'b1 ;
14822 if (addr_hit[376] && reg_we && (PINMUX_PERMIT[376] != (PINMUX_PERMIT[376] & reg_be))) wr_err = 1'b1 ;
14823 if (addr_hit[377] && reg_we && (PINMUX_PERMIT[377] != (PINMUX_PERMIT[377] & reg_be))) wr_err = 1'b1 ;
14824 if (addr_hit[378] && reg_we && (PINMUX_PERMIT[378] != (PINMUX_PERMIT[378] & reg_be))) wr_err = 1'b1 ;
14825 if (addr_hit[379] && reg_we && (PINMUX_PERMIT[379] != (PINMUX_PERMIT[379] & reg_be))) wr_err = 1'b1 ;
14826 if (addr_hit[380] && reg_we && (PINMUX_PERMIT[380] != (PINMUX_PERMIT[380] & reg_be))) wr_err = 1'b1 ;
14827 if (addr_hit[381] && reg_we && (PINMUX_PERMIT[381] != (PINMUX_PERMIT[381] & reg_be))) wr_err = 1'b1 ;
14828 if (addr_hit[382] && reg_we && (PINMUX_PERMIT[382] != (PINMUX_PERMIT[382] & reg_be))) wr_err = 1'b1 ;
14829 if (addr_hit[383] && reg_we && (PINMUX_PERMIT[383] != (PINMUX_PERMIT[383] & reg_be))) wr_err = 1'b1 ;
14830 if (addr_hit[384] && reg_we && (PINMUX_PERMIT[384] != (PINMUX_PERMIT[384] & reg_be))) wr_err = 1'b1 ;
14831 if (addr_hit[385] && reg_we && (PINMUX_PERMIT[385] != (PINMUX_PERMIT[385] & reg_be))) wr_err = 1'b1 ;
14832 if (addr_hit[386] && reg_we && (PINMUX_PERMIT[386] != (PINMUX_PERMIT[386] & reg_be))) wr_err = 1'b1 ;
14833 if (addr_hit[387] && reg_we && (PINMUX_PERMIT[387] != (PINMUX_PERMIT[387] & reg_be))) wr_err = 1'b1 ;
14834 if (addr_hit[388] && reg_we && (PINMUX_PERMIT[388] != (PINMUX_PERMIT[388] & reg_be))) wr_err = 1'b1 ;
14835 if (addr_hit[389] && reg_we && (PINMUX_PERMIT[389] != (PINMUX_PERMIT[389] & reg_be))) wr_err = 1'b1 ;
14836 if (addr_hit[390] && reg_we && (PINMUX_PERMIT[390] != (PINMUX_PERMIT[390] & reg_be))) wr_err = 1'b1 ;
14837 if (addr_hit[391] && reg_we && (PINMUX_PERMIT[391] != (PINMUX_PERMIT[391] & reg_be))) wr_err = 1'b1 ;
14838 if (addr_hit[392] && reg_we && (PINMUX_PERMIT[392] != (PINMUX_PERMIT[392] & reg_be))) wr_err = 1'b1 ;
14839 if (addr_hit[393] && reg_we && (PINMUX_PERMIT[393] != (PINMUX_PERMIT[393] & reg_be))) wr_err = 1'b1 ;
14840 if (addr_hit[394] && reg_we && (PINMUX_PERMIT[394] != (PINMUX_PERMIT[394] & reg_be))) wr_err = 1'b1 ;
14841 if (addr_hit[395] && reg_we && (PINMUX_PERMIT[395] != (PINMUX_PERMIT[395] & reg_be))) wr_err = 1'b1 ;
14842 if (addr_hit[396] && reg_we && (PINMUX_PERMIT[396] != (PINMUX_PERMIT[396] & reg_be))) wr_err = 1'b1 ;
14843 if (addr_hit[397] && reg_we && (PINMUX_PERMIT[397] != (PINMUX_PERMIT[397] & reg_be))) wr_err = 1'b1 ;
14844 if (addr_hit[398] && reg_we && (PINMUX_PERMIT[398] != (PINMUX_PERMIT[398] & reg_be))) wr_err = 1'b1 ;
14845 if (addr_hit[399] && reg_we && (PINMUX_PERMIT[399] != (PINMUX_PERMIT[399] & reg_be))) wr_err = 1'b1 ;
14846 if (addr_hit[400] && reg_we && (PINMUX_PERMIT[400] != (PINMUX_PERMIT[400] & reg_be))) wr_err = 1'b1 ;
14847 if (addr_hit[401] && reg_we && (PINMUX_PERMIT[401] != (PINMUX_PERMIT[401] & reg_be))) wr_err = 1'b1 ;
14848 if (addr_hit[402] && reg_we && (PINMUX_PERMIT[402] != (PINMUX_PERMIT[402] & reg_be))) wr_err = 1'b1 ;
14849 if (addr_hit[403] && reg_we && (PINMUX_PERMIT[403] != (PINMUX_PERMIT[403] & reg_be))) wr_err = 1'b1 ;
14850 if (addr_hit[404] && reg_we && (PINMUX_PERMIT[404] != (PINMUX_PERMIT[404] & reg_be))) wr_err = 1'b1 ;
14851 if (addr_hit[405] && reg_we && (PINMUX_PERMIT[405] != (PINMUX_PERMIT[405] & reg_be))) wr_err = 1'b1 ;
14852 if (addr_hit[406] && reg_we && (PINMUX_PERMIT[406] != (PINMUX_PERMIT[406] & reg_be))) wr_err = 1'b1 ;
14853 if (addr_hit[407] && reg_we && (PINMUX_PERMIT[407] != (PINMUX_PERMIT[407] & reg_be))) wr_err = 1'b1 ;
14854 if (addr_hit[408] && reg_we && (PINMUX_PERMIT[408] != (PINMUX_PERMIT[408] & reg_be))) wr_err = 1'b1 ;
14855 if (addr_hit[409] && reg_we && (PINMUX_PERMIT[409] != (PINMUX_PERMIT[409] & reg_be))) wr_err = 1'b1 ;
14856 if (addr_hit[410] && reg_we && (PINMUX_PERMIT[410] != (PINMUX_PERMIT[410] & reg_be))) wr_err = 1'b1 ;
14857 if (addr_hit[411] && reg_we && (PINMUX_PERMIT[411] != (PINMUX_PERMIT[411] & reg_be))) wr_err = 1'b1 ;
14858 if (addr_hit[412] && reg_we && (PINMUX_PERMIT[412] != (PINMUX_PERMIT[412] & reg_be))) wr_err = 1'b1 ;
Michael Schaffner51c61442019-10-01 15:49:10 -070014859 end
14860
Michael Schaffner06fdb112021-02-10 16:52:56 -080014861 assign mio_periph_insel_regwen_0_we = addr_hit[0] & reg_we & ~wr_err;
14862 assign mio_periph_insel_regwen_0_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014863
Michael Schaffner06fdb112021-02-10 16:52:56 -080014864 assign mio_periph_insel_regwen_1_we = addr_hit[1] & reg_we & ~wr_err;
14865 assign mio_periph_insel_regwen_1_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014866
Michael Schaffner06fdb112021-02-10 16:52:56 -080014867 assign mio_periph_insel_regwen_2_we = addr_hit[2] & reg_we & ~wr_err;
14868 assign mio_periph_insel_regwen_2_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014869
Michael Schaffner06fdb112021-02-10 16:52:56 -080014870 assign mio_periph_insel_regwen_3_we = addr_hit[3] & reg_we & ~wr_err;
14871 assign mio_periph_insel_regwen_3_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014872
Michael Schaffner06fdb112021-02-10 16:52:56 -080014873 assign mio_periph_insel_regwen_4_we = addr_hit[4] & reg_we & ~wr_err;
14874 assign mio_periph_insel_regwen_4_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014875
Michael Schaffner06fdb112021-02-10 16:52:56 -080014876 assign mio_periph_insel_regwen_5_we = addr_hit[5] & reg_we & ~wr_err;
14877 assign mio_periph_insel_regwen_5_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014878
Michael Schaffner06fdb112021-02-10 16:52:56 -080014879 assign mio_periph_insel_regwen_6_we = addr_hit[6] & reg_we & ~wr_err;
14880 assign mio_periph_insel_regwen_6_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014881
Michael Schaffner06fdb112021-02-10 16:52:56 -080014882 assign mio_periph_insel_regwen_7_we = addr_hit[7] & reg_we & ~wr_err;
14883 assign mio_periph_insel_regwen_7_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014884
Michael Schaffner06fdb112021-02-10 16:52:56 -080014885 assign mio_periph_insel_regwen_8_we = addr_hit[8] & reg_we & ~wr_err;
14886 assign mio_periph_insel_regwen_8_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014887
Michael Schaffner06fdb112021-02-10 16:52:56 -080014888 assign mio_periph_insel_regwen_9_we = addr_hit[9] & reg_we & ~wr_err;
14889 assign mio_periph_insel_regwen_9_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014890
Michael Schaffner06fdb112021-02-10 16:52:56 -080014891 assign mio_periph_insel_regwen_10_we = addr_hit[10] & reg_we & ~wr_err;
14892 assign mio_periph_insel_regwen_10_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014893
Michael Schaffner06fdb112021-02-10 16:52:56 -080014894 assign mio_periph_insel_regwen_11_we = addr_hit[11] & reg_we & ~wr_err;
14895 assign mio_periph_insel_regwen_11_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014896
Michael Schaffner06fdb112021-02-10 16:52:56 -080014897 assign mio_periph_insel_regwen_12_we = addr_hit[12] & reg_we & ~wr_err;
14898 assign mio_periph_insel_regwen_12_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014899
Michael Schaffner06fdb112021-02-10 16:52:56 -080014900 assign mio_periph_insel_regwen_13_we = addr_hit[13] & reg_we & ~wr_err;
14901 assign mio_periph_insel_regwen_13_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014902
Michael Schaffner06fdb112021-02-10 16:52:56 -080014903 assign mio_periph_insel_regwen_14_we = addr_hit[14] & reg_we & ~wr_err;
14904 assign mio_periph_insel_regwen_14_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014905
Michael Schaffner06fdb112021-02-10 16:52:56 -080014906 assign mio_periph_insel_regwen_15_we = addr_hit[15] & reg_we & ~wr_err;
14907 assign mio_periph_insel_regwen_15_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014908
Michael Schaffner06fdb112021-02-10 16:52:56 -080014909 assign mio_periph_insel_regwen_16_we = addr_hit[16] & reg_we & ~wr_err;
14910 assign mio_periph_insel_regwen_16_wd = reg_wdata[0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070014911
Michael Schaffner06fdb112021-02-10 16:52:56 -080014912 assign mio_periph_insel_regwen_17_we = addr_hit[17] & reg_we & ~wr_err;
14913 assign mio_periph_insel_regwen_17_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014914
Michael Schaffner06fdb112021-02-10 16:52:56 -080014915 assign mio_periph_insel_regwen_18_we = addr_hit[18] & reg_we & ~wr_err;
14916 assign mio_periph_insel_regwen_18_wd = reg_wdata[0];
Michael Schaffner51c61442019-10-01 15:49:10 -070014917
Michael Schaffner06fdb112021-02-10 16:52:56 -080014918 assign mio_periph_insel_regwen_19_we = addr_hit[19] & reg_we & ~wr_err;
14919 assign mio_periph_insel_regwen_19_wd = reg_wdata[0];
14920
14921 assign mio_periph_insel_regwen_20_we = addr_hit[20] & reg_we & ~wr_err;
14922 assign mio_periph_insel_regwen_20_wd = reg_wdata[0];
14923
14924 assign mio_periph_insel_regwen_21_we = addr_hit[21] & reg_we & ~wr_err;
14925 assign mio_periph_insel_regwen_21_wd = reg_wdata[0];
14926
14927 assign mio_periph_insel_regwen_22_we = addr_hit[22] & reg_we & ~wr_err;
14928 assign mio_periph_insel_regwen_22_wd = reg_wdata[0];
14929
14930 assign mio_periph_insel_regwen_23_we = addr_hit[23] & reg_we & ~wr_err;
14931 assign mio_periph_insel_regwen_23_wd = reg_wdata[0];
14932
14933 assign mio_periph_insel_regwen_24_we = addr_hit[24] & reg_we & ~wr_err;
14934 assign mio_periph_insel_regwen_24_wd = reg_wdata[0];
14935
14936 assign mio_periph_insel_regwen_25_we = addr_hit[25] & reg_we & ~wr_err;
14937 assign mio_periph_insel_regwen_25_wd = reg_wdata[0];
14938
14939 assign mio_periph_insel_regwen_26_we = addr_hit[26] & reg_we & ~wr_err;
14940 assign mio_periph_insel_regwen_26_wd = reg_wdata[0];
14941
14942 assign mio_periph_insel_regwen_27_we = addr_hit[27] & reg_we & ~wr_err;
14943 assign mio_periph_insel_regwen_27_wd = reg_wdata[0];
14944
14945 assign mio_periph_insel_regwen_28_we = addr_hit[28] & reg_we & ~wr_err;
14946 assign mio_periph_insel_regwen_28_wd = reg_wdata[0];
14947
14948 assign mio_periph_insel_regwen_29_we = addr_hit[29] & reg_we & ~wr_err;
14949 assign mio_periph_insel_regwen_29_wd = reg_wdata[0];
14950
14951 assign mio_periph_insel_regwen_30_we = addr_hit[30] & reg_we & ~wr_err;
14952 assign mio_periph_insel_regwen_30_wd = reg_wdata[0];
14953
14954 assign mio_periph_insel_regwen_31_we = addr_hit[31] & reg_we & ~wr_err;
14955 assign mio_periph_insel_regwen_31_wd = reg_wdata[0];
14956
14957 assign mio_periph_insel_regwen_32_we = addr_hit[32] & reg_we & ~wr_err;
14958 assign mio_periph_insel_regwen_32_wd = reg_wdata[0];
14959
14960 assign mio_periph_insel_0_we = addr_hit[33] & reg_we & ~wr_err;
14961 assign mio_periph_insel_0_wd = reg_wdata[5:0];
14962
14963 assign mio_periph_insel_1_we = addr_hit[34] & reg_we & ~wr_err;
14964 assign mio_periph_insel_1_wd = reg_wdata[5:0];
14965
14966 assign mio_periph_insel_2_we = addr_hit[35] & reg_we & ~wr_err;
14967 assign mio_periph_insel_2_wd = reg_wdata[5:0];
14968
14969 assign mio_periph_insel_3_we = addr_hit[36] & reg_we & ~wr_err;
14970 assign mio_periph_insel_3_wd = reg_wdata[5:0];
14971
14972 assign mio_periph_insel_4_we = addr_hit[37] & reg_we & ~wr_err;
14973 assign mio_periph_insel_4_wd = reg_wdata[5:0];
14974
14975 assign mio_periph_insel_5_we = addr_hit[38] & reg_we & ~wr_err;
14976 assign mio_periph_insel_5_wd = reg_wdata[5:0];
14977
14978 assign mio_periph_insel_6_we = addr_hit[39] & reg_we & ~wr_err;
14979 assign mio_periph_insel_6_wd = reg_wdata[5:0];
14980
14981 assign mio_periph_insel_7_we = addr_hit[40] & reg_we & ~wr_err;
14982 assign mio_periph_insel_7_wd = reg_wdata[5:0];
14983
14984 assign mio_periph_insel_8_we = addr_hit[41] & reg_we & ~wr_err;
14985 assign mio_periph_insel_8_wd = reg_wdata[5:0];
14986
14987 assign mio_periph_insel_9_we = addr_hit[42] & reg_we & ~wr_err;
14988 assign mio_periph_insel_9_wd = reg_wdata[5:0];
14989
14990 assign mio_periph_insel_10_we = addr_hit[43] & reg_we & ~wr_err;
14991 assign mio_periph_insel_10_wd = reg_wdata[5:0];
14992
14993 assign mio_periph_insel_11_we = addr_hit[44] & reg_we & ~wr_err;
14994 assign mio_periph_insel_11_wd = reg_wdata[5:0];
14995
14996 assign mio_periph_insel_12_we = addr_hit[45] & reg_we & ~wr_err;
14997 assign mio_periph_insel_12_wd = reg_wdata[5:0];
14998
14999 assign mio_periph_insel_13_we = addr_hit[46] & reg_we & ~wr_err;
15000 assign mio_periph_insel_13_wd = reg_wdata[5:0];
15001
15002 assign mio_periph_insel_14_we = addr_hit[47] & reg_we & ~wr_err;
15003 assign mio_periph_insel_14_wd = reg_wdata[5:0];
15004
15005 assign mio_periph_insel_15_we = addr_hit[48] & reg_we & ~wr_err;
15006 assign mio_periph_insel_15_wd = reg_wdata[5:0];
15007
15008 assign mio_periph_insel_16_we = addr_hit[49] & reg_we & ~wr_err;
15009 assign mio_periph_insel_16_wd = reg_wdata[5:0];
15010
15011 assign mio_periph_insel_17_we = addr_hit[50] & reg_we & ~wr_err;
15012 assign mio_periph_insel_17_wd = reg_wdata[5:0];
15013
15014 assign mio_periph_insel_18_we = addr_hit[51] & reg_we & ~wr_err;
15015 assign mio_periph_insel_18_wd = reg_wdata[5:0];
15016
15017 assign mio_periph_insel_19_we = addr_hit[52] & reg_we & ~wr_err;
15018 assign mio_periph_insel_19_wd = reg_wdata[5:0];
15019
15020 assign mio_periph_insel_20_we = addr_hit[53] & reg_we & ~wr_err;
15021 assign mio_periph_insel_20_wd = reg_wdata[5:0];
15022
15023 assign mio_periph_insel_21_we = addr_hit[54] & reg_we & ~wr_err;
15024 assign mio_periph_insel_21_wd = reg_wdata[5:0];
15025
15026 assign mio_periph_insel_22_we = addr_hit[55] & reg_we & ~wr_err;
15027 assign mio_periph_insel_22_wd = reg_wdata[5:0];
15028
15029 assign mio_periph_insel_23_we = addr_hit[56] & reg_we & ~wr_err;
15030 assign mio_periph_insel_23_wd = reg_wdata[5:0];
15031
15032 assign mio_periph_insel_24_we = addr_hit[57] & reg_we & ~wr_err;
15033 assign mio_periph_insel_24_wd = reg_wdata[5:0];
15034
15035 assign mio_periph_insel_25_we = addr_hit[58] & reg_we & ~wr_err;
15036 assign mio_periph_insel_25_wd = reg_wdata[5:0];
15037
15038 assign mio_periph_insel_26_we = addr_hit[59] & reg_we & ~wr_err;
15039 assign mio_periph_insel_26_wd = reg_wdata[5:0];
15040
15041 assign mio_periph_insel_27_we = addr_hit[60] & reg_we & ~wr_err;
15042 assign mio_periph_insel_27_wd = reg_wdata[5:0];
15043
15044 assign mio_periph_insel_28_we = addr_hit[61] & reg_we & ~wr_err;
15045 assign mio_periph_insel_28_wd = reg_wdata[5:0];
15046
15047 assign mio_periph_insel_29_we = addr_hit[62] & reg_we & ~wr_err;
15048 assign mio_periph_insel_29_wd = reg_wdata[5:0];
15049
15050 assign mio_periph_insel_30_we = addr_hit[63] & reg_we & ~wr_err;
15051 assign mio_periph_insel_30_wd = reg_wdata[5:0];
15052
15053 assign mio_periph_insel_31_we = addr_hit[64] & reg_we & ~wr_err;
15054 assign mio_periph_insel_31_wd = reg_wdata[5:0];
15055
15056 assign mio_periph_insel_32_we = addr_hit[65] & reg_we & ~wr_err;
15057 assign mio_periph_insel_32_wd = reg_wdata[5:0];
15058
15059 assign mio_outsel_regwen_0_we = addr_hit[66] & reg_we & ~wr_err;
15060 assign mio_outsel_regwen_0_wd = reg_wdata[0];
15061
15062 assign mio_outsel_regwen_1_we = addr_hit[67] & reg_we & ~wr_err;
15063 assign mio_outsel_regwen_1_wd = reg_wdata[0];
15064
15065 assign mio_outsel_regwen_2_we = addr_hit[68] & reg_we & ~wr_err;
15066 assign mio_outsel_regwen_2_wd = reg_wdata[0];
15067
15068 assign mio_outsel_regwen_3_we = addr_hit[69] & reg_we & ~wr_err;
15069 assign mio_outsel_regwen_3_wd = reg_wdata[0];
15070
15071 assign mio_outsel_regwen_4_we = addr_hit[70] & reg_we & ~wr_err;
15072 assign mio_outsel_regwen_4_wd = reg_wdata[0];
15073
15074 assign mio_outsel_regwen_5_we = addr_hit[71] & reg_we & ~wr_err;
15075 assign mio_outsel_regwen_5_wd = reg_wdata[0];
15076
15077 assign mio_outsel_regwen_6_we = addr_hit[72] & reg_we & ~wr_err;
15078 assign mio_outsel_regwen_6_wd = reg_wdata[0];
15079
15080 assign mio_outsel_regwen_7_we = addr_hit[73] & reg_we & ~wr_err;
15081 assign mio_outsel_regwen_7_wd = reg_wdata[0];
15082
15083 assign mio_outsel_regwen_8_we = addr_hit[74] & reg_we & ~wr_err;
15084 assign mio_outsel_regwen_8_wd = reg_wdata[0];
15085
15086 assign mio_outsel_regwen_9_we = addr_hit[75] & reg_we & ~wr_err;
15087 assign mio_outsel_regwen_9_wd = reg_wdata[0];
15088
15089 assign mio_outsel_regwen_10_we = addr_hit[76] & reg_we & ~wr_err;
15090 assign mio_outsel_regwen_10_wd = reg_wdata[0];
15091
15092 assign mio_outsel_regwen_11_we = addr_hit[77] & reg_we & ~wr_err;
15093 assign mio_outsel_regwen_11_wd = reg_wdata[0];
15094
15095 assign mio_outsel_regwen_12_we = addr_hit[78] & reg_we & ~wr_err;
15096 assign mio_outsel_regwen_12_wd = reg_wdata[0];
15097
15098 assign mio_outsel_regwen_13_we = addr_hit[79] & reg_we & ~wr_err;
15099 assign mio_outsel_regwen_13_wd = reg_wdata[0];
15100
15101 assign mio_outsel_regwen_14_we = addr_hit[80] & reg_we & ~wr_err;
15102 assign mio_outsel_regwen_14_wd = reg_wdata[0];
15103
15104 assign mio_outsel_regwen_15_we = addr_hit[81] & reg_we & ~wr_err;
15105 assign mio_outsel_regwen_15_wd = reg_wdata[0];
15106
15107 assign mio_outsel_regwen_16_we = addr_hit[82] & reg_we & ~wr_err;
15108 assign mio_outsel_regwen_16_wd = reg_wdata[0];
15109
15110 assign mio_outsel_regwen_17_we = addr_hit[83] & reg_we & ~wr_err;
15111 assign mio_outsel_regwen_17_wd = reg_wdata[0];
15112
15113 assign mio_outsel_regwen_18_we = addr_hit[84] & reg_we & ~wr_err;
15114 assign mio_outsel_regwen_18_wd = reg_wdata[0];
15115
15116 assign mio_outsel_regwen_19_we = addr_hit[85] & reg_we & ~wr_err;
15117 assign mio_outsel_regwen_19_wd = reg_wdata[0];
15118
15119 assign mio_outsel_regwen_20_we = addr_hit[86] & reg_we & ~wr_err;
15120 assign mio_outsel_regwen_20_wd = reg_wdata[0];
15121
15122 assign mio_outsel_regwen_21_we = addr_hit[87] & reg_we & ~wr_err;
15123 assign mio_outsel_regwen_21_wd = reg_wdata[0];
15124
15125 assign mio_outsel_regwen_22_we = addr_hit[88] & reg_we & ~wr_err;
15126 assign mio_outsel_regwen_22_wd = reg_wdata[0];
15127
15128 assign mio_outsel_regwen_23_we = addr_hit[89] & reg_we & ~wr_err;
15129 assign mio_outsel_regwen_23_wd = reg_wdata[0];
15130
15131 assign mio_outsel_regwen_24_we = addr_hit[90] & reg_we & ~wr_err;
15132 assign mio_outsel_regwen_24_wd = reg_wdata[0];
15133
15134 assign mio_outsel_regwen_25_we = addr_hit[91] & reg_we & ~wr_err;
15135 assign mio_outsel_regwen_25_wd = reg_wdata[0];
15136
15137 assign mio_outsel_regwen_26_we = addr_hit[92] & reg_we & ~wr_err;
15138 assign mio_outsel_regwen_26_wd = reg_wdata[0];
15139
15140 assign mio_outsel_regwen_27_we = addr_hit[93] & reg_we & ~wr_err;
15141 assign mio_outsel_regwen_27_wd = reg_wdata[0];
15142
15143 assign mio_outsel_regwen_28_we = addr_hit[94] & reg_we & ~wr_err;
15144 assign mio_outsel_regwen_28_wd = reg_wdata[0];
15145
15146 assign mio_outsel_regwen_29_we = addr_hit[95] & reg_we & ~wr_err;
15147 assign mio_outsel_regwen_29_wd = reg_wdata[0];
15148
15149 assign mio_outsel_regwen_30_we = addr_hit[96] & reg_we & ~wr_err;
15150 assign mio_outsel_regwen_30_wd = reg_wdata[0];
15151
15152 assign mio_outsel_regwen_31_we = addr_hit[97] & reg_we & ~wr_err;
15153 assign mio_outsel_regwen_31_wd = reg_wdata[0];
15154
15155 assign mio_outsel_0_we = addr_hit[98] & reg_we & ~wr_err;
15156 assign mio_outsel_0_wd = reg_wdata[5:0];
15157
15158 assign mio_outsel_1_we = addr_hit[99] & reg_we & ~wr_err;
15159 assign mio_outsel_1_wd = reg_wdata[5:0];
15160
15161 assign mio_outsel_2_we = addr_hit[100] & reg_we & ~wr_err;
15162 assign mio_outsel_2_wd = reg_wdata[5:0];
15163
15164 assign mio_outsel_3_we = addr_hit[101] & reg_we & ~wr_err;
15165 assign mio_outsel_3_wd = reg_wdata[5:0];
15166
15167 assign mio_outsel_4_we = addr_hit[102] & reg_we & ~wr_err;
15168 assign mio_outsel_4_wd = reg_wdata[5:0];
15169
15170 assign mio_outsel_5_we = addr_hit[103] & reg_we & ~wr_err;
15171 assign mio_outsel_5_wd = reg_wdata[5:0];
15172
15173 assign mio_outsel_6_we = addr_hit[104] & reg_we & ~wr_err;
15174 assign mio_outsel_6_wd = reg_wdata[5:0];
15175
15176 assign mio_outsel_7_we = addr_hit[105] & reg_we & ~wr_err;
15177 assign mio_outsel_7_wd = reg_wdata[5:0];
15178
15179 assign mio_outsel_8_we = addr_hit[106] & reg_we & ~wr_err;
15180 assign mio_outsel_8_wd = reg_wdata[5:0];
15181
15182 assign mio_outsel_9_we = addr_hit[107] & reg_we & ~wr_err;
15183 assign mio_outsel_9_wd = reg_wdata[5:0];
15184
15185 assign mio_outsel_10_we = addr_hit[108] & reg_we & ~wr_err;
15186 assign mio_outsel_10_wd = reg_wdata[5:0];
15187
15188 assign mio_outsel_11_we = addr_hit[109] & reg_we & ~wr_err;
15189 assign mio_outsel_11_wd = reg_wdata[5:0];
15190
15191 assign mio_outsel_12_we = addr_hit[110] & reg_we & ~wr_err;
15192 assign mio_outsel_12_wd = reg_wdata[5:0];
15193
15194 assign mio_outsel_13_we = addr_hit[111] & reg_we & ~wr_err;
15195 assign mio_outsel_13_wd = reg_wdata[5:0];
15196
15197 assign mio_outsel_14_we = addr_hit[112] & reg_we & ~wr_err;
15198 assign mio_outsel_14_wd = reg_wdata[5:0];
15199
15200 assign mio_outsel_15_we = addr_hit[113] & reg_we & ~wr_err;
15201 assign mio_outsel_15_wd = reg_wdata[5:0];
15202
15203 assign mio_outsel_16_we = addr_hit[114] & reg_we & ~wr_err;
15204 assign mio_outsel_16_wd = reg_wdata[5:0];
15205
15206 assign mio_outsel_17_we = addr_hit[115] & reg_we & ~wr_err;
15207 assign mio_outsel_17_wd = reg_wdata[5:0];
15208
15209 assign mio_outsel_18_we = addr_hit[116] & reg_we & ~wr_err;
15210 assign mio_outsel_18_wd = reg_wdata[5:0];
15211
15212 assign mio_outsel_19_we = addr_hit[117] & reg_we & ~wr_err;
15213 assign mio_outsel_19_wd = reg_wdata[5:0];
15214
15215 assign mio_outsel_20_we = addr_hit[118] & reg_we & ~wr_err;
15216 assign mio_outsel_20_wd = reg_wdata[5:0];
15217
15218 assign mio_outsel_21_we = addr_hit[119] & reg_we & ~wr_err;
15219 assign mio_outsel_21_wd = reg_wdata[5:0];
15220
15221 assign mio_outsel_22_we = addr_hit[120] & reg_we & ~wr_err;
15222 assign mio_outsel_22_wd = reg_wdata[5:0];
15223
15224 assign mio_outsel_23_we = addr_hit[121] & reg_we & ~wr_err;
15225 assign mio_outsel_23_wd = reg_wdata[5:0];
15226
15227 assign mio_outsel_24_we = addr_hit[122] & reg_we & ~wr_err;
15228 assign mio_outsel_24_wd = reg_wdata[5:0];
15229
15230 assign mio_outsel_25_we = addr_hit[123] & reg_we & ~wr_err;
15231 assign mio_outsel_25_wd = reg_wdata[5:0];
15232
15233 assign mio_outsel_26_we = addr_hit[124] & reg_we & ~wr_err;
15234 assign mio_outsel_26_wd = reg_wdata[5:0];
15235
15236 assign mio_outsel_27_we = addr_hit[125] & reg_we & ~wr_err;
15237 assign mio_outsel_27_wd = reg_wdata[5:0];
15238
15239 assign mio_outsel_28_we = addr_hit[126] & reg_we & ~wr_err;
15240 assign mio_outsel_28_wd = reg_wdata[5:0];
15241
15242 assign mio_outsel_29_we = addr_hit[127] & reg_we & ~wr_err;
15243 assign mio_outsel_29_wd = reg_wdata[5:0];
15244
15245 assign mio_outsel_30_we = addr_hit[128] & reg_we & ~wr_err;
15246 assign mio_outsel_30_wd = reg_wdata[5:0];
15247
15248 assign mio_outsel_31_we = addr_hit[129] & reg_we & ~wr_err;
15249 assign mio_outsel_31_wd = reg_wdata[5:0];
15250
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015251 assign mio_pad_attr_regwen_0_we = addr_hit[130] & reg_we & ~wr_err;
15252 assign mio_pad_attr_regwen_0_wd = reg_wdata[0];
15253
15254 assign mio_pad_attr_regwen_1_we = addr_hit[131] & reg_we & ~wr_err;
15255 assign mio_pad_attr_regwen_1_wd = reg_wdata[0];
15256
15257 assign mio_pad_attr_regwen_2_we = addr_hit[132] & reg_we & ~wr_err;
15258 assign mio_pad_attr_regwen_2_wd = reg_wdata[0];
15259
15260 assign mio_pad_attr_regwen_3_we = addr_hit[133] & reg_we & ~wr_err;
15261 assign mio_pad_attr_regwen_3_wd = reg_wdata[0];
15262
15263 assign mio_pad_attr_regwen_4_we = addr_hit[134] & reg_we & ~wr_err;
15264 assign mio_pad_attr_regwen_4_wd = reg_wdata[0];
15265
15266 assign mio_pad_attr_regwen_5_we = addr_hit[135] & reg_we & ~wr_err;
15267 assign mio_pad_attr_regwen_5_wd = reg_wdata[0];
15268
15269 assign mio_pad_attr_regwen_6_we = addr_hit[136] & reg_we & ~wr_err;
15270 assign mio_pad_attr_regwen_6_wd = reg_wdata[0];
15271
15272 assign mio_pad_attr_regwen_7_we = addr_hit[137] & reg_we & ~wr_err;
15273 assign mio_pad_attr_regwen_7_wd = reg_wdata[0];
15274
15275 assign mio_pad_attr_regwen_8_we = addr_hit[138] & reg_we & ~wr_err;
15276 assign mio_pad_attr_regwen_8_wd = reg_wdata[0];
15277
15278 assign mio_pad_attr_regwen_9_we = addr_hit[139] & reg_we & ~wr_err;
15279 assign mio_pad_attr_regwen_9_wd = reg_wdata[0];
15280
15281 assign mio_pad_attr_regwen_10_we = addr_hit[140] & reg_we & ~wr_err;
15282 assign mio_pad_attr_regwen_10_wd = reg_wdata[0];
15283
15284 assign mio_pad_attr_regwen_11_we = addr_hit[141] & reg_we & ~wr_err;
15285 assign mio_pad_attr_regwen_11_wd = reg_wdata[0];
15286
15287 assign mio_pad_attr_regwen_12_we = addr_hit[142] & reg_we & ~wr_err;
15288 assign mio_pad_attr_regwen_12_wd = reg_wdata[0];
15289
15290 assign mio_pad_attr_regwen_13_we = addr_hit[143] & reg_we & ~wr_err;
15291 assign mio_pad_attr_regwen_13_wd = reg_wdata[0];
15292
15293 assign mio_pad_attr_regwen_14_we = addr_hit[144] & reg_we & ~wr_err;
15294 assign mio_pad_attr_regwen_14_wd = reg_wdata[0];
15295
15296 assign mio_pad_attr_regwen_15_we = addr_hit[145] & reg_we & ~wr_err;
15297 assign mio_pad_attr_regwen_15_wd = reg_wdata[0];
15298
15299 assign mio_pad_attr_regwen_16_we = addr_hit[146] & reg_we & ~wr_err;
15300 assign mio_pad_attr_regwen_16_wd = reg_wdata[0];
15301
15302 assign mio_pad_attr_regwen_17_we = addr_hit[147] & reg_we & ~wr_err;
15303 assign mio_pad_attr_regwen_17_wd = reg_wdata[0];
15304
15305 assign mio_pad_attr_regwen_18_we = addr_hit[148] & reg_we & ~wr_err;
15306 assign mio_pad_attr_regwen_18_wd = reg_wdata[0];
15307
15308 assign mio_pad_attr_regwen_19_we = addr_hit[149] & reg_we & ~wr_err;
15309 assign mio_pad_attr_regwen_19_wd = reg_wdata[0];
15310
15311 assign mio_pad_attr_regwen_20_we = addr_hit[150] & reg_we & ~wr_err;
15312 assign mio_pad_attr_regwen_20_wd = reg_wdata[0];
15313
15314 assign mio_pad_attr_regwen_21_we = addr_hit[151] & reg_we & ~wr_err;
15315 assign mio_pad_attr_regwen_21_wd = reg_wdata[0];
15316
15317 assign mio_pad_attr_regwen_22_we = addr_hit[152] & reg_we & ~wr_err;
15318 assign mio_pad_attr_regwen_22_wd = reg_wdata[0];
15319
15320 assign mio_pad_attr_regwen_23_we = addr_hit[153] & reg_we & ~wr_err;
15321 assign mio_pad_attr_regwen_23_wd = reg_wdata[0];
15322
15323 assign mio_pad_attr_regwen_24_we = addr_hit[154] & reg_we & ~wr_err;
15324 assign mio_pad_attr_regwen_24_wd = reg_wdata[0];
15325
15326 assign mio_pad_attr_regwen_25_we = addr_hit[155] & reg_we & ~wr_err;
15327 assign mio_pad_attr_regwen_25_wd = reg_wdata[0];
15328
15329 assign mio_pad_attr_regwen_26_we = addr_hit[156] & reg_we & ~wr_err;
15330 assign mio_pad_attr_regwen_26_wd = reg_wdata[0];
15331
15332 assign mio_pad_attr_regwen_27_we = addr_hit[157] & reg_we & ~wr_err;
15333 assign mio_pad_attr_regwen_27_wd = reg_wdata[0];
15334
15335 assign mio_pad_attr_regwen_28_we = addr_hit[158] & reg_we & ~wr_err;
15336 assign mio_pad_attr_regwen_28_wd = reg_wdata[0];
15337
15338 assign mio_pad_attr_regwen_29_we = addr_hit[159] & reg_we & ~wr_err;
15339 assign mio_pad_attr_regwen_29_wd = reg_wdata[0];
15340
15341 assign mio_pad_attr_regwen_30_we = addr_hit[160] & reg_we & ~wr_err;
15342 assign mio_pad_attr_regwen_30_wd = reg_wdata[0];
15343
15344 assign mio_pad_attr_regwen_31_we = addr_hit[161] & reg_we & ~wr_err;
15345 assign mio_pad_attr_regwen_31_wd = reg_wdata[0];
15346
15347 assign mio_pad_attr_0_we = addr_hit[162] & reg_we & ~wr_err;
15348 assign mio_pad_attr_0_wd = reg_wdata[9:0];
15349 assign mio_pad_attr_0_re = addr_hit[162] && reg_re;
15350
15351 assign mio_pad_attr_1_we = addr_hit[163] & reg_we & ~wr_err;
15352 assign mio_pad_attr_1_wd = reg_wdata[9:0];
15353 assign mio_pad_attr_1_re = addr_hit[163] && reg_re;
15354
15355 assign mio_pad_attr_2_we = addr_hit[164] & reg_we & ~wr_err;
15356 assign mio_pad_attr_2_wd = reg_wdata[9:0];
15357 assign mio_pad_attr_2_re = addr_hit[164] && reg_re;
15358
15359 assign mio_pad_attr_3_we = addr_hit[165] & reg_we & ~wr_err;
15360 assign mio_pad_attr_3_wd = reg_wdata[9:0];
15361 assign mio_pad_attr_3_re = addr_hit[165] && reg_re;
15362
15363 assign mio_pad_attr_4_we = addr_hit[166] & reg_we & ~wr_err;
15364 assign mio_pad_attr_4_wd = reg_wdata[9:0];
15365 assign mio_pad_attr_4_re = addr_hit[166] && reg_re;
15366
15367 assign mio_pad_attr_5_we = addr_hit[167] & reg_we & ~wr_err;
15368 assign mio_pad_attr_5_wd = reg_wdata[9:0];
15369 assign mio_pad_attr_5_re = addr_hit[167] && reg_re;
15370
15371 assign mio_pad_attr_6_we = addr_hit[168] & reg_we & ~wr_err;
15372 assign mio_pad_attr_6_wd = reg_wdata[9:0];
15373 assign mio_pad_attr_6_re = addr_hit[168] && reg_re;
15374
15375 assign mio_pad_attr_7_we = addr_hit[169] & reg_we & ~wr_err;
15376 assign mio_pad_attr_7_wd = reg_wdata[9:0];
15377 assign mio_pad_attr_7_re = addr_hit[169] && reg_re;
15378
15379 assign mio_pad_attr_8_we = addr_hit[170] & reg_we & ~wr_err;
15380 assign mio_pad_attr_8_wd = reg_wdata[9:0];
15381 assign mio_pad_attr_8_re = addr_hit[170] && reg_re;
15382
15383 assign mio_pad_attr_9_we = addr_hit[171] & reg_we & ~wr_err;
15384 assign mio_pad_attr_9_wd = reg_wdata[9:0];
15385 assign mio_pad_attr_9_re = addr_hit[171] && reg_re;
15386
15387 assign mio_pad_attr_10_we = addr_hit[172] & reg_we & ~wr_err;
15388 assign mio_pad_attr_10_wd = reg_wdata[9:0];
15389 assign mio_pad_attr_10_re = addr_hit[172] && reg_re;
15390
15391 assign mio_pad_attr_11_we = addr_hit[173] & reg_we & ~wr_err;
15392 assign mio_pad_attr_11_wd = reg_wdata[9:0];
15393 assign mio_pad_attr_11_re = addr_hit[173] && reg_re;
15394
15395 assign mio_pad_attr_12_we = addr_hit[174] & reg_we & ~wr_err;
15396 assign mio_pad_attr_12_wd = reg_wdata[9:0];
15397 assign mio_pad_attr_12_re = addr_hit[174] && reg_re;
15398
15399 assign mio_pad_attr_13_we = addr_hit[175] & reg_we & ~wr_err;
15400 assign mio_pad_attr_13_wd = reg_wdata[9:0];
15401 assign mio_pad_attr_13_re = addr_hit[175] && reg_re;
15402
15403 assign mio_pad_attr_14_we = addr_hit[176] & reg_we & ~wr_err;
15404 assign mio_pad_attr_14_wd = reg_wdata[9:0];
15405 assign mio_pad_attr_14_re = addr_hit[176] && reg_re;
15406
15407 assign mio_pad_attr_15_we = addr_hit[177] & reg_we & ~wr_err;
15408 assign mio_pad_attr_15_wd = reg_wdata[9:0];
15409 assign mio_pad_attr_15_re = addr_hit[177] && reg_re;
15410
15411 assign mio_pad_attr_16_we = addr_hit[178] & reg_we & ~wr_err;
15412 assign mio_pad_attr_16_wd = reg_wdata[9:0];
15413 assign mio_pad_attr_16_re = addr_hit[178] && reg_re;
15414
15415 assign mio_pad_attr_17_we = addr_hit[179] & reg_we & ~wr_err;
15416 assign mio_pad_attr_17_wd = reg_wdata[9:0];
15417 assign mio_pad_attr_17_re = addr_hit[179] && reg_re;
15418
15419 assign mio_pad_attr_18_we = addr_hit[180] & reg_we & ~wr_err;
15420 assign mio_pad_attr_18_wd = reg_wdata[9:0];
15421 assign mio_pad_attr_18_re = addr_hit[180] && reg_re;
15422
15423 assign mio_pad_attr_19_we = addr_hit[181] & reg_we & ~wr_err;
15424 assign mio_pad_attr_19_wd = reg_wdata[9:0];
15425 assign mio_pad_attr_19_re = addr_hit[181] && reg_re;
15426
15427 assign mio_pad_attr_20_we = addr_hit[182] & reg_we & ~wr_err;
15428 assign mio_pad_attr_20_wd = reg_wdata[9:0];
15429 assign mio_pad_attr_20_re = addr_hit[182] && reg_re;
15430
15431 assign mio_pad_attr_21_we = addr_hit[183] & reg_we & ~wr_err;
15432 assign mio_pad_attr_21_wd = reg_wdata[9:0];
15433 assign mio_pad_attr_21_re = addr_hit[183] && reg_re;
15434
15435 assign mio_pad_attr_22_we = addr_hit[184] & reg_we & ~wr_err;
15436 assign mio_pad_attr_22_wd = reg_wdata[9:0];
15437 assign mio_pad_attr_22_re = addr_hit[184] && reg_re;
15438
15439 assign mio_pad_attr_23_we = addr_hit[185] & reg_we & ~wr_err;
15440 assign mio_pad_attr_23_wd = reg_wdata[9:0];
15441 assign mio_pad_attr_23_re = addr_hit[185] && reg_re;
15442
15443 assign mio_pad_attr_24_we = addr_hit[186] & reg_we & ~wr_err;
15444 assign mio_pad_attr_24_wd = reg_wdata[9:0];
15445 assign mio_pad_attr_24_re = addr_hit[186] && reg_re;
15446
15447 assign mio_pad_attr_25_we = addr_hit[187] & reg_we & ~wr_err;
15448 assign mio_pad_attr_25_wd = reg_wdata[9:0];
15449 assign mio_pad_attr_25_re = addr_hit[187] && reg_re;
15450
15451 assign mio_pad_attr_26_we = addr_hit[188] & reg_we & ~wr_err;
15452 assign mio_pad_attr_26_wd = reg_wdata[9:0];
15453 assign mio_pad_attr_26_re = addr_hit[188] && reg_re;
15454
15455 assign mio_pad_attr_27_we = addr_hit[189] & reg_we & ~wr_err;
15456 assign mio_pad_attr_27_wd = reg_wdata[9:0];
15457 assign mio_pad_attr_27_re = addr_hit[189] && reg_re;
15458
15459 assign mio_pad_attr_28_we = addr_hit[190] & reg_we & ~wr_err;
15460 assign mio_pad_attr_28_wd = reg_wdata[9:0];
15461 assign mio_pad_attr_28_re = addr_hit[190] && reg_re;
15462
15463 assign mio_pad_attr_29_we = addr_hit[191] & reg_we & ~wr_err;
15464 assign mio_pad_attr_29_wd = reg_wdata[9:0];
15465 assign mio_pad_attr_29_re = addr_hit[191] && reg_re;
15466
15467 assign mio_pad_attr_30_we = addr_hit[192] & reg_we & ~wr_err;
15468 assign mio_pad_attr_30_wd = reg_wdata[9:0];
15469 assign mio_pad_attr_30_re = addr_hit[192] && reg_re;
15470
15471 assign mio_pad_attr_31_we = addr_hit[193] & reg_we & ~wr_err;
15472 assign mio_pad_attr_31_wd = reg_wdata[9:0];
15473 assign mio_pad_attr_31_re = addr_hit[193] && reg_re;
15474
15475 assign dio_pad_attr_regwen_0_we = addr_hit[194] & reg_we & ~wr_err;
15476 assign dio_pad_attr_regwen_0_wd = reg_wdata[0];
15477
15478 assign dio_pad_attr_regwen_1_we = addr_hit[195] & reg_we & ~wr_err;
15479 assign dio_pad_attr_regwen_1_wd = reg_wdata[0];
15480
15481 assign dio_pad_attr_regwen_2_we = addr_hit[196] & reg_we & ~wr_err;
15482 assign dio_pad_attr_regwen_2_wd = reg_wdata[0];
15483
15484 assign dio_pad_attr_regwen_3_we = addr_hit[197] & reg_we & ~wr_err;
15485 assign dio_pad_attr_regwen_3_wd = reg_wdata[0];
15486
15487 assign dio_pad_attr_regwen_4_we = addr_hit[198] & reg_we & ~wr_err;
15488 assign dio_pad_attr_regwen_4_wd = reg_wdata[0];
15489
15490 assign dio_pad_attr_regwen_5_we = addr_hit[199] & reg_we & ~wr_err;
15491 assign dio_pad_attr_regwen_5_wd = reg_wdata[0];
15492
15493 assign dio_pad_attr_regwen_6_we = addr_hit[200] & reg_we & ~wr_err;
15494 assign dio_pad_attr_regwen_6_wd = reg_wdata[0];
15495
15496 assign dio_pad_attr_regwen_7_we = addr_hit[201] & reg_we & ~wr_err;
15497 assign dio_pad_attr_regwen_7_wd = reg_wdata[0];
15498
15499 assign dio_pad_attr_regwen_8_we = addr_hit[202] & reg_we & ~wr_err;
15500 assign dio_pad_attr_regwen_8_wd = reg_wdata[0];
15501
15502 assign dio_pad_attr_regwen_9_we = addr_hit[203] & reg_we & ~wr_err;
15503 assign dio_pad_attr_regwen_9_wd = reg_wdata[0];
15504
15505 assign dio_pad_attr_regwen_10_we = addr_hit[204] & reg_we & ~wr_err;
15506 assign dio_pad_attr_regwen_10_wd = reg_wdata[0];
15507
15508 assign dio_pad_attr_regwen_11_we = addr_hit[205] & reg_we & ~wr_err;
15509 assign dio_pad_attr_regwen_11_wd = reg_wdata[0];
15510
15511 assign dio_pad_attr_regwen_12_we = addr_hit[206] & reg_we & ~wr_err;
15512 assign dio_pad_attr_regwen_12_wd = reg_wdata[0];
15513
15514 assign dio_pad_attr_regwen_13_we = addr_hit[207] & reg_we & ~wr_err;
15515 assign dio_pad_attr_regwen_13_wd = reg_wdata[0];
15516
15517 assign dio_pad_attr_regwen_14_we = addr_hit[208] & reg_we & ~wr_err;
15518 assign dio_pad_attr_regwen_14_wd = reg_wdata[0];
15519
15520 assign dio_pad_attr_regwen_15_we = addr_hit[209] & reg_we & ~wr_err;
15521 assign dio_pad_attr_regwen_15_wd = reg_wdata[0];
15522
15523 assign dio_pad_attr_0_we = addr_hit[210] & reg_we & ~wr_err;
15524 assign dio_pad_attr_0_wd = reg_wdata[9:0];
15525 assign dio_pad_attr_0_re = addr_hit[210] && reg_re;
15526
15527 assign dio_pad_attr_1_we = addr_hit[211] & reg_we & ~wr_err;
15528 assign dio_pad_attr_1_wd = reg_wdata[9:0];
15529 assign dio_pad_attr_1_re = addr_hit[211] && reg_re;
15530
15531 assign dio_pad_attr_2_we = addr_hit[212] & reg_we & ~wr_err;
15532 assign dio_pad_attr_2_wd = reg_wdata[9:0];
15533 assign dio_pad_attr_2_re = addr_hit[212] && reg_re;
15534
15535 assign dio_pad_attr_3_we = addr_hit[213] & reg_we & ~wr_err;
15536 assign dio_pad_attr_3_wd = reg_wdata[9:0];
15537 assign dio_pad_attr_3_re = addr_hit[213] && reg_re;
15538
15539 assign dio_pad_attr_4_we = addr_hit[214] & reg_we & ~wr_err;
15540 assign dio_pad_attr_4_wd = reg_wdata[9:0];
15541 assign dio_pad_attr_4_re = addr_hit[214] && reg_re;
15542
15543 assign dio_pad_attr_5_we = addr_hit[215] & reg_we & ~wr_err;
15544 assign dio_pad_attr_5_wd = reg_wdata[9:0];
15545 assign dio_pad_attr_5_re = addr_hit[215] && reg_re;
15546
15547 assign dio_pad_attr_6_we = addr_hit[216] & reg_we & ~wr_err;
15548 assign dio_pad_attr_6_wd = reg_wdata[9:0];
15549 assign dio_pad_attr_6_re = addr_hit[216] && reg_re;
15550
15551 assign dio_pad_attr_7_we = addr_hit[217] & reg_we & ~wr_err;
15552 assign dio_pad_attr_7_wd = reg_wdata[9:0];
15553 assign dio_pad_attr_7_re = addr_hit[217] && reg_re;
15554
15555 assign dio_pad_attr_8_we = addr_hit[218] & reg_we & ~wr_err;
15556 assign dio_pad_attr_8_wd = reg_wdata[9:0];
15557 assign dio_pad_attr_8_re = addr_hit[218] && reg_re;
15558
15559 assign dio_pad_attr_9_we = addr_hit[219] & reg_we & ~wr_err;
15560 assign dio_pad_attr_9_wd = reg_wdata[9:0];
15561 assign dio_pad_attr_9_re = addr_hit[219] && reg_re;
15562
15563 assign dio_pad_attr_10_we = addr_hit[220] & reg_we & ~wr_err;
15564 assign dio_pad_attr_10_wd = reg_wdata[9:0];
15565 assign dio_pad_attr_10_re = addr_hit[220] && reg_re;
15566
15567 assign dio_pad_attr_11_we = addr_hit[221] & reg_we & ~wr_err;
15568 assign dio_pad_attr_11_wd = reg_wdata[9:0];
15569 assign dio_pad_attr_11_re = addr_hit[221] && reg_re;
15570
15571 assign dio_pad_attr_12_we = addr_hit[222] & reg_we & ~wr_err;
15572 assign dio_pad_attr_12_wd = reg_wdata[9:0];
15573 assign dio_pad_attr_12_re = addr_hit[222] && reg_re;
15574
15575 assign dio_pad_attr_13_we = addr_hit[223] & reg_we & ~wr_err;
15576 assign dio_pad_attr_13_wd = reg_wdata[9:0];
15577 assign dio_pad_attr_13_re = addr_hit[223] && reg_re;
15578
15579 assign dio_pad_attr_14_we = addr_hit[224] & reg_we & ~wr_err;
15580 assign dio_pad_attr_14_wd = reg_wdata[9:0];
15581 assign dio_pad_attr_14_re = addr_hit[224] && reg_re;
15582
15583 assign dio_pad_attr_15_we = addr_hit[225] & reg_we & ~wr_err;
15584 assign dio_pad_attr_15_wd = reg_wdata[9:0];
15585 assign dio_pad_attr_15_re = addr_hit[225] && reg_re;
15586
15587 assign mio_pad_sleep_status_en_0_we = addr_hit[226] & reg_we & ~wr_err;
15588 assign mio_pad_sleep_status_en_0_wd = reg_wdata[0];
15589
15590 assign mio_pad_sleep_status_en_1_we = addr_hit[226] & reg_we & ~wr_err;
15591 assign mio_pad_sleep_status_en_1_wd = reg_wdata[1];
15592
15593 assign mio_pad_sleep_status_en_2_we = addr_hit[226] & reg_we & ~wr_err;
15594 assign mio_pad_sleep_status_en_2_wd = reg_wdata[2];
15595
15596 assign mio_pad_sleep_status_en_3_we = addr_hit[226] & reg_we & ~wr_err;
15597 assign mio_pad_sleep_status_en_3_wd = reg_wdata[3];
15598
15599 assign mio_pad_sleep_status_en_4_we = addr_hit[226] & reg_we & ~wr_err;
15600 assign mio_pad_sleep_status_en_4_wd = reg_wdata[4];
15601
15602 assign mio_pad_sleep_status_en_5_we = addr_hit[226] & reg_we & ~wr_err;
15603 assign mio_pad_sleep_status_en_5_wd = reg_wdata[5];
15604
15605 assign mio_pad_sleep_status_en_6_we = addr_hit[226] & reg_we & ~wr_err;
15606 assign mio_pad_sleep_status_en_6_wd = reg_wdata[6];
15607
15608 assign mio_pad_sleep_status_en_7_we = addr_hit[226] & reg_we & ~wr_err;
15609 assign mio_pad_sleep_status_en_7_wd = reg_wdata[7];
15610
15611 assign mio_pad_sleep_status_en_8_we = addr_hit[226] & reg_we & ~wr_err;
15612 assign mio_pad_sleep_status_en_8_wd = reg_wdata[8];
15613
15614 assign mio_pad_sleep_status_en_9_we = addr_hit[226] & reg_we & ~wr_err;
15615 assign mio_pad_sleep_status_en_9_wd = reg_wdata[9];
15616
15617 assign mio_pad_sleep_status_en_10_we = addr_hit[226] & reg_we & ~wr_err;
15618 assign mio_pad_sleep_status_en_10_wd = reg_wdata[10];
15619
15620 assign mio_pad_sleep_status_en_11_we = addr_hit[226] & reg_we & ~wr_err;
15621 assign mio_pad_sleep_status_en_11_wd = reg_wdata[11];
15622
15623 assign mio_pad_sleep_status_en_12_we = addr_hit[226] & reg_we & ~wr_err;
15624 assign mio_pad_sleep_status_en_12_wd = reg_wdata[12];
15625
15626 assign mio_pad_sleep_status_en_13_we = addr_hit[226] & reg_we & ~wr_err;
15627 assign mio_pad_sleep_status_en_13_wd = reg_wdata[13];
15628
15629 assign mio_pad_sleep_status_en_14_we = addr_hit[226] & reg_we & ~wr_err;
15630 assign mio_pad_sleep_status_en_14_wd = reg_wdata[14];
15631
15632 assign mio_pad_sleep_status_en_15_we = addr_hit[226] & reg_we & ~wr_err;
15633 assign mio_pad_sleep_status_en_15_wd = reg_wdata[15];
15634
15635 assign mio_pad_sleep_status_en_16_we = addr_hit[226] & reg_we & ~wr_err;
15636 assign mio_pad_sleep_status_en_16_wd = reg_wdata[16];
15637
15638 assign mio_pad_sleep_status_en_17_we = addr_hit[226] & reg_we & ~wr_err;
15639 assign mio_pad_sleep_status_en_17_wd = reg_wdata[17];
15640
15641 assign mio_pad_sleep_status_en_18_we = addr_hit[226] & reg_we & ~wr_err;
15642 assign mio_pad_sleep_status_en_18_wd = reg_wdata[18];
15643
15644 assign mio_pad_sleep_status_en_19_we = addr_hit[226] & reg_we & ~wr_err;
15645 assign mio_pad_sleep_status_en_19_wd = reg_wdata[19];
15646
15647 assign mio_pad_sleep_status_en_20_we = addr_hit[226] & reg_we & ~wr_err;
15648 assign mio_pad_sleep_status_en_20_wd = reg_wdata[20];
15649
15650 assign mio_pad_sleep_status_en_21_we = addr_hit[226] & reg_we & ~wr_err;
15651 assign mio_pad_sleep_status_en_21_wd = reg_wdata[21];
15652
15653 assign mio_pad_sleep_status_en_22_we = addr_hit[226] & reg_we & ~wr_err;
15654 assign mio_pad_sleep_status_en_22_wd = reg_wdata[22];
15655
15656 assign mio_pad_sleep_status_en_23_we = addr_hit[226] & reg_we & ~wr_err;
15657 assign mio_pad_sleep_status_en_23_wd = reg_wdata[23];
15658
15659 assign mio_pad_sleep_status_en_24_we = addr_hit[226] & reg_we & ~wr_err;
15660 assign mio_pad_sleep_status_en_24_wd = reg_wdata[24];
15661
15662 assign mio_pad_sleep_status_en_25_we = addr_hit[226] & reg_we & ~wr_err;
15663 assign mio_pad_sleep_status_en_25_wd = reg_wdata[25];
15664
15665 assign mio_pad_sleep_status_en_26_we = addr_hit[226] & reg_we & ~wr_err;
15666 assign mio_pad_sleep_status_en_26_wd = reg_wdata[26];
15667
15668 assign mio_pad_sleep_status_en_27_we = addr_hit[226] & reg_we & ~wr_err;
15669 assign mio_pad_sleep_status_en_27_wd = reg_wdata[27];
15670
15671 assign mio_pad_sleep_status_en_28_we = addr_hit[226] & reg_we & ~wr_err;
15672 assign mio_pad_sleep_status_en_28_wd = reg_wdata[28];
15673
15674 assign mio_pad_sleep_status_en_29_we = addr_hit[226] & reg_we & ~wr_err;
15675 assign mio_pad_sleep_status_en_29_wd = reg_wdata[29];
15676
15677 assign mio_pad_sleep_status_en_30_we = addr_hit[226] & reg_we & ~wr_err;
15678 assign mio_pad_sleep_status_en_30_wd = reg_wdata[30];
15679
15680 assign mio_pad_sleep_status_en_31_we = addr_hit[226] & reg_we & ~wr_err;
15681 assign mio_pad_sleep_status_en_31_wd = reg_wdata[31];
15682
15683 assign mio_pad_sleep_regwen_0_we = addr_hit[227] & reg_we & ~wr_err;
15684 assign mio_pad_sleep_regwen_0_wd = reg_wdata[0];
15685
15686 assign mio_pad_sleep_regwen_1_we = addr_hit[228] & reg_we & ~wr_err;
15687 assign mio_pad_sleep_regwen_1_wd = reg_wdata[0];
15688
15689 assign mio_pad_sleep_regwen_2_we = addr_hit[229] & reg_we & ~wr_err;
15690 assign mio_pad_sleep_regwen_2_wd = reg_wdata[0];
15691
15692 assign mio_pad_sleep_regwen_3_we = addr_hit[230] & reg_we & ~wr_err;
15693 assign mio_pad_sleep_regwen_3_wd = reg_wdata[0];
15694
15695 assign mio_pad_sleep_regwen_4_we = addr_hit[231] & reg_we & ~wr_err;
15696 assign mio_pad_sleep_regwen_4_wd = reg_wdata[0];
15697
15698 assign mio_pad_sleep_regwen_5_we = addr_hit[232] & reg_we & ~wr_err;
15699 assign mio_pad_sleep_regwen_5_wd = reg_wdata[0];
15700
15701 assign mio_pad_sleep_regwen_6_we = addr_hit[233] & reg_we & ~wr_err;
15702 assign mio_pad_sleep_regwen_6_wd = reg_wdata[0];
15703
15704 assign mio_pad_sleep_regwen_7_we = addr_hit[234] & reg_we & ~wr_err;
15705 assign mio_pad_sleep_regwen_7_wd = reg_wdata[0];
15706
15707 assign mio_pad_sleep_regwen_8_we = addr_hit[235] & reg_we & ~wr_err;
15708 assign mio_pad_sleep_regwen_8_wd = reg_wdata[0];
15709
15710 assign mio_pad_sleep_regwen_9_we = addr_hit[236] & reg_we & ~wr_err;
15711 assign mio_pad_sleep_regwen_9_wd = reg_wdata[0];
15712
15713 assign mio_pad_sleep_regwen_10_we = addr_hit[237] & reg_we & ~wr_err;
15714 assign mio_pad_sleep_regwen_10_wd = reg_wdata[0];
15715
15716 assign mio_pad_sleep_regwen_11_we = addr_hit[238] & reg_we & ~wr_err;
15717 assign mio_pad_sleep_regwen_11_wd = reg_wdata[0];
15718
15719 assign mio_pad_sleep_regwen_12_we = addr_hit[239] & reg_we & ~wr_err;
15720 assign mio_pad_sleep_regwen_12_wd = reg_wdata[0];
15721
15722 assign mio_pad_sleep_regwen_13_we = addr_hit[240] & reg_we & ~wr_err;
15723 assign mio_pad_sleep_regwen_13_wd = reg_wdata[0];
15724
15725 assign mio_pad_sleep_regwen_14_we = addr_hit[241] & reg_we & ~wr_err;
15726 assign mio_pad_sleep_regwen_14_wd = reg_wdata[0];
15727
15728 assign mio_pad_sleep_regwen_15_we = addr_hit[242] & reg_we & ~wr_err;
15729 assign mio_pad_sleep_regwen_15_wd = reg_wdata[0];
15730
15731 assign mio_pad_sleep_regwen_16_we = addr_hit[243] & reg_we & ~wr_err;
15732 assign mio_pad_sleep_regwen_16_wd = reg_wdata[0];
15733
15734 assign mio_pad_sleep_regwen_17_we = addr_hit[244] & reg_we & ~wr_err;
15735 assign mio_pad_sleep_regwen_17_wd = reg_wdata[0];
15736
15737 assign mio_pad_sleep_regwen_18_we = addr_hit[245] & reg_we & ~wr_err;
15738 assign mio_pad_sleep_regwen_18_wd = reg_wdata[0];
15739
15740 assign mio_pad_sleep_regwen_19_we = addr_hit[246] & reg_we & ~wr_err;
15741 assign mio_pad_sleep_regwen_19_wd = reg_wdata[0];
15742
15743 assign mio_pad_sleep_regwen_20_we = addr_hit[247] & reg_we & ~wr_err;
15744 assign mio_pad_sleep_regwen_20_wd = reg_wdata[0];
15745
15746 assign mio_pad_sleep_regwen_21_we = addr_hit[248] & reg_we & ~wr_err;
15747 assign mio_pad_sleep_regwen_21_wd = reg_wdata[0];
15748
15749 assign mio_pad_sleep_regwen_22_we = addr_hit[249] & reg_we & ~wr_err;
15750 assign mio_pad_sleep_regwen_22_wd = reg_wdata[0];
15751
15752 assign mio_pad_sleep_regwen_23_we = addr_hit[250] & reg_we & ~wr_err;
15753 assign mio_pad_sleep_regwen_23_wd = reg_wdata[0];
15754
15755 assign mio_pad_sleep_regwen_24_we = addr_hit[251] & reg_we & ~wr_err;
15756 assign mio_pad_sleep_regwen_24_wd = reg_wdata[0];
15757
15758 assign mio_pad_sleep_regwen_25_we = addr_hit[252] & reg_we & ~wr_err;
15759 assign mio_pad_sleep_regwen_25_wd = reg_wdata[0];
15760
15761 assign mio_pad_sleep_regwen_26_we = addr_hit[253] & reg_we & ~wr_err;
15762 assign mio_pad_sleep_regwen_26_wd = reg_wdata[0];
15763
15764 assign mio_pad_sleep_regwen_27_we = addr_hit[254] & reg_we & ~wr_err;
15765 assign mio_pad_sleep_regwen_27_wd = reg_wdata[0];
15766
15767 assign mio_pad_sleep_regwen_28_we = addr_hit[255] & reg_we & ~wr_err;
15768 assign mio_pad_sleep_regwen_28_wd = reg_wdata[0];
15769
15770 assign mio_pad_sleep_regwen_29_we = addr_hit[256] & reg_we & ~wr_err;
15771 assign mio_pad_sleep_regwen_29_wd = reg_wdata[0];
15772
15773 assign mio_pad_sleep_regwen_30_we = addr_hit[257] & reg_we & ~wr_err;
15774 assign mio_pad_sleep_regwen_30_wd = reg_wdata[0];
15775
15776 assign mio_pad_sleep_regwen_31_we = addr_hit[258] & reg_we & ~wr_err;
15777 assign mio_pad_sleep_regwen_31_wd = reg_wdata[0];
15778
15779 assign mio_pad_sleep_en_0_we = addr_hit[259] & reg_we & ~wr_err;
15780 assign mio_pad_sleep_en_0_wd = reg_wdata[0];
15781
15782 assign mio_pad_sleep_en_1_we = addr_hit[260] & reg_we & ~wr_err;
15783 assign mio_pad_sleep_en_1_wd = reg_wdata[0];
15784
15785 assign mio_pad_sleep_en_2_we = addr_hit[261] & reg_we & ~wr_err;
15786 assign mio_pad_sleep_en_2_wd = reg_wdata[0];
15787
15788 assign mio_pad_sleep_en_3_we = addr_hit[262] & reg_we & ~wr_err;
15789 assign mio_pad_sleep_en_3_wd = reg_wdata[0];
15790
15791 assign mio_pad_sleep_en_4_we = addr_hit[263] & reg_we & ~wr_err;
15792 assign mio_pad_sleep_en_4_wd = reg_wdata[0];
15793
15794 assign mio_pad_sleep_en_5_we = addr_hit[264] & reg_we & ~wr_err;
15795 assign mio_pad_sleep_en_5_wd = reg_wdata[0];
15796
15797 assign mio_pad_sleep_en_6_we = addr_hit[265] & reg_we & ~wr_err;
15798 assign mio_pad_sleep_en_6_wd = reg_wdata[0];
15799
15800 assign mio_pad_sleep_en_7_we = addr_hit[266] & reg_we & ~wr_err;
15801 assign mio_pad_sleep_en_7_wd = reg_wdata[0];
15802
15803 assign mio_pad_sleep_en_8_we = addr_hit[267] & reg_we & ~wr_err;
15804 assign mio_pad_sleep_en_8_wd = reg_wdata[0];
15805
15806 assign mio_pad_sleep_en_9_we = addr_hit[268] & reg_we & ~wr_err;
15807 assign mio_pad_sleep_en_9_wd = reg_wdata[0];
15808
15809 assign mio_pad_sleep_en_10_we = addr_hit[269] & reg_we & ~wr_err;
15810 assign mio_pad_sleep_en_10_wd = reg_wdata[0];
15811
15812 assign mio_pad_sleep_en_11_we = addr_hit[270] & reg_we & ~wr_err;
15813 assign mio_pad_sleep_en_11_wd = reg_wdata[0];
15814
15815 assign mio_pad_sleep_en_12_we = addr_hit[271] & reg_we & ~wr_err;
15816 assign mio_pad_sleep_en_12_wd = reg_wdata[0];
15817
15818 assign mio_pad_sleep_en_13_we = addr_hit[272] & reg_we & ~wr_err;
15819 assign mio_pad_sleep_en_13_wd = reg_wdata[0];
15820
15821 assign mio_pad_sleep_en_14_we = addr_hit[273] & reg_we & ~wr_err;
15822 assign mio_pad_sleep_en_14_wd = reg_wdata[0];
15823
15824 assign mio_pad_sleep_en_15_we = addr_hit[274] & reg_we & ~wr_err;
15825 assign mio_pad_sleep_en_15_wd = reg_wdata[0];
15826
15827 assign mio_pad_sleep_en_16_we = addr_hit[275] & reg_we & ~wr_err;
15828 assign mio_pad_sleep_en_16_wd = reg_wdata[0];
15829
15830 assign mio_pad_sleep_en_17_we = addr_hit[276] & reg_we & ~wr_err;
15831 assign mio_pad_sleep_en_17_wd = reg_wdata[0];
15832
15833 assign mio_pad_sleep_en_18_we = addr_hit[277] & reg_we & ~wr_err;
15834 assign mio_pad_sleep_en_18_wd = reg_wdata[0];
15835
15836 assign mio_pad_sleep_en_19_we = addr_hit[278] & reg_we & ~wr_err;
15837 assign mio_pad_sleep_en_19_wd = reg_wdata[0];
15838
15839 assign mio_pad_sleep_en_20_we = addr_hit[279] & reg_we & ~wr_err;
15840 assign mio_pad_sleep_en_20_wd = reg_wdata[0];
15841
15842 assign mio_pad_sleep_en_21_we = addr_hit[280] & reg_we & ~wr_err;
15843 assign mio_pad_sleep_en_21_wd = reg_wdata[0];
15844
15845 assign mio_pad_sleep_en_22_we = addr_hit[281] & reg_we & ~wr_err;
15846 assign mio_pad_sleep_en_22_wd = reg_wdata[0];
15847
15848 assign mio_pad_sleep_en_23_we = addr_hit[282] & reg_we & ~wr_err;
15849 assign mio_pad_sleep_en_23_wd = reg_wdata[0];
15850
15851 assign mio_pad_sleep_en_24_we = addr_hit[283] & reg_we & ~wr_err;
15852 assign mio_pad_sleep_en_24_wd = reg_wdata[0];
15853
15854 assign mio_pad_sleep_en_25_we = addr_hit[284] & reg_we & ~wr_err;
15855 assign mio_pad_sleep_en_25_wd = reg_wdata[0];
15856
15857 assign mio_pad_sleep_en_26_we = addr_hit[285] & reg_we & ~wr_err;
15858 assign mio_pad_sleep_en_26_wd = reg_wdata[0];
15859
15860 assign mio_pad_sleep_en_27_we = addr_hit[286] & reg_we & ~wr_err;
15861 assign mio_pad_sleep_en_27_wd = reg_wdata[0];
15862
15863 assign mio_pad_sleep_en_28_we = addr_hit[287] & reg_we & ~wr_err;
15864 assign mio_pad_sleep_en_28_wd = reg_wdata[0];
15865
15866 assign mio_pad_sleep_en_29_we = addr_hit[288] & reg_we & ~wr_err;
15867 assign mio_pad_sleep_en_29_wd = reg_wdata[0];
15868
15869 assign mio_pad_sleep_en_30_we = addr_hit[289] & reg_we & ~wr_err;
15870 assign mio_pad_sleep_en_30_wd = reg_wdata[0];
15871
15872 assign mio_pad_sleep_en_31_we = addr_hit[290] & reg_we & ~wr_err;
15873 assign mio_pad_sleep_en_31_wd = reg_wdata[0];
15874
15875 assign mio_pad_sleep_mode_0_we = addr_hit[291] & reg_we & ~wr_err;
15876 assign mio_pad_sleep_mode_0_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015877
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015878 assign mio_pad_sleep_mode_1_we = addr_hit[292] & reg_we & ~wr_err;
15879 assign mio_pad_sleep_mode_1_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015880
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015881 assign mio_pad_sleep_mode_2_we = addr_hit[293] & reg_we & ~wr_err;
15882 assign mio_pad_sleep_mode_2_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015883
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015884 assign mio_pad_sleep_mode_3_we = addr_hit[294] & reg_we & ~wr_err;
15885 assign mio_pad_sleep_mode_3_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015886
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015887 assign mio_pad_sleep_mode_4_we = addr_hit[295] & reg_we & ~wr_err;
15888 assign mio_pad_sleep_mode_4_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015889
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015890 assign mio_pad_sleep_mode_5_we = addr_hit[296] & reg_we & ~wr_err;
15891 assign mio_pad_sleep_mode_5_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015892
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015893 assign mio_pad_sleep_mode_6_we = addr_hit[297] & reg_we & ~wr_err;
15894 assign mio_pad_sleep_mode_6_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015895
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015896 assign mio_pad_sleep_mode_7_we = addr_hit[298] & reg_we & ~wr_err;
15897 assign mio_pad_sleep_mode_7_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015898
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015899 assign mio_pad_sleep_mode_8_we = addr_hit[299] & reg_we & ~wr_err;
15900 assign mio_pad_sleep_mode_8_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015901
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015902 assign mio_pad_sleep_mode_9_we = addr_hit[300] & reg_we & ~wr_err;
15903 assign mio_pad_sleep_mode_9_wd = reg_wdata[1:0];
Michael Schaffner06fdb112021-02-10 16:52:56 -080015904
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015905 assign mio_pad_sleep_mode_10_we = addr_hit[301] & reg_we & ~wr_err;
15906 assign mio_pad_sleep_mode_10_wd = reg_wdata[1:0];
Michael Schaffner51c61442019-10-01 15:49:10 -070015907
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015908 assign mio_pad_sleep_mode_11_we = addr_hit[302] & reg_we & ~wr_err;
15909 assign mio_pad_sleep_mode_11_wd = reg_wdata[1:0];
Michael Schaffner51c61442019-10-01 15:49:10 -070015910
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015911 assign mio_pad_sleep_mode_12_we = addr_hit[303] & reg_we & ~wr_err;
15912 assign mio_pad_sleep_mode_12_wd = reg_wdata[1:0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070015913
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015914 assign mio_pad_sleep_mode_13_we = addr_hit[304] & reg_we & ~wr_err;
15915 assign mio_pad_sleep_mode_13_wd = reg_wdata[1:0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070015916
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015917 assign mio_pad_sleep_mode_14_we = addr_hit[305] & reg_we & ~wr_err;
15918 assign mio_pad_sleep_mode_14_wd = reg_wdata[1:0];
Michael Schaffnerfb801932019-10-02 10:49:15 -070015919
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015920 assign mio_pad_sleep_mode_15_we = addr_hit[306] & reg_we & ~wr_err;
15921 assign mio_pad_sleep_mode_15_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015922
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015923 assign mio_pad_sleep_mode_16_we = addr_hit[307] & reg_we & ~wr_err;
15924 assign mio_pad_sleep_mode_16_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015925
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015926 assign mio_pad_sleep_mode_17_we = addr_hit[308] & reg_we & ~wr_err;
15927 assign mio_pad_sleep_mode_17_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015928
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015929 assign mio_pad_sleep_mode_18_we = addr_hit[309] & reg_we & ~wr_err;
15930 assign mio_pad_sleep_mode_18_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015931
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015932 assign mio_pad_sleep_mode_19_we = addr_hit[310] & reg_we & ~wr_err;
15933 assign mio_pad_sleep_mode_19_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015934
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015935 assign mio_pad_sleep_mode_20_we = addr_hit[311] & reg_we & ~wr_err;
15936 assign mio_pad_sleep_mode_20_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015937
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015938 assign mio_pad_sleep_mode_21_we = addr_hit[312] & reg_we & ~wr_err;
15939 assign mio_pad_sleep_mode_21_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015940
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015941 assign mio_pad_sleep_mode_22_we = addr_hit[313] & reg_we & ~wr_err;
15942 assign mio_pad_sleep_mode_22_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015943
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015944 assign mio_pad_sleep_mode_23_we = addr_hit[314] & reg_we & ~wr_err;
15945 assign mio_pad_sleep_mode_23_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015946
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015947 assign mio_pad_sleep_mode_24_we = addr_hit[315] & reg_we & ~wr_err;
15948 assign mio_pad_sleep_mode_24_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015949
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015950 assign mio_pad_sleep_mode_25_we = addr_hit[316] & reg_we & ~wr_err;
15951 assign mio_pad_sleep_mode_25_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015952
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015953 assign mio_pad_sleep_mode_26_we = addr_hit[317] & reg_we & ~wr_err;
15954 assign mio_pad_sleep_mode_26_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015955
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015956 assign mio_pad_sleep_mode_27_we = addr_hit[318] & reg_we & ~wr_err;
15957 assign mio_pad_sleep_mode_27_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015958
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015959 assign mio_pad_sleep_mode_28_we = addr_hit[319] & reg_we & ~wr_err;
15960 assign mio_pad_sleep_mode_28_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015961
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015962 assign mio_pad_sleep_mode_29_we = addr_hit[320] & reg_we & ~wr_err;
15963 assign mio_pad_sleep_mode_29_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015964
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015965 assign mio_pad_sleep_mode_30_we = addr_hit[321] & reg_we & ~wr_err;
15966 assign mio_pad_sleep_mode_30_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015967
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015968 assign mio_pad_sleep_mode_31_we = addr_hit[322] & reg_we & ~wr_err;
15969 assign mio_pad_sleep_mode_31_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015970
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015971 assign dio_pad_sleep_status_en_0_we = addr_hit[323] & reg_we & ~wr_err;
15972 assign dio_pad_sleep_status_en_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015973
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015974 assign dio_pad_sleep_status_en_1_we = addr_hit[323] & reg_we & ~wr_err;
15975 assign dio_pad_sleep_status_en_1_wd = reg_wdata[1];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015976
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015977 assign dio_pad_sleep_status_en_2_we = addr_hit[323] & reg_we & ~wr_err;
15978 assign dio_pad_sleep_status_en_2_wd = reg_wdata[2];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015979
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015980 assign dio_pad_sleep_status_en_3_we = addr_hit[323] & reg_we & ~wr_err;
15981 assign dio_pad_sleep_status_en_3_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015982
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015983 assign dio_pad_sleep_status_en_4_we = addr_hit[323] & reg_we & ~wr_err;
15984 assign dio_pad_sleep_status_en_4_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015985
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015986 assign dio_pad_sleep_status_en_5_we = addr_hit[323] & reg_we & ~wr_err;
15987 assign dio_pad_sleep_status_en_5_wd = reg_wdata[5];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015988
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015989 assign dio_pad_sleep_status_en_6_we = addr_hit[323] & reg_we & ~wr_err;
15990 assign dio_pad_sleep_status_en_6_wd = reg_wdata[6];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015991
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015992 assign dio_pad_sleep_status_en_7_we = addr_hit[323] & reg_we & ~wr_err;
15993 assign dio_pad_sleep_status_en_7_wd = reg_wdata[7];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015994
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015995 assign dio_pad_sleep_status_en_8_we = addr_hit[323] & reg_we & ~wr_err;
15996 assign dio_pad_sleep_status_en_8_wd = reg_wdata[8];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070015997
Michael Schaffner43ce8d52021-02-10 17:04:57 -080015998 assign dio_pad_sleep_status_en_9_we = addr_hit[323] & reg_we & ~wr_err;
15999 assign dio_pad_sleep_status_en_9_wd = reg_wdata[9];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016000
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016001 assign dio_pad_sleep_status_en_10_we = addr_hit[323] & reg_we & ~wr_err;
16002 assign dio_pad_sleep_status_en_10_wd = reg_wdata[10];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016003
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016004 assign dio_pad_sleep_status_en_11_we = addr_hit[323] & reg_we & ~wr_err;
16005 assign dio_pad_sleep_status_en_11_wd = reg_wdata[11];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016006
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016007 assign dio_pad_sleep_status_en_12_we = addr_hit[323] & reg_we & ~wr_err;
16008 assign dio_pad_sleep_status_en_12_wd = reg_wdata[12];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016009
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016010 assign dio_pad_sleep_status_en_13_we = addr_hit[323] & reg_we & ~wr_err;
16011 assign dio_pad_sleep_status_en_13_wd = reg_wdata[13];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016012
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016013 assign dio_pad_sleep_status_en_14_we = addr_hit[323] & reg_we & ~wr_err;
16014 assign dio_pad_sleep_status_en_14_wd = reg_wdata[14];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016015
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016016 assign dio_pad_sleep_status_en_15_we = addr_hit[323] & reg_we & ~wr_err;
16017 assign dio_pad_sleep_status_en_15_wd = reg_wdata[15];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016018
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016019 assign dio_pad_sleep_regwen_0_we = addr_hit[324] & reg_we & ~wr_err;
16020 assign dio_pad_sleep_regwen_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016021
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016022 assign dio_pad_sleep_regwen_1_we = addr_hit[325] & reg_we & ~wr_err;
16023 assign dio_pad_sleep_regwen_1_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016024
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016025 assign dio_pad_sleep_regwen_2_we = addr_hit[326] & reg_we & ~wr_err;
16026 assign dio_pad_sleep_regwen_2_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016027
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016028 assign dio_pad_sleep_regwen_3_we = addr_hit[327] & reg_we & ~wr_err;
16029 assign dio_pad_sleep_regwen_3_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016030
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016031 assign dio_pad_sleep_regwen_4_we = addr_hit[328] & reg_we & ~wr_err;
16032 assign dio_pad_sleep_regwen_4_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016033
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016034 assign dio_pad_sleep_regwen_5_we = addr_hit[329] & reg_we & ~wr_err;
16035 assign dio_pad_sleep_regwen_5_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016036
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016037 assign dio_pad_sleep_regwen_6_we = addr_hit[330] & reg_we & ~wr_err;
16038 assign dio_pad_sleep_regwen_6_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016039
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016040 assign dio_pad_sleep_regwen_7_we = addr_hit[331] & reg_we & ~wr_err;
16041 assign dio_pad_sleep_regwen_7_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016042
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016043 assign dio_pad_sleep_regwen_8_we = addr_hit[332] & reg_we & ~wr_err;
16044 assign dio_pad_sleep_regwen_8_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016045
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016046 assign dio_pad_sleep_regwen_9_we = addr_hit[333] & reg_we & ~wr_err;
16047 assign dio_pad_sleep_regwen_9_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016048
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016049 assign dio_pad_sleep_regwen_10_we = addr_hit[334] & reg_we & ~wr_err;
16050 assign dio_pad_sleep_regwen_10_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016051
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016052 assign dio_pad_sleep_regwen_11_we = addr_hit[335] & reg_we & ~wr_err;
16053 assign dio_pad_sleep_regwen_11_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016054
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016055 assign dio_pad_sleep_regwen_12_we = addr_hit[336] & reg_we & ~wr_err;
16056 assign dio_pad_sleep_regwen_12_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016057
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016058 assign dio_pad_sleep_regwen_13_we = addr_hit[337] & reg_we & ~wr_err;
16059 assign dio_pad_sleep_regwen_13_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016060
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016061 assign dio_pad_sleep_regwen_14_we = addr_hit[338] & reg_we & ~wr_err;
16062 assign dio_pad_sleep_regwen_14_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016063
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016064 assign dio_pad_sleep_regwen_15_we = addr_hit[339] & reg_we & ~wr_err;
16065 assign dio_pad_sleep_regwen_15_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016066
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016067 assign dio_pad_sleep_en_0_we = addr_hit[340] & reg_we & ~wr_err;
16068 assign dio_pad_sleep_en_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016069
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016070 assign dio_pad_sleep_en_1_we = addr_hit[341] & reg_we & ~wr_err;
16071 assign dio_pad_sleep_en_1_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016072
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016073 assign dio_pad_sleep_en_2_we = addr_hit[342] & reg_we & ~wr_err;
16074 assign dio_pad_sleep_en_2_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016075
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016076 assign dio_pad_sleep_en_3_we = addr_hit[343] & reg_we & ~wr_err;
16077 assign dio_pad_sleep_en_3_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016078
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016079 assign dio_pad_sleep_en_4_we = addr_hit[344] & reg_we & ~wr_err;
16080 assign dio_pad_sleep_en_4_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016081
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016082 assign dio_pad_sleep_en_5_we = addr_hit[345] & reg_we & ~wr_err;
16083 assign dio_pad_sleep_en_5_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016084
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016085 assign dio_pad_sleep_en_6_we = addr_hit[346] & reg_we & ~wr_err;
16086 assign dio_pad_sleep_en_6_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016087
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016088 assign dio_pad_sleep_en_7_we = addr_hit[347] & reg_we & ~wr_err;
16089 assign dio_pad_sleep_en_7_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016090
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016091 assign dio_pad_sleep_en_8_we = addr_hit[348] & reg_we & ~wr_err;
16092 assign dio_pad_sleep_en_8_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016093
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016094 assign dio_pad_sleep_en_9_we = addr_hit[349] & reg_we & ~wr_err;
16095 assign dio_pad_sleep_en_9_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016096
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016097 assign dio_pad_sleep_en_10_we = addr_hit[350] & reg_we & ~wr_err;
16098 assign dio_pad_sleep_en_10_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016099
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016100 assign dio_pad_sleep_en_11_we = addr_hit[351] & reg_we & ~wr_err;
16101 assign dio_pad_sleep_en_11_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016102
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016103 assign dio_pad_sleep_en_12_we = addr_hit[352] & reg_we & ~wr_err;
16104 assign dio_pad_sleep_en_12_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016105
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016106 assign dio_pad_sleep_en_13_we = addr_hit[353] & reg_we & ~wr_err;
16107 assign dio_pad_sleep_en_13_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016108
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016109 assign dio_pad_sleep_en_14_we = addr_hit[354] & reg_we & ~wr_err;
16110 assign dio_pad_sleep_en_14_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016111
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016112 assign dio_pad_sleep_en_15_we = addr_hit[355] & reg_we & ~wr_err;
16113 assign dio_pad_sleep_en_15_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016114
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016115 assign dio_pad_sleep_mode_0_we = addr_hit[356] & reg_we & ~wr_err;
16116 assign dio_pad_sleep_mode_0_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016117
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016118 assign dio_pad_sleep_mode_1_we = addr_hit[357] & reg_we & ~wr_err;
16119 assign dio_pad_sleep_mode_1_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016120
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016121 assign dio_pad_sleep_mode_2_we = addr_hit[358] & reg_we & ~wr_err;
16122 assign dio_pad_sleep_mode_2_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016123
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016124 assign dio_pad_sleep_mode_3_we = addr_hit[359] & reg_we & ~wr_err;
16125 assign dio_pad_sleep_mode_3_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016126
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016127 assign dio_pad_sleep_mode_4_we = addr_hit[360] & reg_we & ~wr_err;
16128 assign dio_pad_sleep_mode_4_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016129
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016130 assign dio_pad_sleep_mode_5_we = addr_hit[361] & reg_we & ~wr_err;
16131 assign dio_pad_sleep_mode_5_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016132
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016133 assign dio_pad_sleep_mode_6_we = addr_hit[362] & reg_we & ~wr_err;
16134 assign dio_pad_sleep_mode_6_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016135
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016136 assign dio_pad_sleep_mode_7_we = addr_hit[363] & reg_we & ~wr_err;
16137 assign dio_pad_sleep_mode_7_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016138
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016139 assign dio_pad_sleep_mode_8_we = addr_hit[364] & reg_we & ~wr_err;
16140 assign dio_pad_sleep_mode_8_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016141
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016142 assign dio_pad_sleep_mode_9_we = addr_hit[365] & reg_we & ~wr_err;
16143 assign dio_pad_sleep_mode_9_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016144
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016145 assign dio_pad_sleep_mode_10_we = addr_hit[366] & reg_we & ~wr_err;
16146 assign dio_pad_sleep_mode_10_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016147
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016148 assign dio_pad_sleep_mode_11_we = addr_hit[367] & reg_we & ~wr_err;
16149 assign dio_pad_sleep_mode_11_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016150
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016151 assign dio_pad_sleep_mode_12_we = addr_hit[368] & reg_we & ~wr_err;
16152 assign dio_pad_sleep_mode_12_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016153
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016154 assign dio_pad_sleep_mode_13_we = addr_hit[369] & reg_we & ~wr_err;
16155 assign dio_pad_sleep_mode_13_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016156
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016157 assign dio_pad_sleep_mode_14_we = addr_hit[370] & reg_we & ~wr_err;
16158 assign dio_pad_sleep_mode_14_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016159
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016160 assign dio_pad_sleep_mode_15_we = addr_hit[371] & reg_we & ~wr_err;
16161 assign dio_pad_sleep_mode_15_wd = reg_wdata[1:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016162
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016163 assign wkup_detector_regwen_0_we = addr_hit[372] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016164 assign wkup_detector_regwen_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016165
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016166 assign wkup_detector_regwen_1_we = addr_hit[373] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016167 assign wkup_detector_regwen_1_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016168
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016169 assign wkup_detector_regwen_2_we = addr_hit[374] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016170 assign wkup_detector_regwen_2_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016171
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016172 assign wkup_detector_regwen_3_we = addr_hit[375] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016173 assign wkup_detector_regwen_3_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016174
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016175 assign wkup_detector_regwen_4_we = addr_hit[376] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016176 assign wkup_detector_regwen_4_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016177
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016178 assign wkup_detector_regwen_5_we = addr_hit[377] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016179 assign wkup_detector_regwen_5_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016180
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016181 assign wkup_detector_regwen_6_we = addr_hit[378] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016182 assign wkup_detector_regwen_6_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016183
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016184 assign wkup_detector_regwen_7_we = addr_hit[379] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016185 assign wkup_detector_regwen_7_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016186
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016187 assign wkup_detector_en_0_we = addr_hit[380] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016188 assign wkup_detector_en_0_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016189
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016190 assign wkup_detector_en_1_we = addr_hit[381] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016191 assign wkup_detector_en_1_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016192
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016193 assign wkup_detector_en_2_we = addr_hit[382] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016194 assign wkup_detector_en_2_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016195
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016196 assign wkup_detector_en_3_we = addr_hit[383] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016197 assign wkup_detector_en_3_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016198
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016199 assign wkup_detector_en_4_we = addr_hit[384] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016200 assign wkup_detector_en_4_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016201
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016202 assign wkup_detector_en_5_we = addr_hit[385] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016203 assign wkup_detector_en_5_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016204
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016205 assign wkup_detector_en_6_we = addr_hit[386] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016206 assign wkup_detector_en_6_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016207
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016208 assign wkup_detector_en_7_we = addr_hit[387] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016209 assign wkup_detector_en_7_wd = reg_wdata[0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016210
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016211 assign wkup_detector_0_mode_0_we = addr_hit[388] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016212 assign wkup_detector_0_mode_0_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016213
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016214 assign wkup_detector_0_filter_0_we = addr_hit[388] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016215 assign wkup_detector_0_filter_0_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016216
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016217 assign wkup_detector_0_miodio_0_we = addr_hit[388] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016218 assign wkup_detector_0_miodio_0_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016219
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016220 assign wkup_detector_1_mode_1_we = addr_hit[389] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016221 assign wkup_detector_1_mode_1_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016222
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016223 assign wkup_detector_1_filter_1_we = addr_hit[389] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016224 assign wkup_detector_1_filter_1_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016225
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016226 assign wkup_detector_1_miodio_1_we = addr_hit[389] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016227 assign wkup_detector_1_miodio_1_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016228
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016229 assign wkup_detector_2_mode_2_we = addr_hit[390] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016230 assign wkup_detector_2_mode_2_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016231
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016232 assign wkup_detector_2_filter_2_we = addr_hit[390] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016233 assign wkup_detector_2_filter_2_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016234
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016235 assign wkup_detector_2_miodio_2_we = addr_hit[390] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016236 assign wkup_detector_2_miodio_2_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016237
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016238 assign wkup_detector_3_mode_3_we = addr_hit[391] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016239 assign wkup_detector_3_mode_3_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016240
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016241 assign wkup_detector_3_filter_3_we = addr_hit[391] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016242 assign wkup_detector_3_filter_3_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016243
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016244 assign wkup_detector_3_miodio_3_we = addr_hit[391] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016245 assign wkup_detector_3_miodio_3_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016246
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016247 assign wkup_detector_4_mode_4_we = addr_hit[392] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016248 assign wkup_detector_4_mode_4_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016249
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016250 assign wkup_detector_4_filter_4_we = addr_hit[392] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016251 assign wkup_detector_4_filter_4_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016252
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016253 assign wkup_detector_4_miodio_4_we = addr_hit[392] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016254 assign wkup_detector_4_miodio_4_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016255
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016256 assign wkup_detector_5_mode_5_we = addr_hit[393] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016257 assign wkup_detector_5_mode_5_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016258
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016259 assign wkup_detector_5_filter_5_we = addr_hit[393] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016260 assign wkup_detector_5_filter_5_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016261
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016262 assign wkup_detector_5_miodio_5_we = addr_hit[393] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016263 assign wkup_detector_5_miodio_5_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016264
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016265 assign wkup_detector_6_mode_6_we = addr_hit[394] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016266 assign wkup_detector_6_mode_6_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016267
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016268 assign wkup_detector_6_filter_6_we = addr_hit[394] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016269 assign wkup_detector_6_filter_6_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016270
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016271 assign wkup_detector_6_miodio_6_we = addr_hit[394] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016272 assign wkup_detector_6_miodio_6_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016273
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016274 assign wkup_detector_7_mode_7_we = addr_hit[395] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016275 assign wkup_detector_7_mode_7_wd = reg_wdata[2:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016276
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016277 assign wkup_detector_7_filter_7_we = addr_hit[395] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016278 assign wkup_detector_7_filter_7_wd = reg_wdata[3];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016279
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016280 assign wkup_detector_7_miodio_7_we = addr_hit[395] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016281 assign wkup_detector_7_miodio_7_wd = reg_wdata[4];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016282
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016283 assign wkup_detector_cnt_th_0_we = addr_hit[396] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016284 assign wkup_detector_cnt_th_0_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016285
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016286 assign wkup_detector_cnt_th_1_we = addr_hit[397] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016287 assign wkup_detector_cnt_th_1_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016288
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016289 assign wkup_detector_cnt_th_2_we = addr_hit[398] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016290 assign wkup_detector_cnt_th_2_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016291
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016292 assign wkup_detector_cnt_th_3_we = addr_hit[399] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016293 assign wkup_detector_cnt_th_3_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016294
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016295 assign wkup_detector_cnt_th_4_we = addr_hit[400] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016296 assign wkup_detector_cnt_th_4_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016297
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016298 assign wkup_detector_cnt_th_5_we = addr_hit[401] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016299 assign wkup_detector_cnt_th_5_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016300
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016301 assign wkup_detector_cnt_th_6_we = addr_hit[402] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016302 assign wkup_detector_cnt_th_6_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016303
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016304 assign wkup_detector_cnt_th_7_we = addr_hit[403] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016305 assign wkup_detector_cnt_th_7_wd = reg_wdata[7:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016306
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016307 assign wkup_detector_padsel_0_we = addr_hit[404] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016308 assign wkup_detector_padsel_0_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016309
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016310 assign wkup_detector_padsel_1_we = addr_hit[405] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016311 assign wkup_detector_padsel_1_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016312
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016313 assign wkup_detector_padsel_2_we = addr_hit[406] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016314 assign wkup_detector_padsel_2_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016315
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016316 assign wkup_detector_padsel_3_we = addr_hit[407] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016317 assign wkup_detector_padsel_3_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016318
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016319 assign wkup_detector_padsel_4_we = addr_hit[408] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016320 assign wkup_detector_padsel_4_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016321
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016322 assign wkup_detector_padsel_5_we = addr_hit[409] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016323 assign wkup_detector_padsel_5_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016324
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016325 assign wkup_detector_padsel_6_we = addr_hit[410] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016326 assign wkup_detector_padsel_6_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016327
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016328 assign wkup_detector_padsel_7_we = addr_hit[411] & reg_we & ~wr_err;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016329 assign wkup_detector_padsel_7_wd = reg_wdata[5:0];
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016330
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016331 assign wkup_cause_cause_0_we = addr_hit[412] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016332 assign wkup_cause_cause_0_wd = reg_wdata[0];
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016333 assign wkup_cause_cause_0_re = addr_hit[412] && reg_re;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016334
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016335 assign wkup_cause_cause_1_we = addr_hit[412] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016336 assign wkup_cause_cause_1_wd = reg_wdata[1];
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016337 assign wkup_cause_cause_1_re = addr_hit[412] && reg_re;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016338
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016339 assign wkup_cause_cause_2_we = addr_hit[412] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016340 assign wkup_cause_cause_2_wd = reg_wdata[2];
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016341 assign wkup_cause_cause_2_re = addr_hit[412] && reg_re;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016342
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016343 assign wkup_cause_cause_3_we = addr_hit[412] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016344 assign wkup_cause_cause_3_wd = reg_wdata[3];
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016345 assign wkup_cause_cause_3_re = addr_hit[412] && reg_re;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016346
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016347 assign wkup_cause_cause_4_we = addr_hit[412] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016348 assign wkup_cause_cause_4_wd = reg_wdata[4];
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016349 assign wkup_cause_cause_4_re = addr_hit[412] && reg_re;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016350
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016351 assign wkup_cause_cause_5_we = addr_hit[412] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016352 assign wkup_cause_cause_5_wd = reg_wdata[5];
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016353 assign wkup_cause_cause_5_re = addr_hit[412] && reg_re;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016354
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016355 assign wkup_cause_cause_6_we = addr_hit[412] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016356 assign wkup_cause_cause_6_wd = reg_wdata[6];
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016357 assign wkup_cause_cause_6_re = addr_hit[412] && reg_re;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016358
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016359 assign wkup_cause_cause_7_we = addr_hit[412] & reg_we & ~wr_err;
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020016360 assign wkup_cause_cause_7_wd = reg_wdata[7];
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016361 assign wkup_cause_cause_7_re = addr_hit[412] && reg_re;
Michael Schaffnerfb801932019-10-02 10:49:15 -070016362
Michael Schaffner51c61442019-10-01 15:49:10 -070016363 // Read data return
16364 always_comb begin
16365 reg_rdata_next = '0;
16366 unique case (1'b1)
16367 addr_hit[0]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016368 reg_rdata_next[0] = mio_periph_insel_regwen_0_qs;
Michael Schaffner51c61442019-10-01 15:49:10 -070016369 end
16370
16371 addr_hit[1]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016372 reg_rdata_next[0] = mio_periph_insel_regwen_1_qs;
Michael Schaffner51c61442019-10-01 15:49:10 -070016373 end
16374
16375 addr_hit[2]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016376 reg_rdata_next[0] = mio_periph_insel_regwen_2_qs;
Michael Schaffnerfb801932019-10-02 10:49:15 -070016377 end
16378
16379 addr_hit[3]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016380 reg_rdata_next[0] = mio_periph_insel_regwen_3_qs;
Michael Schaffner7fac2d32019-10-04 10:14:50 -070016381 end
16382
16383 addr_hit[4]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016384 reg_rdata_next[0] = mio_periph_insel_regwen_4_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016385 end
16386
16387 addr_hit[5]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016388 reg_rdata_next[0] = mio_periph_insel_regwen_5_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016389 end
16390
16391 addr_hit[6]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016392 reg_rdata_next[0] = mio_periph_insel_regwen_6_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016393 end
16394
16395 addr_hit[7]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016396 reg_rdata_next[0] = mio_periph_insel_regwen_7_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016397 end
16398
16399 addr_hit[8]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016400 reg_rdata_next[0] = mio_periph_insel_regwen_8_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016401 end
16402
16403 addr_hit[9]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016404 reg_rdata_next[0] = mio_periph_insel_regwen_9_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016405 end
16406
16407 addr_hit[10]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016408 reg_rdata_next[0] = mio_periph_insel_regwen_10_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016409 end
16410
16411 addr_hit[11]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016412 reg_rdata_next[0] = mio_periph_insel_regwen_11_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016413 end
16414
16415 addr_hit[12]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016416 reg_rdata_next[0] = mio_periph_insel_regwen_12_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016417 end
16418
16419 addr_hit[13]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016420 reg_rdata_next[0] = mio_periph_insel_regwen_13_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016421 end
16422
16423 addr_hit[14]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016424 reg_rdata_next[0] = mio_periph_insel_regwen_14_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016425 end
16426
16427 addr_hit[15]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016428 reg_rdata_next[0] = mio_periph_insel_regwen_15_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016429 end
16430
16431 addr_hit[16]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016432 reg_rdata_next[0] = mio_periph_insel_regwen_16_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016433 end
16434
16435 addr_hit[17]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016436 reg_rdata_next[0] = mio_periph_insel_regwen_17_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016437 end
16438
16439 addr_hit[18]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016440 reg_rdata_next[0] = mio_periph_insel_regwen_18_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070016441 end
16442
16443 addr_hit[19]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080016444 reg_rdata_next[0] = mio_periph_insel_regwen_19_qs;
16445 end
16446
16447 addr_hit[20]: begin
16448 reg_rdata_next[0] = mio_periph_insel_regwen_20_qs;
16449 end
16450
16451 addr_hit[21]: begin
16452 reg_rdata_next[0] = mio_periph_insel_regwen_21_qs;
16453 end
16454
16455 addr_hit[22]: begin
16456 reg_rdata_next[0] = mio_periph_insel_regwen_22_qs;
16457 end
16458
16459 addr_hit[23]: begin
16460 reg_rdata_next[0] = mio_periph_insel_regwen_23_qs;
16461 end
16462
16463 addr_hit[24]: begin
16464 reg_rdata_next[0] = mio_periph_insel_regwen_24_qs;
16465 end
16466
16467 addr_hit[25]: begin
16468 reg_rdata_next[0] = mio_periph_insel_regwen_25_qs;
16469 end
16470
16471 addr_hit[26]: begin
16472 reg_rdata_next[0] = mio_periph_insel_regwen_26_qs;
16473 end
16474
16475 addr_hit[27]: begin
16476 reg_rdata_next[0] = mio_periph_insel_regwen_27_qs;
16477 end
16478
16479 addr_hit[28]: begin
16480 reg_rdata_next[0] = mio_periph_insel_regwen_28_qs;
16481 end
16482
16483 addr_hit[29]: begin
16484 reg_rdata_next[0] = mio_periph_insel_regwen_29_qs;
16485 end
16486
16487 addr_hit[30]: begin
16488 reg_rdata_next[0] = mio_periph_insel_regwen_30_qs;
16489 end
16490
16491 addr_hit[31]: begin
16492 reg_rdata_next[0] = mio_periph_insel_regwen_31_qs;
16493 end
16494
16495 addr_hit[32]: begin
16496 reg_rdata_next[0] = mio_periph_insel_regwen_32_qs;
16497 end
16498
16499 addr_hit[33]: begin
16500 reg_rdata_next[5:0] = mio_periph_insel_0_qs;
16501 end
16502
16503 addr_hit[34]: begin
16504 reg_rdata_next[5:0] = mio_periph_insel_1_qs;
16505 end
16506
16507 addr_hit[35]: begin
16508 reg_rdata_next[5:0] = mio_periph_insel_2_qs;
16509 end
16510
16511 addr_hit[36]: begin
16512 reg_rdata_next[5:0] = mio_periph_insel_3_qs;
16513 end
16514
16515 addr_hit[37]: begin
16516 reg_rdata_next[5:0] = mio_periph_insel_4_qs;
16517 end
16518
16519 addr_hit[38]: begin
16520 reg_rdata_next[5:0] = mio_periph_insel_5_qs;
16521 end
16522
16523 addr_hit[39]: begin
16524 reg_rdata_next[5:0] = mio_periph_insel_6_qs;
16525 end
16526
16527 addr_hit[40]: begin
16528 reg_rdata_next[5:0] = mio_periph_insel_7_qs;
16529 end
16530
16531 addr_hit[41]: begin
16532 reg_rdata_next[5:0] = mio_periph_insel_8_qs;
16533 end
16534
16535 addr_hit[42]: begin
16536 reg_rdata_next[5:0] = mio_periph_insel_9_qs;
16537 end
16538
16539 addr_hit[43]: begin
16540 reg_rdata_next[5:0] = mio_periph_insel_10_qs;
16541 end
16542
16543 addr_hit[44]: begin
16544 reg_rdata_next[5:0] = mio_periph_insel_11_qs;
16545 end
16546
16547 addr_hit[45]: begin
16548 reg_rdata_next[5:0] = mio_periph_insel_12_qs;
16549 end
16550
16551 addr_hit[46]: begin
16552 reg_rdata_next[5:0] = mio_periph_insel_13_qs;
16553 end
16554
16555 addr_hit[47]: begin
16556 reg_rdata_next[5:0] = mio_periph_insel_14_qs;
16557 end
16558
16559 addr_hit[48]: begin
16560 reg_rdata_next[5:0] = mio_periph_insel_15_qs;
16561 end
16562
16563 addr_hit[49]: begin
16564 reg_rdata_next[5:0] = mio_periph_insel_16_qs;
16565 end
16566
16567 addr_hit[50]: begin
16568 reg_rdata_next[5:0] = mio_periph_insel_17_qs;
16569 end
16570
16571 addr_hit[51]: begin
16572 reg_rdata_next[5:0] = mio_periph_insel_18_qs;
16573 end
16574
16575 addr_hit[52]: begin
16576 reg_rdata_next[5:0] = mio_periph_insel_19_qs;
16577 end
16578
16579 addr_hit[53]: begin
16580 reg_rdata_next[5:0] = mio_periph_insel_20_qs;
16581 end
16582
16583 addr_hit[54]: begin
16584 reg_rdata_next[5:0] = mio_periph_insel_21_qs;
16585 end
16586
16587 addr_hit[55]: begin
16588 reg_rdata_next[5:0] = mio_periph_insel_22_qs;
16589 end
16590
16591 addr_hit[56]: begin
16592 reg_rdata_next[5:0] = mio_periph_insel_23_qs;
16593 end
16594
16595 addr_hit[57]: begin
16596 reg_rdata_next[5:0] = mio_periph_insel_24_qs;
16597 end
16598
16599 addr_hit[58]: begin
16600 reg_rdata_next[5:0] = mio_periph_insel_25_qs;
16601 end
16602
16603 addr_hit[59]: begin
16604 reg_rdata_next[5:0] = mio_periph_insel_26_qs;
16605 end
16606
16607 addr_hit[60]: begin
16608 reg_rdata_next[5:0] = mio_periph_insel_27_qs;
16609 end
16610
16611 addr_hit[61]: begin
16612 reg_rdata_next[5:0] = mio_periph_insel_28_qs;
16613 end
16614
16615 addr_hit[62]: begin
16616 reg_rdata_next[5:0] = mio_periph_insel_29_qs;
16617 end
16618
16619 addr_hit[63]: begin
16620 reg_rdata_next[5:0] = mio_periph_insel_30_qs;
16621 end
16622
16623 addr_hit[64]: begin
16624 reg_rdata_next[5:0] = mio_periph_insel_31_qs;
16625 end
16626
16627 addr_hit[65]: begin
16628 reg_rdata_next[5:0] = mio_periph_insel_32_qs;
16629 end
16630
16631 addr_hit[66]: begin
16632 reg_rdata_next[0] = mio_outsel_regwen_0_qs;
16633 end
16634
16635 addr_hit[67]: begin
16636 reg_rdata_next[0] = mio_outsel_regwen_1_qs;
16637 end
16638
16639 addr_hit[68]: begin
16640 reg_rdata_next[0] = mio_outsel_regwen_2_qs;
16641 end
16642
16643 addr_hit[69]: begin
16644 reg_rdata_next[0] = mio_outsel_regwen_3_qs;
16645 end
16646
16647 addr_hit[70]: begin
16648 reg_rdata_next[0] = mio_outsel_regwen_4_qs;
16649 end
16650
16651 addr_hit[71]: begin
16652 reg_rdata_next[0] = mio_outsel_regwen_5_qs;
16653 end
16654
16655 addr_hit[72]: begin
16656 reg_rdata_next[0] = mio_outsel_regwen_6_qs;
16657 end
16658
16659 addr_hit[73]: begin
16660 reg_rdata_next[0] = mio_outsel_regwen_7_qs;
16661 end
16662
16663 addr_hit[74]: begin
16664 reg_rdata_next[0] = mio_outsel_regwen_8_qs;
16665 end
16666
16667 addr_hit[75]: begin
16668 reg_rdata_next[0] = mio_outsel_regwen_9_qs;
16669 end
16670
16671 addr_hit[76]: begin
16672 reg_rdata_next[0] = mio_outsel_regwen_10_qs;
16673 end
16674
16675 addr_hit[77]: begin
16676 reg_rdata_next[0] = mio_outsel_regwen_11_qs;
16677 end
16678
16679 addr_hit[78]: begin
16680 reg_rdata_next[0] = mio_outsel_regwen_12_qs;
16681 end
16682
16683 addr_hit[79]: begin
16684 reg_rdata_next[0] = mio_outsel_regwen_13_qs;
16685 end
16686
16687 addr_hit[80]: begin
16688 reg_rdata_next[0] = mio_outsel_regwen_14_qs;
16689 end
16690
16691 addr_hit[81]: begin
16692 reg_rdata_next[0] = mio_outsel_regwen_15_qs;
16693 end
16694
16695 addr_hit[82]: begin
16696 reg_rdata_next[0] = mio_outsel_regwen_16_qs;
16697 end
16698
16699 addr_hit[83]: begin
16700 reg_rdata_next[0] = mio_outsel_regwen_17_qs;
16701 end
16702
16703 addr_hit[84]: begin
16704 reg_rdata_next[0] = mio_outsel_regwen_18_qs;
16705 end
16706
16707 addr_hit[85]: begin
16708 reg_rdata_next[0] = mio_outsel_regwen_19_qs;
16709 end
16710
16711 addr_hit[86]: begin
16712 reg_rdata_next[0] = mio_outsel_regwen_20_qs;
16713 end
16714
16715 addr_hit[87]: begin
16716 reg_rdata_next[0] = mio_outsel_regwen_21_qs;
16717 end
16718
16719 addr_hit[88]: begin
16720 reg_rdata_next[0] = mio_outsel_regwen_22_qs;
16721 end
16722
16723 addr_hit[89]: begin
16724 reg_rdata_next[0] = mio_outsel_regwen_23_qs;
16725 end
16726
16727 addr_hit[90]: begin
16728 reg_rdata_next[0] = mio_outsel_regwen_24_qs;
16729 end
16730
16731 addr_hit[91]: begin
16732 reg_rdata_next[0] = mio_outsel_regwen_25_qs;
16733 end
16734
16735 addr_hit[92]: begin
16736 reg_rdata_next[0] = mio_outsel_regwen_26_qs;
16737 end
16738
16739 addr_hit[93]: begin
16740 reg_rdata_next[0] = mio_outsel_regwen_27_qs;
16741 end
16742
16743 addr_hit[94]: begin
16744 reg_rdata_next[0] = mio_outsel_regwen_28_qs;
16745 end
16746
16747 addr_hit[95]: begin
16748 reg_rdata_next[0] = mio_outsel_regwen_29_qs;
16749 end
16750
16751 addr_hit[96]: begin
16752 reg_rdata_next[0] = mio_outsel_regwen_30_qs;
16753 end
16754
16755 addr_hit[97]: begin
16756 reg_rdata_next[0] = mio_outsel_regwen_31_qs;
16757 end
16758
16759 addr_hit[98]: begin
16760 reg_rdata_next[5:0] = mio_outsel_0_qs;
16761 end
16762
16763 addr_hit[99]: begin
16764 reg_rdata_next[5:0] = mio_outsel_1_qs;
16765 end
16766
16767 addr_hit[100]: begin
16768 reg_rdata_next[5:0] = mio_outsel_2_qs;
16769 end
16770
16771 addr_hit[101]: begin
16772 reg_rdata_next[5:0] = mio_outsel_3_qs;
16773 end
16774
16775 addr_hit[102]: begin
16776 reg_rdata_next[5:0] = mio_outsel_4_qs;
16777 end
16778
16779 addr_hit[103]: begin
16780 reg_rdata_next[5:0] = mio_outsel_5_qs;
16781 end
16782
16783 addr_hit[104]: begin
16784 reg_rdata_next[5:0] = mio_outsel_6_qs;
16785 end
16786
16787 addr_hit[105]: begin
16788 reg_rdata_next[5:0] = mio_outsel_7_qs;
16789 end
16790
16791 addr_hit[106]: begin
16792 reg_rdata_next[5:0] = mio_outsel_8_qs;
16793 end
16794
16795 addr_hit[107]: begin
16796 reg_rdata_next[5:0] = mio_outsel_9_qs;
16797 end
16798
16799 addr_hit[108]: begin
16800 reg_rdata_next[5:0] = mio_outsel_10_qs;
16801 end
16802
16803 addr_hit[109]: begin
16804 reg_rdata_next[5:0] = mio_outsel_11_qs;
16805 end
16806
16807 addr_hit[110]: begin
16808 reg_rdata_next[5:0] = mio_outsel_12_qs;
16809 end
16810
16811 addr_hit[111]: begin
16812 reg_rdata_next[5:0] = mio_outsel_13_qs;
16813 end
16814
16815 addr_hit[112]: begin
16816 reg_rdata_next[5:0] = mio_outsel_14_qs;
16817 end
16818
16819 addr_hit[113]: begin
16820 reg_rdata_next[5:0] = mio_outsel_15_qs;
16821 end
16822
16823 addr_hit[114]: begin
16824 reg_rdata_next[5:0] = mio_outsel_16_qs;
16825 end
16826
16827 addr_hit[115]: begin
16828 reg_rdata_next[5:0] = mio_outsel_17_qs;
16829 end
16830
16831 addr_hit[116]: begin
16832 reg_rdata_next[5:0] = mio_outsel_18_qs;
16833 end
16834
16835 addr_hit[117]: begin
16836 reg_rdata_next[5:0] = mio_outsel_19_qs;
16837 end
16838
16839 addr_hit[118]: begin
16840 reg_rdata_next[5:0] = mio_outsel_20_qs;
16841 end
16842
16843 addr_hit[119]: begin
16844 reg_rdata_next[5:0] = mio_outsel_21_qs;
16845 end
16846
16847 addr_hit[120]: begin
16848 reg_rdata_next[5:0] = mio_outsel_22_qs;
16849 end
16850
16851 addr_hit[121]: begin
16852 reg_rdata_next[5:0] = mio_outsel_23_qs;
16853 end
16854
16855 addr_hit[122]: begin
16856 reg_rdata_next[5:0] = mio_outsel_24_qs;
16857 end
16858
16859 addr_hit[123]: begin
16860 reg_rdata_next[5:0] = mio_outsel_25_qs;
16861 end
16862
16863 addr_hit[124]: begin
16864 reg_rdata_next[5:0] = mio_outsel_26_qs;
16865 end
16866
16867 addr_hit[125]: begin
16868 reg_rdata_next[5:0] = mio_outsel_27_qs;
16869 end
16870
16871 addr_hit[126]: begin
16872 reg_rdata_next[5:0] = mio_outsel_28_qs;
16873 end
16874
16875 addr_hit[127]: begin
16876 reg_rdata_next[5:0] = mio_outsel_29_qs;
16877 end
16878
16879 addr_hit[128]: begin
16880 reg_rdata_next[5:0] = mio_outsel_30_qs;
16881 end
16882
16883 addr_hit[129]: begin
16884 reg_rdata_next[5:0] = mio_outsel_31_qs;
16885 end
16886
16887 addr_hit[130]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016888 reg_rdata_next[0] = mio_pad_attr_regwen_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016889 end
16890
16891 addr_hit[131]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016892 reg_rdata_next[0] = mio_pad_attr_regwen_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016893 end
16894
16895 addr_hit[132]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016896 reg_rdata_next[0] = mio_pad_attr_regwen_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016897 end
16898
16899 addr_hit[133]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016900 reg_rdata_next[0] = mio_pad_attr_regwen_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016901 end
16902
16903 addr_hit[134]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016904 reg_rdata_next[0] = mio_pad_attr_regwen_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016905 end
16906
16907 addr_hit[135]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016908 reg_rdata_next[0] = mio_pad_attr_regwen_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016909 end
16910
16911 addr_hit[136]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016912 reg_rdata_next[0] = mio_pad_attr_regwen_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016913 end
16914
16915 addr_hit[137]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016916 reg_rdata_next[0] = mio_pad_attr_regwen_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016917 end
16918
16919 addr_hit[138]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016920 reg_rdata_next[0] = mio_pad_attr_regwen_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016921 end
16922
16923 addr_hit[139]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016924 reg_rdata_next[0] = mio_pad_attr_regwen_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016925 end
16926
16927 addr_hit[140]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016928 reg_rdata_next[0] = mio_pad_attr_regwen_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016929 end
16930
16931 addr_hit[141]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016932 reg_rdata_next[0] = mio_pad_attr_regwen_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016933 end
16934
16935 addr_hit[142]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016936 reg_rdata_next[0] = mio_pad_attr_regwen_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016937 end
16938
16939 addr_hit[143]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016940 reg_rdata_next[0] = mio_pad_attr_regwen_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016941 end
16942
16943 addr_hit[144]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016944 reg_rdata_next[0] = mio_pad_attr_regwen_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016945 end
16946
16947 addr_hit[145]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016948 reg_rdata_next[0] = mio_pad_attr_regwen_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016949 end
16950
16951 addr_hit[146]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016952 reg_rdata_next[0] = mio_pad_attr_regwen_16_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016953 end
16954
16955 addr_hit[147]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016956 reg_rdata_next[0] = mio_pad_attr_regwen_17_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016957 end
16958
16959 addr_hit[148]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016960 reg_rdata_next[0] = mio_pad_attr_regwen_18_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016961 end
16962
16963 addr_hit[149]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016964 reg_rdata_next[0] = mio_pad_attr_regwen_19_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016965 end
16966
16967 addr_hit[150]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016968 reg_rdata_next[0] = mio_pad_attr_regwen_20_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016969 end
16970
16971 addr_hit[151]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016972 reg_rdata_next[0] = mio_pad_attr_regwen_21_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016973 end
16974
16975 addr_hit[152]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016976 reg_rdata_next[0] = mio_pad_attr_regwen_22_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016977 end
16978
16979 addr_hit[153]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016980 reg_rdata_next[0] = mio_pad_attr_regwen_23_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016981 end
16982
16983 addr_hit[154]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016984 reg_rdata_next[0] = mio_pad_attr_regwen_24_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016985 end
16986
16987 addr_hit[155]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016988 reg_rdata_next[0] = mio_pad_attr_regwen_25_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016989 end
16990
16991 addr_hit[156]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016992 reg_rdata_next[0] = mio_pad_attr_regwen_26_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016993 end
16994
16995 addr_hit[157]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080016996 reg_rdata_next[0] = mio_pad_attr_regwen_27_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080016997 end
16998
16999 addr_hit[158]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017000 reg_rdata_next[0] = mio_pad_attr_regwen_28_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017001 end
17002
17003 addr_hit[159]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017004 reg_rdata_next[0] = mio_pad_attr_regwen_29_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017005 end
17006
17007 addr_hit[160]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017008 reg_rdata_next[0] = mio_pad_attr_regwen_30_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017009 end
17010
17011 addr_hit[161]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017012 reg_rdata_next[0] = mio_pad_attr_regwen_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017013 end
17014
17015 addr_hit[162]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017016 reg_rdata_next[9:0] = mio_pad_attr_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017017 end
17018
17019 addr_hit[163]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017020 reg_rdata_next[9:0] = mio_pad_attr_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017021 end
17022
17023 addr_hit[164]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017024 reg_rdata_next[9:0] = mio_pad_attr_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017025 end
17026
17027 addr_hit[165]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017028 reg_rdata_next[9:0] = mio_pad_attr_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017029 end
17030
17031 addr_hit[166]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017032 reg_rdata_next[9:0] = mio_pad_attr_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017033 end
17034
17035 addr_hit[167]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017036 reg_rdata_next[9:0] = mio_pad_attr_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017037 end
17038
17039 addr_hit[168]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017040 reg_rdata_next[9:0] = mio_pad_attr_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017041 end
17042
17043 addr_hit[169]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017044 reg_rdata_next[9:0] = mio_pad_attr_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017045 end
17046
17047 addr_hit[170]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017048 reg_rdata_next[9:0] = mio_pad_attr_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017049 end
17050
17051 addr_hit[171]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017052 reg_rdata_next[9:0] = mio_pad_attr_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017053 end
17054
17055 addr_hit[172]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017056 reg_rdata_next[9:0] = mio_pad_attr_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017057 end
17058
17059 addr_hit[173]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017060 reg_rdata_next[9:0] = mio_pad_attr_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017061 end
17062
17063 addr_hit[174]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017064 reg_rdata_next[9:0] = mio_pad_attr_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017065 end
17066
17067 addr_hit[175]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017068 reg_rdata_next[9:0] = mio_pad_attr_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017069 end
17070
17071 addr_hit[176]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017072 reg_rdata_next[9:0] = mio_pad_attr_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017073 end
17074
17075 addr_hit[177]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017076 reg_rdata_next[9:0] = mio_pad_attr_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017077 end
17078
17079 addr_hit[178]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017080 reg_rdata_next[9:0] = mio_pad_attr_16_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017081 end
17082
17083 addr_hit[179]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017084 reg_rdata_next[9:0] = mio_pad_attr_17_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017085 end
17086
17087 addr_hit[180]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017088 reg_rdata_next[9:0] = mio_pad_attr_18_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017089 end
17090
17091 addr_hit[181]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017092 reg_rdata_next[9:0] = mio_pad_attr_19_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017093 end
17094
17095 addr_hit[182]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017096 reg_rdata_next[9:0] = mio_pad_attr_20_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017097 end
17098
17099 addr_hit[183]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017100 reg_rdata_next[9:0] = mio_pad_attr_21_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017101 end
17102
17103 addr_hit[184]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017104 reg_rdata_next[9:0] = mio_pad_attr_22_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017105 end
17106
17107 addr_hit[185]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017108 reg_rdata_next[9:0] = mio_pad_attr_23_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017109 end
17110
17111 addr_hit[186]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017112 reg_rdata_next[9:0] = mio_pad_attr_24_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017113 end
17114
17115 addr_hit[187]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017116 reg_rdata_next[9:0] = mio_pad_attr_25_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017117 end
17118
17119 addr_hit[188]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017120 reg_rdata_next[9:0] = mio_pad_attr_26_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017121 end
17122
17123 addr_hit[189]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017124 reg_rdata_next[9:0] = mio_pad_attr_27_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017125 end
17126
17127 addr_hit[190]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017128 reg_rdata_next[9:0] = mio_pad_attr_28_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017129 end
17130
17131 addr_hit[191]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017132 reg_rdata_next[9:0] = mio_pad_attr_29_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017133 end
17134
17135 addr_hit[192]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017136 reg_rdata_next[9:0] = mio_pad_attr_30_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017137 end
17138
17139 addr_hit[193]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017140 reg_rdata_next[9:0] = mio_pad_attr_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017141 end
17142
17143 addr_hit[194]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017144 reg_rdata_next[0] = dio_pad_attr_regwen_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017145 end
17146
17147 addr_hit[195]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017148 reg_rdata_next[0] = dio_pad_attr_regwen_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017149 end
17150
17151 addr_hit[196]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017152 reg_rdata_next[0] = dio_pad_attr_regwen_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017153 end
17154
17155 addr_hit[197]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017156 reg_rdata_next[0] = dio_pad_attr_regwen_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017157 end
17158
17159 addr_hit[198]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017160 reg_rdata_next[0] = dio_pad_attr_regwen_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017161 end
17162
17163 addr_hit[199]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017164 reg_rdata_next[0] = dio_pad_attr_regwen_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017165 end
17166
17167 addr_hit[200]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017168 reg_rdata_next[0] = dio_pad_attr_regwen_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017169 end
17170
17171 addr_hit[201]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017172 reg_rdata_next[0] = dio_pad_attr_regwen_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017173 end
17174
17175 addr_hit[202]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017176 reg_rdata_next[0] = dio_pad_attr_regwen_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017177 end
17178
17179 addr_hit[203]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017180 reg_rdata_next[0] = dio_pad_attr_regwen_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017181 end
17182
17183 addr_hit[204]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017184 reg_rdata_next[0] = dio_pad_attr_regwen_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017185 end
17186
17187 addr_hit[205]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017188 reg_rdata_next[0] = dio_pad_attr_regwen_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017189 end
17190
17191 addr_hit[206]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017192 reg_rdata_next[0] = dio_pad_attr_regwen_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017193 end
17194
17195 addr_hit[207]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017196 reg_rdata_next[0] = dio_pad_attr_regwen_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017197 end
17198
17199 addr_hit[208]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017200 reg_rdata_next[0] = dio_pad_attr_regwen_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017201 end
17202
17203 addr_hit[209]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017204 reg_rdata_next[0] = dio_pad_attr_regwen_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017205 end
17206
17207 addr_hit[210]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017208 reg_rdata_next[9:0] = dio_pad_attr_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017209 end
17210
17211 addr_hit[211]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017212 reg_rdata_next[9:0] = dio_pad_attr_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017213 end
17214
17215 addr_hit[212]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017216 reg_rdata_next[9:0] = dio_pad_attr_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017217 end
17218
17219 addr_hit[213]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017220 reg_rdata_next[9:0] = dio_pad_attr_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017221 end
17222
17223 addr_hit[214]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017224 reg_rdata_next[9:0] = dio_pad_attr_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017225 end
17226
17227 addr_hit[215]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017228 reg_rdata_next[9:0] = dio_pad_attr_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017229 end
17230
17231 addr_hit[216]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017232 reg_rdata_next[9:0] = dio_pad_attr_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017233 end
17234
17235 addr_hit[217]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017236 reg_rdata_next[9:0] = dio_pad_attr_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017237 end
17238
17239 addr_hit[218]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017240 reg_rdata_next[9:0] = dio_pad_attr_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017241 end
17242
17243 addr_hit[219]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017244 reg_rdata_next[9:0] = dio_pad_attr_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017245 end
17246
17247 addr_hit[220]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017248 reg_rdata_next[9:0] = dio_pad_attr_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017249 end
17250
17251 addr_hit[221]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017252 reg_rdata_next[9:0] = dio_pad_attr_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017253 end
17254
17255 addr_hit[222]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017256 reg_rdata_next[9:0] = dio_pad_attr_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017257 end
17258
17259 addr_hit[223]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017260 reg_rdata_next[9:0] = dio_pad_attr_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017261 end
17262
17263 addr_hit[224]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017264 reg_rdata_next[9:0] = dio_pad_attr_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017265 end
17266
17267 addr_hit[225]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017268 reg_rdata_next[9:0] = dio_pad_attr_15_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017269 end
17270
17271 addr_hit[226]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017272 reg_rdata_next[0] = mio_pad_sleep_status_en_0_qs;
17273 reg_rdata_next[1] = mio_pad_sleep_status_en_1_qs;
17274 reg_rdata_next[2] = mio_pad_sleep_status_en_2_qs;
17275 reg_rdata_next[3] = mio_pad_sleep_status_en_3_qs;
17276 reg_rdata_next[4] = mio_pad_sleep_status_en_4_qs;
17277 reg_rdata_next[5] = mio_pad_sleep_status_en_5_qs;
17278 reg_rdata_next[6] = mio_pad_sleep_status_en_6_qs;
17279 reg_rdata_next[7] = mio_pad_sleep_status_en_7_qs;
17280 reg_rdata_next[8] = mio_pad_sleep_status_en_8_qs;
17281 reg_rdata_next[9] = mio_pad_sleep_status_en_9_qs;
17282 reg_rdata_next[10] = mio_pad_sleep_status_en_10_qs;
17283 reg_rdata_next[11] = mio_pad_sleep_status_en_11_qs;
17284 reg_rdata_next[12] = mio_pad_sleep_status_en_12_qs;
17285 reg_rdata_next[13] = mio_pad_sleep_status_en_13_qs;
17286 reg_rdata_next[14] = mio_pad_sleep_status_en_14_qs;
17287 reg_rdata_next[15] = mio_pad_sleep_status_en_15_qs;
17288 reg_rdata_next[16] = mio_pad_sleep_status_en_16_qs;
17289 reg_rdata_next[17] = mio_pad_sleep_status_en_17_qs;
17290 reg_rdata_next[18] = mio_pad_sleep_status_en_18_qs;
17291 reg_rdata_next[19] = mio_pad_sleep_status_en_19_qs;
17292 reg_rdata_next[20] = mio_pad_sleep_status_en_20_qs;
17293 reg_rdata_next[21] = mio_pad_sleep_status_en_21_qs;
17294 reg_rdata_next[22] = mio_pad_sleep_status_en_22_qs;
17295 reg_rdata_next[23] = mio_pad_sleep_status_en_23_qs;
17296 reg_rdata_next[24] = mio_pad_sleep_status_en_24_qs;
17297 reg_rdata_next[25] = mio_pad_sleep_status_en_25_qs;
17298 reg_rdata_next[26] = mio_pad_sleep_status_en_26_qs;
17299 reg_rdata_next[27] = mio_pad_sleep_status_en_27_qs;
17300 reg_rdata_next[28] = mio_pad_sleep_status_en_28_qs;
17301 reg_rdata_next[29] = mio_pad_sleep_status_en_29_qs;
17302 reg_rdata_next[30] = mio_pad_sleep_status_en_30_qs;
17303 reg_rdata_next[31] = mio_pad_sleep_status_en_31_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017304 end
17305
17306 addr_hit[227]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017307 reg_rdata_next[0] = mio_pad_sleep_regwen_0_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017308 end
17309
17310 addr_hit[228]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017311 reg_rdata_next[0] = mio_pad_sleep_regwen_1_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017312 end
17313
17314 addr_hit[229]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017315 reg_rdata_next[0] = mio_pad_sleep_regwen_2_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017316 end
17317
17318 addr_hit[230]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017319 reg_rdata_next[0] = mio_pad_sleep_regwen_3_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017320 end
17321
17322 addr_hit[231]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017323 reg_rdata_next[0] = mio_pad_sleep_regwen_4_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017324 end
17325
17326 addr_hit[232]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017327 reg_rdata_next[0] = mio_pad_sleep_regwen_5_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017328 end
17329
17330 addr_hit[233]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017331 reg_rdata_next[0] = mio_pad_sleep_regwen_6_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017332 end
17333
17334 addr_hit[234]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017335 reg_rdata_next[0] = mio_pad_sleep_regwen_7_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017336 end
17337
17338 addr_hit[235]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017339 reg_rdata_next[0] = mio_pad_sleep_regwen_8_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017340 end
17341
17342 addr_hit[236]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017343 reg_rdata_next[0] = mio_pad_sleep_regwen_9_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017344 end
17345
17346 addr_hit[237]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017347 reg_rdata_next[0] = mio_pad_sleep_regwen_10_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017348 end
17349
17350 addr_hit[238]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017351 reg_rdata_next[0] = mio_pad_sleep_regwen_11_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017352 end
17353
17354 addr_hit[239]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017355 reg_rdata_next[0] = mio_pad_sleep_regwen_12_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017356 end
17357
17358 addr_hit[240]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017359 reg_rdata_next[0] = mio_pad_sleep_regwen_13_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017360 end
17361
17362 addr_hit[241]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017363 reg_rdata_next[0] = mio_pad_sleep_regwen_14_qs;
Michael Schaffner06fdb112021-02-10 16:52:56 -080017364 end
17365
17366 addr_hit[242]: begin
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017367 reg_rdata_next[0] = mio_pad_sleep_regwen_15_qs;
17368 end
17369
17370 addr_hit[243]: begin
17371 reg_rdata_next[0] = mio_pad_sleep_regwen_16_qs;
17372 end
17373
17374 addr_hit[244]: begin
17375 reg_rdata_next[0] = mio_pad_sleep_regwen_17_qs;
17376 end
17377
17378 addr_hit[245]: begin
17379 reg_rdata_next[0] = mio_pad_sleep_regwen_18_qs;
17380 end
17381
17382 addr_hit[246]: begin
17383 reg_rdata_next[0] = mio_pad_sleep_regwen_19_qs;
17384 end
17385
17386 addr_hit[247]: begin
17387 reg_rdata_next[0] = mio_pad_sleep_regwen_20_qs;
17388 end
17389
17390 addr_hit[248]: begin
17391 reg_rdata_next[0] = mio_pad_sleep_regwen_21_qs;
17392 end
17393
17394 addr_hit[249]: begin
17395 reg_rdata_next[0] = mio_pad_sleep_regwen_22_qs;
17396 end
17397
17398 addr_hit[250]: begin
17399 reg_rdata_next[0] = mio_pad_sleep_regwen_23_qs;
17400 end
17401
17402 addr_hit[251]: begin
17403 reg_rdata_next[0] = mio_pad_sleep_regwen_24_qs;
17404 end
17405
17406 addr_hit[252]: begin
17407 reg_rdata_next[0] = mio_pad_sleep_regwen_25_qs;
17408 end
17409
17410 addr_hit[253]: begin
17411 reg_rdata_next[0] = mio_pad_sleep_regwen_26_qs;
17412 end
17413
17414 addr_hit[254]: begin
17415 reg_rdata_next[0] = mio_pad_sleep_regwen_27_qs;
17416 end
17417
17418 addr_hit[255]: begin
17419 reg_rdata_next[0] = mio_pad_sleep_regwen_28_qs;
17420 end
17421
17422 addr_hit[256]: begin
17423 reg_rdata_next[0] = mio_pad_sleep_regwen_29_qs;
17424 end
17425
17426 addr_hit[257]: begin
17427 reg_rdata_next[0] = mio_pad_sleep_regwen_30_qs;
17428 end
17429
17430 addr_hit[258]: begin
17431 reg_rdata_next[0] = mio_pad_sleep_regwen_31_qs;
17432 end
17433
17434 addr_hit[259]: begin
17435 reg_rdata_next[0] = mio_pad_sleep_en_0_qs;
17436 end
17437
17438 addr_hit[260]: begin
17439 reg_rdata_next[0] = mio_pad_sleep_en_1_qs;
17440 end
17441
17442 addr_hit[261]: begin
17443 reg_rdata_next[0] = mio_pad_sleep_en_2_qs;
17444 end
17445
17446 addr_hit[262]: begin
17447 reg_rdata_next[0] = mio_pad_sleep_en_3_qs;
17448 end
17449
17450 addr_hit[263]: begin
17451 reg_rdata_next[0] = mio_pad_sleep_en_4_qs;
17452 end
17453
17454 addr_hit[264]: begin
17455 reg_rdata_next[0] = mio_pad_sleep_en_5_qs;
17456 end
17457
17458 addr_hit[265]: begin
17459 reg_rdata_next[0] = mio_pad_sleep_en_6_qs;
17460 end
17461
17462 addr_hit[266]: begin
17463 reg_rdata_next[0] = mio_pad_sleep_en_7_qs;
17464 end
17465
17466 addr_hit[267]: begin
17467 reg_rdata_next[0] = mio_pad_sleep_en_8_qs;
17468 end
17469
17470 addr_hit[268]: begin
17471 reg_rdata_next[0] = mio_pad_sleep_en_9_qs;
17472 end
17473
17474 addr_hit[269]: begin
17475 reg_rdata_next[0] = mio_pad_sleep_en_10_qs;
17476 end
17477
17478 addr_hit[270]: begin
17479 reg_rdata_next[0] = mio_pad_sleep_en_11_qs;
17480 end
17481
17482 addr_hit[271]: begin
17483 reg_rdata_next[0] = mio_pad_sleep_en_12_qs;
17484 end
17485
17486 addr_hit[272]: begin
17487 reg_rdata_next[0] = mio_pad_sleep_en_13_qs;
17488 end
17489
17490 addr_hit[273]: begin
17491 reg_rdata_next[0] = mio_pad_sleep_en_14_qs;
17492 end
17493
17494 addr_hit[274]: begin
17495 reg_rdata_next[0] = mio_pad_sleep_en_15_qs;
17496 end
17497
17498 addr_hit[275]: begin
17499 reg_rdata_next[0] = mio_pad_sleep_en_16_qs;
17500 end
17501
17502 addr_hit[276]: begin
17503 reg_rdata_next[0] = mio_pad_sleep_en_17_qs;
17504 end
17505
17506 addr_hit[277]: begin
17507 reg_rdata_next[0] = mio_pad_sleep_en_18_qs;
17508 end
17509
17510 addr_hit[278]: begin
17511 reg_rdata_next[0] = mio_pad_sleep_en_19_qs;
17512 end
17513
17514 addr_hit[279]: begin
17515 reg_rdata_next[0] = mio_pad_sleep_en_20_qs;
17516 end
17517
17518 addr_hit[280]: begin
17519 reg_rdata_next[0] = mio_pad_sleep_en_21_qs;
17520 end
17521
17522 addr_hit[281]: begin
17523 reg_rdata_next[0] = mio_pad_sleep_en_22_qs;
17524 end
17525
17526 addr_hit[282]: begin
17527 reg_rdata_next[0] = mio_pad_sleep_en_23_qs;
17528 end
17529
17530 addr_hit[283]: begin
17531 reg_rdata_next[0] = mio_pad_sleep_en_24_qs;
17532 end
17533
17534 addr_hit[284]: begin
17535 reg_rdata_next[0] = mio_pad_sleep_en_25_qs;
17536 end
17537
17538 addr_hit[285]: begin
17539 reg_rdata_next[0] = mio_pad_sleep_en_26_qs;
17540 end
17541
17542 addr_hit[286]: begin
17543 reg_rdata_next[0] = mio_pad_sleep_en_27_qs;
17544 end
17545
17546 addr_hit[287]: begin
17547 reg_rdata_next[0] = mio_pad_sleep_en_28_qs;
17548 end
17549
17550 addr_hit[288]: begin
17551 reg_rdata_next[0] = mio_pad_sleep_en_29_qs;
17552 end
17553
17554 addr_hit[289]: begin
17555 reg_rdata_next[0] = mio_pad_sleep_en_30_qs;
17556 end
17557
17558 addr_hit[290]: begin
17559 reg_rdata_next[0] = mio_pad_sleep_en_31_qs;
17560 end
17561
17562 addr_hit[291]: begin
17563 reg_rdata_next[1:0] = mio_pad_sleep_mode_0_qs;
17564 end
17565
17566 addr_hit[292]: begin
17567 reg_rdata_next[1:0] = mio_pad_sleep_mode_1_qs;
17568 end
17569
17570 addr_hit[293]: begin
17571 reg_rdata_next[1:0] = mio_pad_sleep_mode_2_qs;
17572 end
17573
17574 addr_hit[294]: begin
17575 reg_rdata_next[1:0] = mio_pad_sleep_mode_3_qs;
17576 end
17577
17578 addr_hit[295]: begin
17579 reg_rdata_next[1:0] = mio_pad_sleep_mode_4_qs;
17580 end
17581
17582 addr_hit[296]: begin
17583 reg_rdata_next[1:0] = mio_pad_sleep_mode_5_qs;
17584 end
17585
17586 addr_hit[297]: begin
17587 reg_rdata_next[1:0] = mio_pad_sleep_mode_6_qs;
17588 end
17589
17590 addr_hit[298]: begin
17591 reg_rdata_next[1:0] = mio_pad_sleep_mode_7_qs;
17592 end
17593
17594 addr_hit[299]: begin
17595 reg_rdata_next[1:0] = mio_pad_sleep_mode_8_qs;
17596 end
17597
17598 addr_hit[300]: begin
17599 reg_rdata_next[1:0] = mio_pad_sleep_mode_9_qs;
17600 end
17601
17602 addr_hit[301]: begin
17603 reg_rdata_next[1:0] = mio_pad_sleep_mode_10_qs;
17604 end
17605
17606 addr_hit[302]: begin
17607 reg_rdata_next[1:0] = mio_pad_sleep_mode_11_qs;
17608 end
17609
17610 addr_hit[303]: begin
17611 reg_rdata_next[1:0] = mio_pad_sleep_mode_12_qs;
17612 end
17613
17614 addr_hit[304]: begin
17615 reg_rdata_next[1:0] = mio_pad_sleep_mode_13_qs;
17616 end
17617
17618 addr_hit[305]: begin
17619 reg_rdata_next[1:0] = mio_pad_sleep_mode_14_qs;
17620 end
17621
17622 addr_hit[306]: begin
17623 reg_rdata_next[1:0] = mio_pad_sleep_mode_15_qs;
17624 end
17625
17626 addr_hit[307]: begin
17627 reg_rdata_next[1:0] = mio_pad_sleep_mode_16_qs;
17628 end
17629
17630 addr_hit[308]: begin
17631 reg_rdata_next[1:0] = mio_pad_sleep_mode_17_qs;
17632 end
17633
17634 addr_hit[309]: begin
17635 reg_rdata_next[1:0] = mio_pad_sleep_mode_18_qs;
17636 end
17637
17638 addr_hit[310]: begin
17639 reg_rdata_next[1:0] = mio_pad_sleep_mode_19_qs;
17640 end
17641
17642 addr_hit[311]: begin
17643 reg_rdata_next[1:0] = mio_pad_sleep_mode_20_qs;
17644 end
17645
17646 addr_hit[312]: begin
17647 reg_rdata_next[1:0] = mio_pad_sleep_mode_21_qs;
17648 end
17649
17650 addr_hit[313]: begin
17651 reg_rdata_next[1:0] = mio_pad_sleep_mode_22_qs;
17652 end
17653
17654 addr_hit[314]: begin
17655 reg_rdata_next[1:0] = mio_pad_sleep_mode_23_qs;
17656 end
17657
17658 addr_hit[315]: begin
17659 reg_rdata_next[1:0] = mio_pad_sleep_mode_24_qs;
17660 end
17661
17662 addr_hit[316]: begin
17663 reg_rdata_next[1:0] = mio_pad_sleep_mode_25_qs;
17664 end
17665
17666 addr_hit[317]: begin
17667 reg_rdata_next[1:0] = mio_pad_sleep_mode_26_qs;
17668 end
17669
17670 addr_hit[318]: begin
17671 reg_rdata_next[1:0] = mio_pad_sleep_mode_27_qs;
17672 end
17673
17674 addr_hit[319]: begin
17675 reg_rdata_next[1:0] = mio_pad_sleep_mode_28_qs;
17676 end
17677
17678 addr_hit[320]: begin
17679 reg_rdata_next[1:0] = mio_pad_sleep_mode_29_qs;
17680 end
17681
17682 addr_hit[321]: begin
17683 reg_rdata_next[1:0] = mio_pad_sleep_mode_30_qs;
17684 end
17685
17686 addr_hit[322]: begin
17687 reg_rdata_next[1:0] = mio_pad_sleep_mode_31_qs;
17688 end
17689
17690 addr_hit[323]: begin
17691 reg_rdata_next[0] = dio_pad_sleep_status_en_0_qs;
17692 reg_rdata_next[1] = dio_pad_sleep_status_en_1_qs;
17693 reg_rdata_next[2] = dio_pad_sleep_status_en_2_qs;
17694 reg_rdata_next[3] = dio_pad_sleep_status_en_3_qs;
17695 reg_rdata_next[4] = dio_pad_sleep_status_en_4_qs;
17696 reg_rdata_next[5] = dio_pad_sleep_status_en_5_qs;
17697 reg_rdata_next[6] = dio_pad_sleep_status_en_6_qs;
17698 reg_rdata_next[7] = dio_pad_sleep_status_en_7_qs;
17699 reg_rdata_next[8] = dio_pad_sleep_status_en_8_qs;
17700 reg_rdata_next[9] = dio_pad_sleep_status_en_9_qs;
17701 reg_rdata_next[10] = dio_pad_sleep_status_en_10_qs;
17702 reg_rdata_next[11] = dio_pad_sleep_status_en_11_qs;
17703 reg_rdata_next[12] = dio_pad_sleep_status_en_12_qs;
17704 reg_rdata_next[13] = dio_pad_sleep_status_en_13_qs;
17705 reg_rdata_next[14] = dio_pad_sleep_status_en_14_qs;
17706 reg_rdata_next[15] = dio_pad_sleep_status_en_15_qs;
17707 end
17708
17709 addr_hit[324]: begin
17710 reg_rdata_next[0] = dio_pad_sleep_regwen_0_qs;
17711 end
17712
17713 addr_hit[325]: begin
17714 reg_rdata_next[0] = dio_pad_sleep_regwen_1_qs;
17715 end
17716
17717 addr_hit[326]: begin
17718 reg_rdata_next[0] = dio_pad_sleep_regwen_2_qs;
17719 end
17720
17721 addr_hit[327]: begin
17722 reg_rdata_next[0] = dio_pad_sleep_regwen_3_qs;
17723 end
17724
17725 addr_hit[328]: begin
17726 reg_rdata_next[0] = dio_pad_sleep_regwen_4_qs;
17727 end
17728
17729 addr_hit[329]: begin
17730 reg_rdata_next[0] = dio_pad_sleep_regwen_5_qs;
17731 end
17732
17733 addr_hit[330]: begin
17734 reg_rdata_next[0] = dio_pad_sleep_regwen_6_qs;
17735 end
17736
17737 addr_hit[331]: begin
17738 reg_rdata_next[0] = dio_pad_sleep_regwen_7_qs;
17739 end
17740
17741 addr_hit[332]: begin
17742 reg_rdata_next[0] = dio_pad_sleep_regwen_8_qs;
17743 end
17744
17745 addr_hit[333]: begin
17746 reg_rdata_next[0] = dio_pad_sleep_regwen_9_qs;
17747 end
17748
17749 addr_hit[334]: begin
17750 reg_rdata_next[0] = dio_pad_sleep_regwen_10_qs;
17751 end
17752
17753 addr_hit[335]: begin
17754 reg_rdata_next[0] = dio_pad_sleep_regwen_11_qs;
17755 end
17756
17757 addr_hit[336]: begin
17758 reg_rdata_next[0] = dio_pad_sleep_regwen_12_qs;
17759 end
17760
17761 addr_hit[337]: begin
17762 reg_rdata_next[0] = dio_pad_sleep_regwen_13_qs;
17763 end
17764
17765 addr_hit[338]: begin
17766 reg_rdata_next[0] = dio_pad_sleep_regwen_14_qs;
17767 end
17768
17769 addr_hit[339]: begin
17770 reg_rdata_next[0] = dio_pad_sleep_regwen_15_qs;
17771 end
17772
17773 addr_hit[340]: begin
17774 reg_rdata_next[0] = dio_pad_sleep_en_0_qs;
17775 end
17776
17777 addr_hit[341]: begin
17778 reg_rdata_next[0] = dio_pad_sleep_en_1_qs;
17779 end
17780
17781 addr_hit[342]: begin
17782 reg_rdata_next[0] = dio_pad_sleep_en_2_qs;
17783 end
17784
17785 addr_hit[343]: begin
17786 reg_rdata_next[0] = dio_pad_sleep_en_3_qs;
17787 end
17788
17789 addr_hit[344]: begin
17790 reg_rdata_next[0] = dio_pad_sleep_en_4_qs;
17791 end
17792
17793 addr_hit[345]: begin
17794 reg_rdata_next[0] = dio_pad_sleep_en_5_qs;
17795 end
17796
17797 addr_hit[346]: begin
17798 reg_rdata_next[0] = dio_pad_sleep_en_6_qs;
17799 end
17800
17801 addr_hit[347]: begin
17802 reg_rdata_next[0] = dio_pad_sleep_en_7_qs;
17803 end
17804
17805 addr_hit[348]: begin
17806 reg_rdata_next[0] = dio_pad_sleep_en_8_qs;
17807 end
17808
17809 addr_hit[349]: begin
17810 reg_rdata_next[0] = dio_pad_sleep_en_9_qs;
17811 end
17812
17813 addr_hit[350]: begin
17814 reg_rdata_next[0] = dio_pad_sleep_en_10_qs;
17815 end
17816
17817 addr_hit[351]: begin
17818 reg_rdata_next[0] = dio_pad_sleep_en_11_qs;
17819 end
17820
17821 addr_hit[352]: begin
17822 reg_rdata_next[0] = dio_pad_sleep_en_12_qs;
17823 end
17824
17825 addr_hit[353]: begin
17826 reg_rdata_next[0] = dio_pad_sleep_en_13_qs;
17827 end
17828
17829 addr_hit[354]: begin
17830 reg_rdata_next[0] = dio_pad_sleep_en_14_qs;
17831 end
17832
17833 addr_hit[355]: begin
17834 reg_rdata_next[0] = dio_pad_sleep_en_15_qs;
17835 end
17836
17837 addr_hit[356]: begin
17838 reg_rdata_next[1:0] = dio_pad_sleep_mode_0_qs;
17839 end
17840
17841 addr_hit[357]: begin
17842 reg_rdata_next[1:0] = dio_pad_sleep_mode_1_qs;
17843 end
17844
17845 addr_hit[358]: begin
17846 reg_rdata_next[1:0] = dio_pad_sleep_mode_2_qs;
17847 end
17848
17849 addr_hit[359]: begin
17850 reg_rdata_next[1:0] = dio_pad_sleep_mode_3_qs;
17851 end
17852
17853 addr_hit[360]: begin
17854 reg_rdata_next[1:0] = dio_pad_sleep_mode_4_qs;
17855 end
17856
17857 addr_hit[361]: begin
17858 reg_rdata_next[1:0] = dio_pad_sleep_mode_5_qs;
17859 end
17860
17861 addr_hit[362]: begin
17862 reg_rdata_next[1:0] = dio_pad_sleep_mode_6_qs;
17863 end
17864
17865 addr_hit[363]: begin
17866 reg_rdata_next[1:0] = dio_pad_sleep_mode_7_qs;
17867 end
17868
17869 addr_hit[364]: begin
17870 reg_rdata_next[1:0] = dio_pad_sleep_mode_8_qs;
17871 end
17872
17873 addr_hit[365]: begin
17874 reg_rdata_next[1:0] = dio_pad_sleep_mode_9_qs;
17875 end
17876
17877 addr_hit[366]: begin
17878 reg_rdata_next[1:0] = dio_pad_sleep_mode_10_qs;
17879 end
17880
17881 addr_hit[367]: begin
17882 reg_rdata_next[1:0] = dio_pad_sleep_mode_11_qs;
17883 end
17884
17885 addr_hit[368]: begin
17886 reg_rdata_next[1:0] = dio_pad_sleep_mode_12_qs;
17887 end
17888
17889 addr_hit[369]: begin
17890 reg_rdata_next[1:0] = dio_pad_sleep_mode_13_qs;
17891 end
17892
17893 addr_hit[370]: begin
17894 reg_rdata_next[1:0] = dio_pad_sleep_mode_14_qs;
17895 end
17896
17897 addr_hit[371]: begin
17898 reg_rdata_next[1:0] = dio_pad_sleep_mode_15_qs;
17899 end
17900
17901 addr_hit[372]: begin
17902 reg_rdata_next[0] = wkup_detector_regwen_0_qs;
17903 end
17904
17905 addr_hit[373]: begin
17906 reg_rdata_next[0] = wkup_detector_regwen_1_qs;
17907 end
17908
17909 addr_hit[374]: begin
17910 reg_rdata_next[0] = wkup_detector_regwen_2_qs;
17911 end
17912
17913 addr_hit[375]: begin
17914 reg_rdata_next[0] = wkup_detector_regwen_3_qs;
17915 end
17916
17917 addr_hit[376]: begin
17918 reg_rdata_next[0] = wkup_detector_regwen_4_qs;
17919 end
17920
17921 addr_hit[377]: begin
17922 reg_rdata_next[0] = wkup_detector_regwen_5_qs;
17923 end
17924
17925 addr_hit[378]: begin
17926 reg_rdata_next[0] = wkup_detector_regwen_6_qs;
17927 end
17928
17929 addr_hit[379]: begin
17930 reg_rdata_next[0] = wkup_detector_regwen_7_qs;
17931 end
17932
17933 addr_hit[380]: begin
17934 reg_rdata_next[0] = wkup_detector_en_0_qs;
17935 end
17936
17937 addr_hit[381]: begin
17938 reg_rdata_next[0] = wkup_detector_en_1_qs;
17939 end
17940
17941 addr_hit[382]: begin
17942 reg_rdata_next[0] = wkup_detector_en_2_qs;
17943 end
17944
17945 addr_hit[383]: begin
17946 reg_rdata_next[0] = wkup_detector_en_3_qs;
17947 end
17948
17949 addr_hit[384]: begin
17950 reg_rdata_next[0] = wkup_detector_en_4_qs;
17951 end
17952
17953 addr_hit[385]: begin
17954 reg_rdata_next[0] = wkup_detector_en_5_qs;
17955 end
17956
17957 addr_hit[386]: begin
17958 reg_rdata_next[0] = wkup_detector_en_6_qs;
17959 end
17960
17961 addr_hit[387]: begin
17962 reg_rdata_next[0] = wkup_detector_en_7_qs;
17963 end
17964
17965 addr_hit[388]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017966 reg_rdata_next[2:0] = wkup_detector_0_mode_0_qs;
17967 reg_rdata_next[3] = wkup_detector_0_filter_0_qs;
17968 reg_rdata_next[4] = wkup_detector_0_miodio_0_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017969 end
17970
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017971 addr_hit[389]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017972 reg_rdata_next[2:0] = wkup_detector_1_mode_1_qs;
17973 reg_rdata_next[3] = wkup_detector_1_filter_1_qs;
17974 reg_rdata_next[4] = wkup_detector_1_miodio_1_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017975 end
17976
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017977 addr_hit[390]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017978 reg_rdata_next[2:0] = wkup_detector_2_mode_2_qs;
17979 reg_rdata_next[3] = wkup_detector_2_filter_2_qs;
17980 reg_rdata_next[4] = wkup_detector_2_miodio_2_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017981 end
17982
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017983 addr_hit[391]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017984 reg_rdata_next[2:0] = wkup_detector_3_mode_3_qs;
17985 reg_rdata_next[3] = wkup_detector_3_filter_3_qs;
17986 reg_rdata_next[4] = wkup_detector_3_miodio_3_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017987 end
17988
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017989 addr_hit[392]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017990 reg_rdata_next[2:0] = wkup_detector_4_mode_4_qs;
17991 reg_rdata_next[3] = wkup_detector_4_filter_4_qs;
17992 reg_rdata_next[4] = wkup_detector_4_miodio_4_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017993 end
17994
Michael Schaffner43ce8d52021-02-10 17:04:57 -080017995 addr_hit[393]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020017996 reg_rdata_next[2:0] = wkup_detector_5_mode_5_qs;
17997 reg_rdata_next[3] = wkup_detector_5_filter_5_qs;
17998 reg_rdata_next[4] = wkup_detector_5_miodio_5_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070017999 end
18000
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018001 addr_hit[394]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020018002 reg_rdata_next[2:0] = wkup_detector_6_mode_6_qs;
18003 reg_rdata_next[3] = wkup_detector_6_filter_6_qs;
18004 reg_rdata_next[4] = wkup_detector_6_miodio_6_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018005 end
18006
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018007 addr_hit[395]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020018008 reg_rdata_next[2:0] = wkup_detector_7_mode_7_qs;
18009 reg_rdata_next[3] = wkup_detector_7_filter_7_qs;
18010 reg_rdata_next[4] = wkup_detector_7_miodio_7_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018011 end
18012
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018013 addr_hit[396]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018014 reg_rdata_next[7:0] = wkup_detector_cnt_th_0_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018015 end
18016
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018017 addr_hit[397]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018018 reg_rdata_next[7:0] = wkup_detector_cnt_th_1_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018019 end
18020
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018021 addr_hit[398]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018022 reg_rdata_next[7:0] = wkup_detector_cnt_th_2_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018023 end
18024
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018025 addr_hit[399]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018026 reg_rdata_next[7:0] = wkup_detector_cnt_th_3_qs;
Michael Schaffner920e4cc2020-04-28 22:58:12 -070018027 end
18028
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018029 addr_hit[400]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018030 reg_rdata_next[7:0] = wkup_detector_cnt_th_4_qs;
18031 end
18032
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018033 addr_hit[401]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018034 reg_rdata_next[7:0] = wkup_detector_cnt_th_5_qs;
18035 end
18036
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018037 addr_hit[402]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018038 reg_rdata_next[7:0] = wkup_detector_cnt_th_6_qs;
18039 end
18040
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018041 addr_hit[403]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018042 reg_rdata_next[7:0] = wkup_detector_cnt_th_7_qs;
18043 end
18044
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018045 addr_hit[404]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018046 reg_rdata_next[5:0] = wkup_detector_padsel_0_qs;
18047 end
18048
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018049 addr_hit[405]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018050 reg_rdata_next[5:0] = wkup_detector_padsel_1_qs;
18051 end
18052
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018053 addr_hit[406]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018054 reg_rdata_next[5:0] = wkup_detector_padsel_2_qs;
18055 end
18056
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018057 addr_hit[407]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018058 reg_rdata_next[5:0] = wkup_detector_padsel_3_qs;
18059 end
18060
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018061 addr_hit[408]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018062 reg_rdata_next[5:0] = wkup_detector_padsel_4_qs;
18063 end
18064
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018065 addr_hit[409]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018066 reg_rdata_next[5:0] = wkup_detector_padsel_5_qs;
18067 end
18068
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018069 addr_hit[410]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018070 reg_rdata_next[5:0] = wkup_detector_padsel_6_qs;
18071 end
18072
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018073 addr_hit[411]: begin
Michael Schaffner06fdb112021-02-10 16:52:56 -080018074 reg_rdata_next[5:0] = wkup_detector_padsel_7_qs;
18075 end
18076
Michael Schaffner43ce8d52021-02-10 17:04:57 -080018077 addr_hit[412]: begin
Pirmin Vogel4ec676e2020-08-05 08:33:06 +020018078 reg_rdata_next[0] = wkup_cause_cause_0_qs;
18079 reg_rdata_next[1] = wkup_cause_cause_1_qs;
18080 reg_rdata_next[2] = wkup_cause_cause_2_qs;
18081 reg_rdata_next[3] = wkup_cause_cause_3_qs;
18082 reg_rdata_next[4] = wkup_cause_cause_4_qs;
18083 reg_rdata_next[5] = wkup_cause_cause_5_qs;
18084 reg_rdata_next[6] = wkup_cause_cause_6_qs;
18085 reg_rdata_next[7] = wkup_cause_cause_7_qs;
Michael Schaffner51c61442019-10-01 15:49:10 -070018086 end
18087
18088 default: begin
18089 reg_rdata_next = '1;
18090 end
18091 endcase
18092 end
18093
18094 // Assertions for Register Interface
Greg Chadwick46ede4b2020-01-14 12:46:39 +000018095 `ASSERT_PULSE(wePulse, reg_we)
18096 `ASSERT_PULSE(rePulse, reg_re)
Michael Schaffner51c61442019-10-01 15:49:10 -070018097
Greg Chadwick46ede4b2020-01-14 12:46:39 +000018098 `ASSERT(reAfterRv, $rose(reg_re || reg_we) |=> tl_o.d_valid)
Michael Schaffner51c61442019-10-01 15:49:10 -070018099
Greg Chadwick46ede4b2020-01-14 12:46:39 +000018100 `ASSERT(en2addrHit, (reg_we || reg_re) |-> $onehot0(addr_hit))
Michael Schaffner51c61442019-10-01 15:49:10 -070018101
Michael Schaffneree9e8db2019-10-22 17:49:51 -070018102 // this is formulated as an assumption such that the FPV testbenches do disprove this
18103 // property by mistake
Greg Chadwick46ede4b2020-01-14 12:46:39 +000018104 `ASSUME(reqParity, tl_reg_h2d.a_valid |-> tl_reg_h2d.a_user.parity_en == 1'b0)
Michael Schaffner51c61442019-10-01 15:49:10 -070018105
18106endmodule