Steve Nelson | 87a9d12 | 2020-10-26 13:10:20 -0700 | [diff] [blame] | 1 | // Copyright lowRISC contributors. |
| 2 | // Licensed under the Apache License, Version 2.0, see LICENSE for details. |
| 3 | // SPDX-License-Identifier: Apache-2.0 |
| 4 | |
| 5 | package csrng_test_pkg; |
| 6 | // dep packages |
| 7 | import uvm_pkg::*; |
| 8 | import cip_base_pkg::*; |
| 9 | import csrng_env_pkg::*; |
| 10 | |
| 11 | // macro includes |
| 12 | `include "uvm_macros.svh" |
| 13 | `include "dv_macros.svh" |
| 14 | |
Steve Nelson | 87a9d12 | 2020-10-26 13:10:20 -0700 | [diff] [blame] | 15 | // package sources |
| 16 | `include "csrng_base_test.sv" |
Steve Nelson | 9815d7a | 2021-04-13 06:42:59 -0700 | [diff] [blame] | 17 | `include "csrng_smoke_test.sv" |
| 18 | `include "csrng_cmds_test.sv" |
Steve Nelson | f508d2d | 2021-12-30 12:04:47 -0800 | [diff] [blame] | 19 | `include "csrng_stress_all_test.sv" |
Muqing Liu | 8692367 | 2021-12-15 20:28:05 -0800 | [diff] [blame] | 20 | `include "csrng_intr_test.sv" |
| 21 | `include "csrng_alert_test.sv" |
Vladimir Rozic | d9c8b18 | 2022-11-14 13:37:10 +0000 | [diff] [blame] | 22 | `include "csrng_regwen_test.sv" |
Steve Nelson | 87a9d12 | 2020-10-26 13:10:20 -0700 | [diff] [blame] | 23 | |
| 24 | endpackage |