blob: 5916b27e2ce4058e701b6cdc1c30da09251d5c48 [file] [log] [blame]
Steve Nelson87a9d122020-10-26 13:10:20 -07001// Copyright lowRISC contributors.
2// Licensed under the Apache License, Version 2.0, see LICENSE for details.
3// SPDX-License-Identifier: Apache-2.0
4
5package csrng_test_pkg;
6 // dep packages
7 import uvm_pkg::*;
8 import cip_base_pkg::*;
9 import csrng_env_pkg::*;
10
11 // macro includes
12 `include "uvm_macros.svh"
13 `include "dv_macros.svh"
14
Steve Nelson87a9d122020-10-26 13:10:20 -070015 // package sources
16 `include "csrng_base_test.sv"
Steve Nelson9815d7a2021-04-13 06:42:59 -070017 `include "csrng_smoke_test.sv"
18 `include "csrng_cmds_test.sv"
Steve Nelsonf508d2d2021-12-30 12:04:47 -080019 `include "csrng_stress_all_test.sv"
Muqing Liu86923672021-12-15 20:28:05 -080020 `include "csrng_intr_test.sv"
21 `include "csrng_alert_test.sv"
Vladimir Rozicd9c8b182022-11-14 13:37:10 +000022 `include "csrng_regwen_test.sv"
Steve Nelson87a9d122020-10-26 13:10:20 -070023
24endpackage