blob: 41b7f73c51facb0a4f7333a91b09297b85c36ca2 [file] [log] [blame]
lowRISC Contributors802543a2019-08-31 12:12:56 +01001// Copyright lowRISC contributors.
2// Licensed under the Apache License, Version 2.0, see LICENSE for details.
3// SPDX-License-Identifier: Apache-2.0
4
5package cip_base_pkg;
6 // dep packages
7 import uvm_pkg::*;
Srikrishna Iyer191408b2020-07-23 10:52:32 -07008 import bus_params_pkg::*;
lowRISC Contributors802543a2019-08-31 12:12:56 +01009 import dv_utils_pkg::*;
10 import csr_utils_pkg::*;
11 import dv_lib_pkg::*;
Weicai Yang0408a4e2020-06-04 15:03:50 -070012 import dv_base_reg_pkg::*;
lowRISC Contributors802543a2019-08-31 12:12:56 +010013 import tl_agent_pkg::*;
Cindy Chen12f2f882020-01-17 16:13:52 -080014 import alert_esc_agent_pkg::*;
Cindy Chen49c187f2020-12-09 12:25:40 -080015 import push_pull_agent_pkg::*;
Weicai Yang6cd84102020-06-10 19:03:24 -070016 import mem_model_pkg::*;
lowRISC Contributors802543a2019-08-31 12:12:56 +010017
18 // macro includes
19 `include "uvm_macros.svh"
20 `include "dv_macros.svh"
Weicai Yangdb58f642021-01-27 14:05:45 -080021 `include "cip_macros.svh"
lowRISC Contributors802543a2019-08-31 12:12:56 +010022
23 // package variables
24 string msg_id = "cip_base_pkg";
Cindy Chen78a7a132020-12-23 15:16:16 -080025 parameter uint EDN_BUS_WIDTH = 32;
26 parameter uint EDN_DATA_WIDTH = EDN_BUS_WIDTH + 1; // 32 bits bus data, 1 bit fips
lowRISC Contributors802543a2019-08-31 12:12:56 +010027
Cindy Chena72a6362020-09-02 10:11:28 -070028 typedef enum {
29 err_update,
30 err_storage
31 } shadow_reg_alert_e;
32
lowRISC Contributors802543a2019-08-31 12:12:56 +010033 // functions
lowRISC Contributors802543a2019-08-31 12:12:56 +010034 // package sources
35 // base env
36 `include "cip_base_env_cfg.sv"
37 `include "cip_base_env_cov.sv"
38 `include "cip_base_virtual_sequencer.sv"
39 `include "cip_base_scoreboard.sv"
40 `include "cip_base_env.sv"
41
42 // sequences
43 `include "cip_base_vseq.sv"
44
45 // tests
46 `include "cip_base_test.sv"
47
48endpackage