blob: 7f2b86b97c3fc597af793ef3a70ce5f9b933a088 [file] [log] [blame]
lowRISC Contributors802543a2019-08-31 12:12:56 +01001// Copyright lowRISC contributors.
2// Licensed under the Apache License, Version 2.0, see LICENSE for details.
3// SPDX-License-Identifier: Apache-2.0
4
5% if is_cip:
6class ${name}_scoreboard extends cip_base_scoreboard #(
7% else:
8class ${name}_scoreboard extends dv_base_scoreboard #(
9% endif
10 .CFG_T(${name}_env_cfg),
11 .RAL_T(${name}_reg_block),
12 .COV_T(${name}_env_cov)
13 );
14 `uvm_component_utils(${name}_scoreboard)
15
16 // local variables
17
18 // TLM agent fifos
19% for agent in env_agents:
20 uvm_tlm_analysis_fifo #(${agent}_item) ${agent}_fifo;
21% endfor
22
23 // local queues to hold incoming packets pending comparison
24% for agent in env_agents:
25 ${agent}_item ${agent}_q[$];
26% endfor
27
28 `uvm_component_new
29
30 function void build_phase(uvm_phase phase);
31 super.build_phase(phase);
32% for agent in env_agents:
33 ${agent}_fifo = new("${agent}_fifo", this);
34% endfor
35 endfunction
36
37 function void connect_phase(uvm_phase phase);
38 super.connect_phase(phase);
39 endfunction
40
41 task run_phase(uvm_phase phase);
42 super.run_phase(phase);
43 fork
44% for agent in env_agents:
45 process_${agent}_fifo();
46% endfor
47 join_none
48 endtask
49% for agent in env_agents:
50
51 virtual task process_${agent}_fifo();
52 ${agent}_item item;
53 forever begin
54 ${agent}_fifo.get(item);
55 `uvm_info(`gfn, $sformatf("received ${agent} item:\n%0s", item.sprint()), UVM_HIGH)
56 end
57 endtask
58% endfor
59% if is_cip:
60
61 virtual task process_tl_access(tl_seq_item item, tl_channels_e channel = DataChannel);
62 uvm_reg csr;
Cindy Chen11491532019-09-05 08:21:40 -070063 bit do_read_check = 1'b1;
64 bit write = item.is_write();
Weicai Yang698d6762019-10-15 14:07:05 -070065 uvm_reg_addr_t csr_addr = get_normalized_addr(item.a_addr);
66
67 super.process_tl_access(item, channel);
68 if (is_tl_err_exp || is_tl_unmapped_addr) return;
lowRISC Contributors802543a2019-08-31 12:12:56 +010069
70 // if access was to a valid csr, get the csr handle
Cindy Chen11491532019-09-05 08:21:40 -070071 if (csr_addr inside {cfg.csr_addrs}) begin
72 csr = ral.default_map.get_reg_by_offset(csr_addr);
lowRISC Contributors802543a2019-08-31 12:12:56 +010073 `DV_CHECK_NE_FATAL(csr, null)
74 end
Weicai Yang698d6762019-10-15 14:07:05 -070075 end else begin
76 `uvm_fatal(`gfn, $sformatf("Access unexpected addr 0x%0h", csr_addr))
lowRISC Contributors802543a2019-08-31 12:12:56 +010077 end
78
79 if (channel == AddrChannel) begin
80 // if incoming access is a write to a valid csr, then make updates right away
Cindy Chen3d265a62019-12-12 17:18:02 -080081 if (write) begin
82 void'(csr.predict(.value(item.a_data), .kind(UVM_PREDICT_WRITE), .be(item.a_mask)));
83 end
lowRISC Contributors802543a2019-08-31 12:12:56 +010084 end
85
86 // process the csr req
87 // for write, update local variable and fifo at address phase
88 // for read, update predication at address phase and compare at data phase
89 case (csr.get_name())
90 // add individual case item for each csr
91 default: begin
92 `uvm_fatal(`gfn, $sformatf("invalid csr: %0s", csr.get_full_name()))
93 end
94 endcase
95
96 // On reads, if do_read_check, is set, then check mirrored_value against item.d_data
97 if (!write && channel == DataChannel) begin
98 if (do_read_check) begin
99 `DV_CHECK_EQ(csr.get_mirrored_value(), item.d_data,
100 $sformatf("reg name: %0s", csr.get_full_name()))
101 end
Cindy Chen3d265a62019-12-12 17:18:02 -0800102 void'(csr.predict(.value(item.d_data), .kind(UVM_PREDICT_READ)));
lowRISC Contributors802543a2019-08-31 12:12:56 +0100103 end
104 endtask
105% endif
106
107 virtual function void reset(string kind = "HARD");
108 super.reset(kind);
109 // reset local fifos queues and variables
110 endfunction
111
112 function void check_phase(uvm_phase phase);
113 super.check_phase(phase);
114 // post test checks - ensure that all local fifos and queues are empty
115 endfunction
116
117endclass