| // Copyright lowRISC contributors. |
| // Licensed under the Apache License, Version 2.0, see LICENSE for details. |
| // SPDX-License-Identifier: Apache-2.0 |
| // |
| |
| package plic_pkg; |
| |
| import uvm_pkg::*; |
| import tl_agent_pkg::*; |
| |
| `include "uvm_macros.svh" |
| |
| `include "seq/irq_seq_item.sv" |
| `include "agent/irq_sequencer.sv" |
| `include "seq/irq_sequence.sv" |
| `include "agent/irq_monitor.sv" |
| `include "agent/irq_agent.sv" |
| |
| `include "seq/plic_sequence.sv" |
| `include "seq/plic_dir_sequence.sv" |
| |
| `include "env/plic_env.sv" |
| |
| `include "test/riscv_plic_dir_test.sv" |
| |
| endpackage : plic_pkg |