blob: c3e3c84625be50de18c8a93d595081d6984775d0 [file] [log] [blame]
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
#
[verilog]
include_paths = ["hw/ip/prim/rtl"]
[option]
linter = true