[keymgr/sensor_ctrl] Align alert naming with newest guidance

Signed-off-by: Michael Schaffner <msf@opentitan.org>
diff --git a/hw/ip/keymgr/data/keymgr.hjson b/hw/ip/keymgr/data/keymgr.hjson
index df2d792..5292d17 100644
--- a/hw/ip/keymgr/data/keymgr.hjson
+++ b/hw/ip/keymgr/data/keymgr.hjson
@@ -11,10 +11,10 @@
     { name: "op_done",   desc: "Operation complete" },
   ],
   alert_list: [
-    { name: "fault_err",
+    { name: "fatal_fault_err",
       desc: "Alert for key manager faults.  These errors cannot be caused by software",
     },
-    { name: "operation_err",
+    { name: "recov_operation_err",
       desc: '''
         Alert for key manager operation errors.  These errors could have been caused by
         software'''
diff --git a/hw/ip/keymgr/data/keymgr_testplan.hjson b/hw/ip/keymgr/data/keymgr_testplan.hjson
index 4e050a5..2f17d58 100644
--- a/hw/ip/keymgr/data/keymgr_testplan.hjson
+++ b/hw/ip/keymgr/data/keymgr_testplan.hjson
@@ -24,7 +24,7 @@
             Checks:
             - Check STATUS reg for each operation.
             - Check interrupts `op_done` is triggered when operation is done.
-            - Check `err` and alert `operation_err` are triggered after invalid operation.
+            - Check `err` and alert `recov_operation_err` are triggered after invalid operation.
             - Check KMAC key, KMAC data and output SW data for correctness.
             - For invalid operations, check KMAC key, KMAC data and output SW data don't match to
               any of saved meaningful data, which are collected from valid operations. This
@@ -139,7 +139,7 @@
 
             Checks:
             - Check interrupts `err` is triggered.
-            - Check alert `fault_err` is triggered and err_code is `INVALID_CMD`.
+            - Check alert `fatal_fault_err` is triggered and err_code is `INVALID_CMD`.
             - Check KMAC output key and output data to SW are corrupted, also keymgr enters
               StDisabled.
             '''
@@ -172,7 +172,7 @@
             Checks:
             when KEY_VERSION > MAX_*_VER
             - Check interrupts `err` is triggered.
-            - Check alert `operation_err` is triggered and err_code is `INVALID_KMAC_INPUT`.
+            - Check alert `recov_operation_err` is triggered and err_code is `INVALID_KMAC_INPUT`.
             - Check KMAC output key is corrupted and working state remains the same.
             '''
       milestone: V2
@@ -188,7 +188,7 @@
 
             Checks:
             - Check interrupts `err` is triggered.
-            - Check alert `operation_err` is triggered and err_code is `INVALID_KMAC_DATA`.
+            - Check alert `recov_operation_err` is triggered and err_code is `INVALID_KMAC_DATA`.
             - Check SW output isn't updated and working state remains the same.
             '''
       milestone: V2
diff --git a/hw/ip/keymgr/dv/env/keymgr_env_pkg.sv b/hw/ip/keymgr/dv/env/keymgr_env_pkg.sv
index aebba86..f42fe4b 100644
--- a/hw/ip/keymgr/dv/env/keymgr_env_pkg.sv
+++ b/hw/ip/keymgr/dv/env/keymgr_env_pkg.sv
@@ -20,7 +20,7 @@
   `include "dv_macros.svh"
 
   // parameters and types
-  parameter string LIST_OF_ALERTS[] = {"fault_err", "operation_err"};
+  parameter string LIST_OF_ALERTS[] = {"fatal_fault_err", "recov_operation_err"};
   parameter uint NUM_ALERTS = 2;
   parameter uint DIGEST_SHARE_WORD_NUM = keymgr_pkg::KeyWidth / TL_DW;
 
diff --git a/hw/ip/keymgr/dv/env/keymgr_scoreboard.sv b/hw/ip/keymgr/dv/env/keymgr_scoreboard.sv
index 9741302..44e2df6 100644
--- a/hw/ip/keymgr/dv/env/keymgr_scoreboard.sv
+++ b/hw/ip/keymgr/dv/env/keymgr_scoreboard.sv
@@ -485,8 +485,8 @@
     bit [TL_DW-1:0] err = get_err_code();
     void'(ral.err_code.predict(err));
 
-    if (get_fault_err()) set_exp_alert("fault_err");
-    if (get_op_err()) set_exp_alert("operation_err");
+    if (get_fault_err()) set_exp_alert("fatal_fault_err");
+    if (get_op_err()) set_exp_alert("recov_operation_err");
 
     `uvm_info(`gfn, $sformatf("at %s, %s is issued and error code is 'b%0b",
               current_state, get_operation(), err), UVM_MEDIUM)
diff --git a/hw/ip/keymgr/rtl/keymgr.sv b/hw/ip/keymgr/rtl/keymgr.sv
index 34623a3..3ed1c0c 100644
--- a/hw/ip/keymgr/rtl/keymgr.sv
+++ b/hw/ip/keymgr/rtl/keymgr.sv
@@ -505,10 +505,11 @@
   end
 
   logic fault_alert_test;
-  assign fault_alert_test = reg2hw.alert_test.fault_err.q & reg2hw.alert_test.fault_err.qe;
+  assign fault_alert_test = reg2hw.alert_test.fatal_fault_err.q &
+                            reg2hw.alert_test.fatal_fault_err.qe;
   prim_alert_sender #(
     .AsyncOn(AlertAsyncOn),
-    .IsFatal(0)
+    .IsFatal(1)
   ) u_fault_alert (
     .clk_i,
     .rst_ni,
@@ -521,8 +522,8 @@
   );
 
   logic op_err_alert_test;
-  assign op_err_alert_test = reg2hw.alert_test.operation_err.q &
-                             reg2hw.alert_test.operation_err.qe;
+  assign op_err_alert_test = reg2hw.alert_test.recov_operation_err.q &
+                             reg2hw.alert_test.recov_operation_err.qe;
   prim_alert_sender #(
     .AsyncOn(AlertAsyncOn),
       .IsFatal(0)
diff --git a/hw/ip/keymgr/rtl/keymgr_reg_pkg.sv b/hw/ip/keymgr/rtl/keymgr_reg_pkg.sv
index deca7bf..1db93d2 100644
--- a/hw/ip/keymgr/rtl/keymgr_reg_pkg.sv
+++ b/hw/ip/keymgr/rtl/keymgr_reg_pkg.sv
@@ -35,11 +35,11 @@
     struct packed {
       logic        q;
       logic        qe;
-    } fault_err;
+    } fatal_fault_err;
     struct packed {
       logic        q;
       logic        qe;
-    } operation_err;
+    } recov_operation_err;
   } keymgr_reg2hw_alert_test_reg_t;
 
   typedef struct packed {
diff --git a/hw/ip/keymgr/rtl/keymgr_reg_top.sv b/hw/ip/keymgr/rtl/keymgr_reg_top.sv
index c162830..d9bcc50 100644
--- a/hw/ip/keymgr/rtl/keymgr_reg_top.sv
+++ b/hw/ip/keymgr/rtl/keymgr_reg_top.sv
@@ -79,10 +79,10 @@
   logic intr_enable_we;
   logic intr_test_wd;
   logic intr_test_we;
-  logic alert_test_fault_err_wd;
-  logic alert_test_fault_err_we;
-  logic alert_test_operation_err_wd;
-  logic alert_test_operation_err_we;
+  logic alert_test_fatal_fault_err_wd;
+  logic alert_test_fatal_fault_err_we;
+  logic alert_test_recov_operation_err_wd;
+  logic alert_test_recov_operation_err_we;
   logic cfgen_qs;
   logic cfgen_re;
   logic control_start_qs;
@@ -287,32 +287,32 @@
 
   // R[alert_test]: V(True)
 
-  //   F[fault_err]: 0:0
+  //   F[fatal_fault_err]: 0:0
   prim_subreg_ext #(
     .DW    (1)
-  ) u_alert_test_fault_err (
+  ) u_alert_test_fatal_fault_err (
     .re     (1'b0),
-    .we     (alert_test_fault_err_we),
-    .wd     (alert_test_fault_err_wd),
+    .we     (alert_test_fatal_fault_err_we),
+    .wd     (alert_test_fatal_fault_err_wd),
     .d      ('0),
     .qre    (),
-    .qe     (reg2hw.alert_test.fault_err.qe),
-    .q      (reg2hw.alert_test.fault_err.q ),
+    .qe     (reg2hw.alert_test.fatal_fault_err.qe),
+    .q      (reg2hw.alert_test.fatal_fault_err.q ),
     .qs     ()
   );
 
 
-  //   F[operation_err]: 1:1
+  //   F[recov_operation_err]: 1:1
   prim_subreg_ext #(
     .DW    (1)
-  ) u_alert_test_operation_err (
+  ) u_alert_test_recov_operation_err (
     .re     (1'b0),
-    .we     (alert_test_operation_err_we),
-    .wd     (alert_test_operation_err_wd),
+    .we     (alert_test_recov_operation_err_we),
+    .wd     (alert_test_recov_operation_err_wd),
     .d      ('0),
     .qre    (),
-    .qe     (reg2hw.alert_test.operation_err.qe),
-    .q      (reg2hw.alert_test.operation_err.q ),
+    .qe     (reg2hw.alert_test.recov_operation_err.qe),
+    .q      (reg2hw.alert_test.recov_operation_err.q ),
     .qs     ()
   );
 
@@ -1598,11 +1598,11 @@
   assign intr_test_we = addr_hit[2] & reg_we & ~wr_err;
   assign intr_test_wd = reg_wdata[0];
 
-  assign alert_test_fault_err_we = addr_hit[3] & reg_we & ~wr_err;
-  assign alert_test_fault_err_wd = reg_wdata[0];
+  assign alert_test_fatal_fault_err_we = addr_hit[3] & reg_we & ~wr_err;
+  assign alert_test_fatal_fault_err_wd = reg_wdata[0];
 
-  assign alert_test_operation_err_we = addr_hit[3] & reg_we & ~wr_err;
-  assign alert_test_operation_err_wd = reg_wdata[1];
+  assign alert_test_recov_operation_err_we = addr_hit[3] & reg_we & ~wr_err;
+  assign alert_test_recov_operation_err_wd = reg_wdata[1];
 
   assign cfgen_re = addr_hit[4] && reg_re;
 
diff --git a/hw/top_earlgrey/data/autogen/top_earlgrey.gen.hjson b/hw/top_earlgrey/data/autogen/top_earlgrey.gen.hjson
index 49679ca..2af6ed0 100644
--- a/hw/top_earlgrey/data/autogen/top_earlgrey.gen.hjson
+++ b/hw/top_earlgrey/data/autogen/top_earlgrey.gen.hjson
@@ -1338,7 +1338,7 @@
       alert_list:
       [
         {
-          name: as
+          name: recov_as
           width: 1
           bits: "0"
           bitinfo:
@@ -1351,7 +1351,7 @@
           async: 0
         }
         {
-          name: cg
+          name: recov_cg
           width: 1
           bits: "1"
           bitinfo:
@@ -1364,7 +1364,7 @@
           async: 0
         }
         {
-          name: gd
+          name: recov_gd
           width: 1
           bits: "2"
           bitinfo:
@@ -1377,7 +1377,7 @@
           async: 0
         }
         {
-          name: ts_hi
+          name: recov_ts_hi
           width: 1
           bits: "3"
           bitinfo:
@@ -1390,7 +1390,7 @@
           async: 0
         }
         {
-          name: ts_lo
+          name: recov_ts_lo
           width: 1
           bits: "4"
           bitinfo:
@@ -1403,7 +1403,7 @@
           async: 0
         }
         {
-          name: ls
+          name: recov_ls
           width: 1
           bits: "5"
           bitinfo:
@@ -1416,7 +1416,7 @@
           async: 0
         }
         {
-          name: ot
+          name: recov_ot
           width: 1
           bits: "6"
           bitinfo:
@@ -4933,7 +4933,7 @@
       alert_list:
       [
         {
-          name: fault_err
+          name: fatal_fault_err
           width: 1
           bits: "0"
           bitinfo:
@@ -4946,7 +4946,7 @@
           async: 1
         }
         {
-          name: operation_err
+          name: recov_operation_err
           width: 1
           bits: "1"
           bitinfo:
@@ -9132,7 +9132,7 @@
       module_name: otbn
     }
     {
-      name: sensor_ctrl_as
+      name: sensor_ctrl_recov_as
       width: 1
       bits: "0"
       bitinfo:
@@ -9146,7 +9146,7 @@
       module_name: sensor_ctrl
     }
     {
-      name: sensor_ctrl_cg
+      name: sensor_ctrl_recov_cg
       width: 1
       bits: "1"
       bitinfo:
@@ -9160,7 +9160,7 @@
       module_name: sensor_ctrl
     }
     {
-      name: sensor_ctrl_gd
+      name: sensor_ctrl_recov_gd
       width: 1
       bits: "2"
       bitinfo:
@@ -9174,7 +9174,7 @@
       module_name: sensor_ctrl
     }
     {
-      name: sensor_ctrl_ts_hi
+      name: sensor_ctrl_recov_ts_hi
       width: 1
       bits: "3"
       bitinfo:
@@ -9188,7 +9188,7 @@
       module_name: sensor_ctrl
     }
     {
-      name: sensor_ctrl_ts_lo
+      name: sensor_ctrl_recov_ts_lo
       width: 1
       bits: "4"
       bitinfo:
@@ -9202,7 +9202,7 @@
       module_name: sensor_ctrl
     }
     {
-      name: sensor_ctrl_ls
+      name: sensor_ctrl_recov_ls
       width: 1
       bits: "5"
       bitinfo:
@@ -9216,7 +9216,7 @@
       module_name: sensor_ctrl
     }
     {
-      name: sensor_ctrl_ot
+      name: sensor_ctrl_recov_ot
       width: 1
       bits: "6"
       bitinfo:
@@ -9230,7 +9230,7 @@
       module_name: sensor_ctrl
     }
     {
-      name: keymgr_fault_err
+      name: keymgr_fatal_fault_err
       width: 1
       bits: "0"
       bitinfo:
@@ -9244,7 +9244,7 @@
       module_name: keymgr
     }
     {
-      name: keymgr_operation_err
+      name: keymgr_recov_operation_err
       width: 1
       bits: "1"
       bitinfo:
diff --git a/hw/top_earlgrey/dv/env/autogen/alert_handler_env_pkg__params.sv b/hw/top_earlgrey/dv/env/autogen/alert_handler_env_pkg__params.sv
index eda1cd2..e33f2c5 100644
--- a/hw/top_earlgrey/dv/env/autogen/alert_handler_env_pkg__params.sv
+++ b/hw/top_earlgrey/dv/env/autogen/alert_handler_env_pkg__params.sv
@@ -9,15 +9,15 @@
   "aes_fatal_fault",
   "otbn_fatal",
   "otbn_recov",
-  "sensor_ctrl_as",
-  "sensor_ctrl_cg",
-  "sensor_ctrl_gd",
-  "sensor_ctrl_ts_hi",
-  "sensor_ctrl_ts_lo",
-  "sensor_ctrl_ls",
-  "sensor_ctrl_ot",
-  "keymgr_fault_err",
-  "keymgr_operation_err",
+  "sensor_ctrl_recov_as",
+  "sensor_ctrl_recov_cg",
+  "sensor_ctrl_recov_gd",
+  "sensor_ctrl_recov_ts_hi",
+  "sensor_ctrl_recov_ts_lo",
+  "sensor_ctrl_recov_ls",
+  "sensor_ctrl_recov_ot",
+  "keymgr_fatal_fault_err",
+  "keymgr_recov_operation_err",
   "otp_ctrl_fatal_macro_error",
   "otp_ctrl_fatal_check_error",
   "lc_ctrl_fatal_prog_error",
diff --git a/hw/top_earlgrey/ip/sensor_ctrl/data/sensor_ctrl.hjson b/hw/top_earlgrey/ip/sensor_ctrl/data/sensor_ctrl.hjson
index 525c8b3..9d01c41 100644
--- a/hw/top_earlgrey/ip/sensor_ctrl/data/sensor_ctrl.hjson
+++ b/hw/top_earlgrey/ip/sensor_ctrl/data/sensor_ctrl.hjson
@@ -70,25 +70,25 @@
   ],
 
   alert_list: [
-    { name: "as",
+    { name: "recov_as",
       desc: "Triggered through AST",
     },
-    { name: "cg",
+    { name: "recov_cg",
       desc: "Triggered through AST",
     },
-    { name: "gd",
+    { name: "recov_gd",
       desc: "Triggered through AST",
     },
-    { name: "ts_hi",
+    { name: "recov_ts_hi",
       desc: "Triggered through AST",
     },
-    { name: "ts_lo",
+    { name: "recov_ts_lo",
       desc: "Triggered through AST",
     },
-    { name: "ls",
+    { name: "recov_ls",
       desc: "Triggered through AST",
     },
-    { name: "ot",
+    { name: "recov_ot",
       desc: "Triggered through AST",
     },
   ]
diff --git a/hw/top_earlgrey/ip/sensor_ctrl/rtl/sensor_ctrl.sv b/hw/top_earlgrey/ip/sensor_ctrl/rtl/sensor_ctrl.sv
index 2643f64..9be6cee 100644
--- a/hw/top_earlgrey/ip/sensor_ctrl/rtl/sensor_ctrl.sv
+++ b/hw/top_earlgrey/ip/sensor_ctrl/rtl/sensor_ctrl.sv
@@ -63,13 +63,14 @@
   assign alerts_vld = ast_alert_i.alerts_p | ~ast_alert_i.alerts_n;
 
   // alert test connection
-  assign alert_test[AsSel]   = reg2hw.alert_test.as.qe    & reg2hw.alert_test.as.q;
-  assign alert_test[CgSel]   = reg2hw.alert_test.cg.qe    & reg2hw.alert_test.cg.q;
-  assign alert_test[GdSel]   = reg2hw.alert_test.gd.qe    & reg2hw.alert_test.gd.q;
-  assign alert_test[TsHiSel] = reg2hw.alert_test.ts_hi.qe & reg2hw.alert_test.ts_hi.q;
-  assign alert_test[TsLoSel] = reg2hw.alert_test.ts_lo.qe & reg2hw.alert_test.ts_lo.q;
-  assign alert_test[LsSel]   = reg2hw.alert_test.ls.qe    & reg2hw.alert_test.ls.q;
-  assign alert_test[OtSel]   = reg2hw.alert_test.ot.qe    & reg2hw.alert_test.ot.q;
+  assign alert_test[AsSel]   = reg2hw.alert_test.recov_as.qe    & reg2hw.alert_test.recov_as.q;
+  assign alert_test[CgSel]   = reg2hw.alert_test.recov_cg.qe    & reg2hw.alert_test.recov_cg.q;
+  assign alert_test[GdSel]   = reg2hw.alert_test.recov_gd.qe    & reg2hw.alert_test.recov_gd.q;
+  assign alert_test[TsHiSel] = reg2hw.alert_test.recov_ts_hi.qe & reg2hw.alert_test.recov_ts_hi.q;
+  assign alert_test[TsLoSel] = reg2hw.alert_test.recov_ts_lo.qe & reg2hw.alert_test.recov_ts_lo.q;
+  assign alert_test[LsSel]   = reg2hw.alert_test.recov_ls.qe    & reg2hw.alert_test.recov_ls.q;
+  assign alert_test[OtSel]   = reg2hw.alert_test.recov_ot.qe    & reg2hw.alert_test.recov_ot.q;
+
 
   // fire an alert whenever indicated, or whenever input no longer differential
   for (genvar i = 0; i < NumAlerts; i++) begin : gen_alert_senders
@@ -89,7 +90,7 @@
     assign alert_req = sw_ack_mode[i] ? reg2hw.alert_state[i].q : valid_alert;
     prim_alert_sender #(
       .AsyncOn(AsyncOn),
-      .IsFatal(1)
+      .IsFatal(0)
     ) u_prim_alert_sender (
       .clk_i,
       .rst_ni,
diff --git a/hw/top_earlgrey/ip/sensor_ctrl/rtl/sensor_ctrl_reg_pkg.sv b/hw/top_earlgrey/ip/sensor_ctrl/rtl/sensor_ctrl_reg_pkg.sv
index 572c185..834f471 100644
--- a/hw/top_earlgrey/ip/sensor_ctrl/rtl/sensor_ctrl_reg_pkg.sv
+++ b/hw/top_earlgrey/ip/sensor_ctrl/rtl/sensor_ctrl_reg_pkg.sv
@@ -27,31 +27,31 @@
     struct packed {
       logic        q;
       logic        qe;
-    } as;
+    } recov_as;
     struct packed {
       logic        q;
       logic        qe;
-    } cg;
+    } recov_cg;
     struct packed {
       logic        q;
       logic        qe;
-    } gd;
+    } recov_gd;
     struct packed {
       logic        q;
       logic        qe;
-    } ts_hi;
+    } recov_ts_hi;
     struct packed {
       logic        q;
       logic        qe;
-    } ts_lo;
+    } recov_ts_lo;
     struct packed {
       logic        q;
       logic        qe;
-    } ls;
+    } recov_ls;
     struct packed {
       logic        q;
       logic        qe;
-    } ot;
+    } recov_ot;
   } sensor_ctrl_reg2hw_alert_test_reg_t;
 
   typedef struct packed {
diff --git a/hw/top_earlgrey/ip/sensor_ctrl/rtl/sensor_ctrl_reg_top.sv b/hw/top_earlgrey/ip/sensor_ctrl/rtl/sensor_ctrl_reg_top.sv
index 0bf7f16..38e7232 100644
--- a/hw/top_earlgrey/ip/sensor_ctrl/rtl/sensor_ctrl_reg_top.sv
+++ b/hw/top_earlgrey/ip/sensor_ctrl/rtl/sensor_ctrl_reg_top.sv
@@ -71,20 +71,20 @@
   // Define SW related signals
   // Format: <reg>_<field>_{wd|we|qs}
   //        or <reg>_{wd|we|qs} if field == 1 or 0
-  logic alert_test_as_wd;
-  logic alert_test_as_we;
-  logic alert_test_cg_wd;
-  logic alert_test_cg_we;
-  logic alert_test_gd_wd;
-  logic alert_test_gd_we;
-  logic alert_test_ts_hi_wd;
-  logic alert_test_ts_hi_we;
-  logic alert_test_ts_lo_wd;
-  logic alert_test_ts_lo_we;
-  logic alert_test_ls_wd;
-  logic alert_test_ls_we;
-  logic alert_test_ot_wd;
-  logic alert_test_ot_we;
+  logic alert_test_recov_as_wd;
+  logic alert_test_recov_as_we;
+  logic alert_test_recov_cg_wd;
+  logic alert_test_recov_cg_we;
+  logic alert_test_recov_gd_wd;
+  logic alert_test_recov_gd_we;
+  logic alert_test_recov_ts_hi_wd;
+  logic alert_test_recov_ts_hi_we;
+  logic alert_test_recov_ts_lo_wd;
+  logic alert_test_recov_ts_lo_we;
+  logic alert_test_recov_ls_wd;
+  logic alert_test_recov_ls_we;
+  logic alert_test_recov_ot_wd;
+  logic alert_test_recov_ot_we;
   logic cfg_regwen_qs;
   logic cfg_regwen_wd;
   logic cfg_regwen_we;
@@ -156,107 +156,107 @@
   // Register instances
   // R[alert_test]: V(True)
 
-  //   F[as]: 0:0
+  //   F[recov_as]: 0:0
   prim_subreg_ext #(
     .DW    (1)
-  ) u_alert_test_as (
+  ) u_alert_test_recov_as (
     .re     (1'b0),
-    .we     (alert_test_as_we),
-    .wd     (alert_test_as_wd),
+    .we     (alert_test_recov_as_we),
+    .wd     (alert_test_recov_as_wd),
     .d      ('0),
     .qre    (),
-    .qe     (reg2hw.alert_test.as.qe),
-    .q      (reg2hw.alert_test.as.q ),
+    .qe     (reg2hw.alert_test.recov_as.qe),
+    .q      (reg2hw.alert_test.recov_as.q ),
     .qs     ()
   );
 
 
-  //   F[cg]: 1:1
+  //   F[recov_cg]: 1:1
   prim_subreg_ext #(
     .DW    (1)
-  ) u_alert_test_cg (
+  ) u_alert_test_recov_cg (
     .re     (1'b0),
-    .we     (alert_test_cg_we),
-    .wd     (alert_test_cg_wd),
+    .we     (alert_test_recov_cg_we),
+    .wd     (alert_test_recov_cg_wd),
     .d      ('0),
     .qre    (),
-    .qe     (reg2hw.alert_test.cg.qe),
-    .q      (reg2hw.alert_test.cg.q ),
+    .qe     (reg2hw.alert_test.recov_cg.qe),
+    .q      (reg2hw.alert_test.recov_cg.q ),
     .qs     ()
   );
 
 
-  //   F[gd]: 2:2
+  //   F[recov_gd]: 2:2
   prim_subreg_ext #(
     .DW    (1)
-  ) u_alert_test_gd (
+  ) u_alert_test_recov_gd (
     .re     (1'b0),
-    .we     (alert_test_gd_we),
-    .wd     (alert_test_gd_wd),
+    .we     (alert_test_recov_gd_we),
+    .wd     (alert_test_recov_gd_wd),
     .d      ('0),
     .qre    (),
-    .qe     (reg2hw.alert_test.gd.qe),
-    .q      (reg2hw.alert_test.gd.q ),
+    .qe     (reg2hw.alert_test.recov_gd.qe),
+    .q      (reg2hw.alert_test.recov_gd.q ),
     .qs     ()
   );
 
 
-  //   F[ts_hi]: 3:3
+  //   F[recov_ts_hi]: 3:3
   prim_subreg_ext #(
     .DW    (1)
-  ) u_alert_test_ts_hi (
+  ) u_alert_test_recov_ts_hi (
     .re     (1'b0),
-    .we     (alert_test_ts_hi_we),
-    .wd     (alert_test_ts_hi_wd),
+    .we     (alert_test_recov_ts_hi_we),
+    .wd     (alert_test_recov_ts_hi_wd),
     .d      ('0),
     .qre    (),
-    .qe     (reg2hw.alert_test.ts_hi.qe),
-    .q      (reg2hw.alert_test.ts_hi.q ),
+    .qe     (reg2hw.alert_test.recov_ts_hi.qe),
+    .q      (reg2hw.alert_test.recov_ts_hi.q ),
     .qs     ()
   );
 
 
-  //   F[ts_lo]: 4:4
+  //   F[recov_ts_lo]: 4:4
   prim_subreg_ext #(
     .DW    (1)
-  ) u_alert_test_ts_lo (
+  ) u_alert_test_recov_ts_lo (
     .re     (1'b0),
-    .we     (alert_test_ts_lo_we),
-    .wd     (alert_test_ts_lo_wd),
+    .we     (alert_test_recov_ts_lo_we),
+    .wd     (alert_test_recov_ts_lo_wd),
     .d      ('0),
     .qre    (),
-    .qe     (reg2hw.alert_test.ts_lo.qe),
-    .q      (reg2hw.alert_test.ts_lo.q ),
+    .qe     (reg2hw.alert_test.recov_ts_lo.qe),
+    .q      (reg2hw.alert_test.recov_ts_lo.q ),
     .qs     ()
   );
 
 
-  //   F[ls]: 5:5
+  //   F[recov_ls]: 5:5
   prim_subreg_ext #(
     .DW    (1)
-  ) u_alert_test_ls (
+  ) u_alert_test_recov_ls (
     .re     (1'b0),
-    .we     (alert_test_ls_we),
-    .wd     (alert_test_ls_wd),
+    .we     (alert_test_recov_ls_we),
+    .wd     (alert_test_recov_ls_wd),
     .d      ('0),
     .qre    (),
-    .qe     (reg2hw.alert_test.ls.qe),
-    .q      (reg2hw.alert_test.ls.q ),
+    .qe     (reg2hw.alert_test.recov_ls.qe),
+    .q      (reg2hw.alert_test.recov_ls.q ),
     .qs     ()
   );
 
 
-  //   F[ot]: 6:6
+  //   F[recov_ot]: 6:6
   prim_subreg_ext #(
     .DW    (1)
-  ) u_alert_test_ot (
+  ) u_alert_test_recov_ot (
     .re     (1'b0),
-    .we     (alert_test_ot_we),
-    .wd     (alert_test_ot_wd),
+    .we     (alert_test_recov_ot_we),
+    .wd     (alert_test_recov_ot_wd),
     .d      ('0),
     .qre    (),
-    .qe     (reg2hw.alert_test.ot.qe),
-    .q      (reg2hw.alert_test.ot.q ),
+    .qe     (reg2hw.alert_test.recov_ot.qe),
+    .q      (reg2hw.alert_test.recov_ot.q ),
     .qs     ()
   );
 
@@ -901,26 +901,26 @@
     if (addr_hit[5] && reg_we && (SENSOR_CTRL_PERMIT[5] != (SENSOR_CTRL_PERMIT[5] & reg_be))) wr_err = 1'b1 ;
   end
 
-  assign alert_test_as_we = addr_hit[0] & reg_we & ~wr_err;
-  assign alert_test_as_wd = reg_wdata[0];
+  assign alert_test_recov_as_we = addr_hit[0] & reg_we & ~wr_err;
+  assign alert_test_recov_as_wd = reg_wdata[0];
 
-  assign alert_test_cg_we = addr_hit[0] & reg_we & ~wr_err;
-  assign alert_test_cg_wd = reg_wdata[1];
+  assign alert_test_recov_cg_we = addr_hit[0] & reg_we & ~wr_err;
+  assign alert_test_recov_cg_wd = reg_wdata[1];
 
-  assign alert_test_gd_we = addr_hit[0] & reg_we & ~wr_err;
-  assign alert_test_gd_wd = reg_wdata[2];
+  assign alert_test_recov_gd_we = addr_hit[0] & reg_we & ~wr_err;
+  assign alert_test_recov_gd_wd = reg_wdata[2];
 
-  assign alert_test_ts_hi_we = addr_hit[0] & reg_we & ~wr_err;
-  assign alert_test_ts_hi_wd = reg_wdata[3];
+  assign alert_test_recov_ts_hi_we = addr_hit[0] & reg_we & ~wr_err;
+  assign alert_test_recov_ts_hi_wd = reg_wdata[3];
 
-  assign alert_test_ts_lo_we = addr_hit[0] & reg_we & ~wr_err;
-  assign alert_test_ts_lo_wd = reg_wdata[4];
+  assign alert_test_recov_ts_lo_we = addr_hit[0] & reg_we & ~wr_err;
+  assign alert_test_recov_ts_lo_wd = reg_wdata[4];
 
-  assign alert_test_ls_we = addr_hit[0] & reg_we & ~wr_err;
-  assign alert_test_ls_wd = reg_wdata[5];
+  assign alert_test_recov_ls_we = addr_hit[0] & reg_we & ~wr_err;
+  assign alert_test_recov_ls_wd = reg_wdata[5];
 
-  assign alert_test_ot_we = addr_hit[0] & reg_we & ~wr_err;
-  assign alert_test_ot_wd = reg_wdata[6];
+  assign alert_test_recov_ot_we = addr_hit[0] & reg_we & ~wr_err;
+  assign alert_test_recov_ot_wd = reg_wdata[6];
 
   assign cfg_regwen_we = addr_hit[1] & reg_we & ~wr_err;
   assign cfg_regwen_wd = reg_wdata[0];
diff --git a/hw/top_earlgrey/rtl/autogen/top_earlgrey.sv b/hw/top_earlgrey/rtl/autogen/top_earlgrey.sv
index 53e5d57..41323b5 100644
--- a/hw/top_earlgrey/rtl/autogen/top_earlgrey.sv
+++ b/hw/top_earlgrey/rtl/autogen/top_earlgrey.sv
@@ -946,13 +946,13 @@
 
   sensor_ctrl u_sensor_ctrl (
 
-      // [0]: as
-      // [1]: cg
-      // [2]: gd
-      // [3]: ts_hi
-      // [4]: ts_lo
-      // [5]: ls
-      // [6]: ot
+      // [0]: recov_as
+      // [1]: recov_cg
+      // [2]: recov_gd
+      // [3]: recov_ts_hi
+      // [4]: recov_ts_lo
+      // [5]: recov_ls
+      // [6]: recov_ot
       .alert_tx_o  ( alert_tx[6:0] ),
       .alert_rx_i  ( alert_rx[6:0] ),
 
@@ -1468,8 +1468,8 @@
       // Interrupt
       .intr_op_done_o (intr_keymgr_op_done),
 
-      // [17]: fault_err
-      // [18]: operation_err
+      // [17]: fatal_fault_err
+      // [18]: recov_operation_err
       .alert_tx_o  ( alert_tx[18:17] ),
       .alert_rx_i  ( alert_rx[18:17] ),
 
diff --git a/hw/top_earlgrey/sw/autogen/top_earlgrey.c b/hw/top_earlgrey/sw/autogen/top_earlgrey.c
index 4365fe5..5b3957c 100644
--- a/hw/top_earlgrey/sw/autogen/top_earlgrey.c
+++ b/hw/top_earlgrey/sw/autogen/top_earlgrey.c
@@ -149,15 +149,15 @@
   [kTopEarlgreyAlertIdAesFatalFault] = kTopEarlgreyAlertPeripheralAes,
   [kTopEarlgreyAlertIdOtbnFatal] = kTopEarlgreyAlertPeripheralOtbn,
   [kTopEarlgreyAlertIdOtbnRecov] = kTopEarlgreyAlertPeripheralOtbn,
-  [kTopEarlgreyAlertIdSensorCtrlAs] = kTopEarlgreyAlertPeripheralSensorCtrl,
-  [kTopEarlgreyAlertIdSensorCtrlCg] = kTopEarlgreyAlertPeripheralSensorCtrl,
-  [kTopEarlgreyAlertIdSensorCtrlGd] = kTopEarlgreyAlertPeripheralSensorCtrl,
-  [kTopEarlgreyAlertIdSensorCtrlTsHi] = kTopEarlgreyAlertPeripheralSensorCtrl,
-  [kTopEarlgreyAlertIdSensorCtrlTsLo] = kTopEarlgreyAlertPeripheralSensorCtrl,
-  [kTopEarlgreyAlertIdSensorCtrlLs] = kTopEarlgreyAlertPeripheralSensorCtrl,
-  [kTopEarlgreyAlertIdSensorCtrlOt] = kTopEarlgreyAlertPeripheralSensorCtrl,
-  [kTopEarlgreyAlertIdKeymgrFaultErr] = kTopEarlgreyAlertPeripheralKeymgr,
-  [kTopEarlgreyAlertIdKeymgrOperationErr] = kTopEarlgreyAlertPeripheralKeymgr,
+  [kTopEarlgreyAlertIdSensorCtrlRecovAs] = kTopEarlgreyAlertPeripheralSensorCtrl,
+  [kTopEarlgreyAlertIdSensorCtrlRecovCg] = kTopEarlgreyAlertPeripheralSensorCtrl,
+  [kTopEarlgreyAlertIdSensorCtrlRecovGd] = kTopEarlgreyAlertPeripheralSensorCtrl,
+  [kTopEarlgreyAlertIdSensorCtrlRecovTsHi] = kTopEarlgreyAlertPeripheralSensorCtrl,
+  [kTopEarlgreyAlertIdSensorCtrlRecovTsLo] = kTopEarlgreyAlertPeripheralSensorCtrl,
+  [kTopEarlgreyAlertIdSensorCtrlRecovLs] = kTopEarlgreyAlertPeripheralSensorCtrl,
+  [kTopEarlgreyAlertIdSensorCtrlRecovOt] = kTopEarlgreyAlertPeripheralSensorCtrl,
+  [kTopEarlgreyAlertIdKeymgrFatalFaultErr] = kTopEarlgreyAlertPeripheralKeymgr,
+  [kTopEarlgreyAlertIdKeymgrRecovOperationErr] = kTopEarlgreyAlertPeripheralKeymgr,
   [kTopEarlgreyAlertIdOtpCtrlFatalMacroError] = kTopEarlgreyAlertPeripheralOtpCtrl,
   [kTopEarlgreyAlertIdOtpCtrlFatalCheckError] = kTopEarlgreyAlertPeripheralOtpCtrl,
   [kTopEarlgreyAlertIdLcCtrlFatalProgError] = kTopEarlgreyAlertPeripheralLcCtrl,
diff --git a/hw/top_earlgrey/sw/autogen/top_earlgrey.h b/hw/top_earlgrey/sw/autogen/top_earlgrey.h
index 8761150..b307013 100644
--- a/hw/top_earlgrey/sw/autogen/top_earlgrey.h
+++ b/hw/top_earlgrey/sw/autogen/top_earlgrey.h
@@ -839,15 +839,15 @@
   kTopEarlgreyAlertIdAesFatalFault = 1, /**< aes_fatal_fault */
   kTopEarlgreyAlertIdOtbnFatal = 2, /**< otbn_fatal */
   kTopEarlgreyAlertIdOtbnRecov = 3, /**< otbn_recov */
-  kTopEarlgreyAlertIdSensorCtrlAs = 4, /**< sensor_ctrl_as */
-  kTopEarlgreyAlertIdSensorCtrlCg = 5, /**< sensor_ctrl_cg */
-  kTopEarlgreyAlertIdSensorCtrlGd = 6, /**< sensor_ctrl_gd */
-  kTopEarlgreyAlertIdSensorCtrlTsHi = 7, /**< sensor_ctrl_ts_hi */
-  kTopEarlgreyAlertIdSensorCtrlTsLo = 8, /**< sensor_ctrl_ts_lo */
-  kTopEarlgreyAlertIdSensorCtrlLs = 9, /**< sensor_ctrl_ls */
-  kTopEarlgreyAlertIdSensorCtrlOt = 10, /**< sensor_ctrl_ot */
-  kTopEarlgreyAlertIdKeymgrFaultErr = 11, /**< keymgr_fault_err */
-  kTopEarlgreyAlertIdKeymgrOperationErr = 12, /**< keymgr_operation_err */
+  kTopEarlgreyAlertIdSensorCtrlRecovAs = 4, /**< sensor_ctrl_recov_as */
+  kTopEarlgreyAlertIdSensorCtrlRecovCg = 5, /**< sensor_ctrl_recov_cg */
+  kTopEarlgreyAlertIdSensorCtrlRecovGd = 6, /**< sensor_ctrl_recov_gd */
+  kTopEarlgreyAlertIdSensorCtrlRecovTsHi = 7, /**< sensor_ctrl_recov_ts_hi */
+  kTopEarlgreyAlertIdSensorCtrlRecovTsLo = 8, /**< sensor_ctrl_recov_ts_lo */
+  kTopEarlgreyAlertIdSensorCtrlRecovLs = 9, /**< sensor_ctrl_recov_ls */
+  kTopEarlgreyAlertIdSensorCtrlRecovOt = 10, /**< sensor_ctrl_recov_ot */
+  kTopEarlgreyAlertIdKeymgrFatalFaultErr = 11, /**< keymgr_fatal_fault_err */
+  kTopEarlgreyAlertIdKeymgrRecovOperationErr = 12, /**< keymgr_recov_operation_err */
   kTopEarlgreyAlertIdOtpCtrlFatalMacroError = 13, /**< otp_ctrl_fatal_macro_error */
   kTopEarlgreyAlertIdOtpCtrlFatalCheckError = 14, /**< otp_ctrl_fatal_check_error */
   kTopEarlgreyAlertIdLcCtrlFatalProgError = 15, /**< lc_ctrl_fatal_prog_error */