// Copyright lowRISC contributors. | |
// Licensed under the Apache License, Version 2.0, see LICENSE for details. | |
// SPDX-License-Identifier: Apache-2.0 | |
class uart_sequencer extends uvm_sequencer #(uart_item); | |
`uvm_component_utils(uart_sequencer) | |
uart_agent_cfg cfg; | |
`uvm_component_new | |
endclass |