blob: 147a60d12a450f7e7b60e229e6d393a6ffd889ec [file] [log] [blame] [edit]
diff --git a/BUILD.bazel b/BUILD.bazel
index e9d9ac9..2118808 100644
--- a/BUILD.bazel
+++ b/BUILD.bazel
@@ -15,14 +15,7 @@ filegroup(
"@lowrisc_opentitan//hw/vendor:BUILD",
"@axi2sramcrs//:BUILD",
"@isp_yocto//:BUILD",
- ] + select({
- "//rules:airgapped_env": [
- "@fastvdma_core//:fastvdma.core",
- "@kelvin_core//:kelvin.core",
- ],
- "//conditions:default": [
- "//hw/ip/dma/chisel:fastvdma.core",
- "@kelvin_hw//hdl/chisel:kelvin.core",
- ],
- }),
+ "@fastvdma_core//:fastvdma.core",
+ "@kelvin_core//:kelvin.core",
+ ],
)
diff --git a/hw/BUILD b/hw/BUILD
index 392bca0..657fbd7 100644
--- a/hw/BUILD
+++ b/hw/BUILD
@@ -127,14 +127,7 @@ filegroup(
srcs = glob(["**"]) + [
"//hw/ip:all_files",
"@lowrisc_opentitan//hw/dv:all_files",
- ] + select({
- "//rules:airgapped_env": [
- "@fastvdma_core//:all_files",
- "@kelvin_core//:all_files",
- ],
- "//conditions:default": [
- "//hw/ip/dma/chisel:fastvdma_core",
- "@kelvin_hw//hdl/chisel:kelvin_core",
- ],
- }),
+ "@fastvdma_core//:all_files",
+ "@kelvin_core//:all_files",
+ ],
)
diff --git a/hw/ip/dma/chisel/BUILD b/hw/ip/dma/chisel/BUILD
deleted file mode 100644
index 1272457..0000000
--- a/hw/ip/dma/chisel/BUILD
+++ /dev/null
@@ -1,45 +0,0 @@
-# Copyright 2023 Google LLC
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-# http://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-
-genrule(
- name = "fastvdma_verilog",
- srcs = [
- "//hw/ip/dma/chisel/src:DMATop.sv"
- ],
- outs = [
- "fastvdma.sv",
- ],
-
- cmd = """
- cat $(location //hw/ip/dma/chisel/src:DMATop.sv) >> $(location fastvdma.sv)
- """,
- visibility = ["//visibility:public"],
-)
-
-# Generate fastvdma.core from this template so it can sit at the same GenDir as
-# the RTL file.
-genrule(
- name = "fastvdma_core",
- srcs = [
- "fastvdma.core.in",
- "fastvdma_verilog",
- ],
- outs = [
- "fastvdma.core",
- ],
- cmd = """
- cp -f $(location fastvdma.core.in) $(location fastvdma.core)
- """,
- visibility = ["//visibility:public"],
-)
diff --git a/hw/ip/dma/chisel/src/BUILD b/hw/ip/dma/chisel/src/BUILD
deleted file mode 100644
index 745cb3f..0000000
--- a/hw/ip/dma/chisel/src/BUILD
+++ /dev/null
@@ -1,54 +0,0 @@
-# Copyright 2024 Google LLC
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-# http://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-
-load("@kelvin_hw//rules:chisel.bzl", "chisel_cc_library", "chisel_library")
-
-package(default_visibility = ["//visibility:public"])
-
-chisel_library(
- name = "fastvdma_tlul",
- srcs = [
- "DMAConfig.scala",
- "DMATop.scala",
- "bus/TLUL.scala",
- "csr/CSR.scala",
- "csr/CSRBusBundle.scala",
- "csr/CSRRegBundle.scala",
- "csr/ClearCSR.scala",
- "csr/SetCSR.scala",
- "csr/SimpleCSR.scala",
- "csr/StatusCSR.scala",
- "frontend/BusBase.scala",
- "frontend/TLULCSR.scala",
- "frontend/TLULReader.scala",
- "frontend/TLULWriter.scala",
- "worker/AddressGenerator.scala",
- "worker/AddressGeneratorCtlBundle.scala",
- "worker/InterruptBundle.scala",
- "worker/InterruptController.scala",
- "worker/SyncBundle.scala",
- "worker/TransferSplitter.scala",
- "worker/WorkerCSRWrapper.scala",
- "worker/XferDescBundle.scala",
- ],
- deps = [
- ],
-)
-
-chisel_cc_library(
- name = "fastvdma_cc_library",
- chisel_lib = ":fastvdma_tlul",
- emit_class = "DMAController.EmitDMA",
- module_name = "DMATop",
-)