[padctrl] Add padctrl implementation

* [padctrl] Initial commit of regfile template and documentation
* [padctrl] Add generated files
* [padctrl] Add RTL implementation
* [padctrl/prim_pad_wrapper] Make lint clean
* [padctrl] Update documentation
* [padctrl] Align defaults in documentation and implementation
diff --git a/hw/Makefile b/hw/Makefile
index f10398d..2f4e224 100644
--- a/hw/Makefile
+++ b/hw/Makefile
@@ -11,7 +11,8 @@
        hmac          \
        usbuart       \
        alert_handler \
-       pinmux
+       pinmux        \
+       padctrl
 
 TOPS ?= top_earlgrey
 
diff --git a/hw/ip/padctrl/doc/generic_pad_wrapper.svg b/hw/ip/padctrl/doc/generic_pad_wrapper.svg
new file mode 100644
index 0000000..5be77aa
--- /dev/null
+++ b/hw/ip/padctrl/doc/generic_pad_wrapper.svg
@@ -0,0 +1 @@
+<svg version="1.1" viewBox="0.0 0.0 367.43044619422574 268.0761154855643" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l367.43045 0l0 268.0761l-367.43045 0l0 -268.0761z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l367.43045 0l0 268.0761l-367.43045 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m33.811024 8.0l254.20473 0l0 255.24408l-254.20473 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m33.811024 8.0l254.20473 0l0 255.24408l-254.20473 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m152.16011 92.03412l184.28346 -0.12598419" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m152.16011 92.03412l184.28346 -0.12598419" fill-rule="evenodd"/><path fill="#ffffff" d="m318.9029 91.968506l8.0 -8.0l24.0 0l8.0 8.0l-8.0 8.0l-24.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m318.9029 91.968506l8.0 -8.0l24.0 0l8.0 8.0l-8.0 8.0l-24.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m139.92831 101.50656l0 46.461594l-130.8312 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m139.92831 107.50656l0 40.46161l-130.8312 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m141.58005 107.50656l-1.6517334 -4.5380936l-1.6517334 4.5380936z" fill-rule="evenodd"/><path fill="#ffffff" d="m128.0 75.968506l24.0 16.0l-24.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 75.968506l24.0 16.0l-24.0 16.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m183.71994 91.968506l0 -40.0008l-174.4416 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m183.71994 91.968506l0 -40.0008l-168.4416 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m15.278336 50.31597l-4.5380974 1.6517334l4.5380974 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m105.03937 8.787401l126.96063 0l0 28.15748l-126.96063 0z" fill-rule="evenodd"/><path fill="#000000" d="m128.09 24.084902q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm8.53125 4.0l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm3.515625 -0.1875q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm23.859375 -4.0l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0zm1.859375 0l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm13.515625 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.609375 -0.484375q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.1875 -0.0625q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.109375 -0.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m34.12336 124.944885l130.83466 0l0 75.81102l-130.83466 0z" fill-rule="evenodd"/><path fill="#000000" d="m48.170235 141.0605q0 0.5625 -0.15625 1.046875q-0.15625 0.46875 -0.46875 0.8125q-0.296875 0.34375 -0.734375 0.53125q-0.4375 0.1875 -0.984375 0.1875q-0.53125 0 -0.953125 -0.15625q-0.40625 -0.171875 -0.703125 -0.484375q-0.296875 -0.328125 -0.453125 -0.796875q-0.140625 -0.46875 -0.140625 -1.078125q0 -0.578125 0.15625 -1.046875q0.15625 -0.46875 0.453125 -0.796875q0.3125 -0.34375 0.75 -0.53125q0.4375 -0.1875 0.984375 -0.1875q0.53125 0 0.9375 0.171875q0.421875 0.15625 0.703125 0.46875q0.296875 0.3125 0.453125 0.78125q0.15625 0.46875 0.15625 1.078125zm-0.859375 0.03125q0 -0.453125 -0.109375 -0.78125q-0.09375 -0.34375 -0.28125 -0.5625q-0.1875 -0.234375 -0.453125 -0.34375q-0.265625 -0.125 -0.59375 -0.125q-0.375 0 -0.65625 0.15625q-0.265625 0.140625 -0.4375 0.390625q-0.171875 0.25 -0.265625 0.578125q-0.078125 0.328125 -0.078125 0.6875q0 0.453125 0.09375 0.796875q0.109375 0.34375 0.296875 0.578125q0.1875 0.21875 0.453125 0.34375q0.265625 0.109375 0.59375 0.109375q0.375 0 0.640625 -0.140625q0.28125 -0.15625 0.453125 -0.40625q0.171875 -0.25 0.25 -0.578125q0.09375 -0.328125 0.09375 -0.703125zm6.232422 -0.25q0 0.171875 -0.015625 0.296875q0 0.125 0 0.234375l-3.453125 0q0 0.75 0.421875 1.15625q0.421875 0.390625 1.21875 0.390625q0.21875 0 0.421875 -0.015625q0.21875 -0.015625 0.421875 -0.046875q0.203125 -0.03125 0.375 -0.0625q0.1875 -0.03125 0.34375 -0.078125l0 0.703125q-0.34375 0.09375 -0.78125 0.15625q-0.4375 0.0625 -0.90625 0.0625q-0.625 0 -1.078125 -0.171875q-0.453125 -0.171875 -0.75 -0.5q-0.296875 -0.328125 -0.4375 -0.796875q-0.125 -0.46875 -0.125 -1.0625q0 -0.515625 0.140625 -0.96875q0.15625 -0.46875 0.4375 -0.8125q0.28125 -0.359375 0.6875 -0.5625q0.421875 -0.203125 0.953125 -0.203125q0.515625 0 0.90625 0.15625q0.40625 0.15625 0.671875 0.453125q0.265625 0.296875 0.40625 0.71875q0.140625 0.421875 0.140625 0.953125zm-0.890625 -0.125q0.015625 -0.328125 -0.0625 -0.59375q-0.078125 -0.28125 -0.25 -0.46875q-0.15625 -0.203125 -0.40625 -0.3125q-0.234375 -0.109375 -0.546875 -0.109375q-0.28125 0 -0.515625 0.109375q-0.234375 0.109375 -0.40625 0.3125q-0.15625 0.1875 -0.265625 0.46875q-0.09375 0.265625 -0.125 0.59375l2.578125 0zm6.966797 4.828125l-5.5 0l0 -0.703125l5.5 0l0 0.703125zm2.4511719 -6.203125l-1.453125 0l0 -0.703125l2.3125 0l0 4.203125l1.453125 0l0 0.703125l-3.921875 0l0 -0.703125l1.609375 0l0 -3.5zm0.296875 -2.75q0.140625 0 0.265625 0.046875q0.125 0.046875 0.203125 0.140625q0.09375 0.09375 0.140625 0.21875q0.0625 0.109375 0.0625 0.25q0 0.140625 -0.0625 0.265625q-0.046875 0.125 -0.140625 0.21875q-0.078125 0.09375 -0.203125 0.140625q-0.125 0.046875 -0.265625 0.046875q-0.140625 0 -0.265625 -0.046875q-0.125 -0.046875 -0.21875 -0.140625q-0.078125 -0.09375 -0.140625 -0.21875q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.21875q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.046875 0.265625 -0.046875z" fill-rule="nonzero"/><path fill="#000000" d="m47.06086 191.54488l-0.03125 -0.65625q-0.390625 0.390625 -0.8125 0.578125q-0.40625 0.171875 -0.859375 0.171875q-0.421875 0 -0.71875 -0.109375q-0.296875 -0.109375 -0.5 -0.296875q-0.1875 -0.1875 -0.28125 -0.4375q-0.078125 -0.265625 -0.078125 -0.5625q0 -0.734375 0.546875 -1.140625q0.546875 -0.421875 1.625 -0.421875l1.015625 0l0 -0.4375q0 -0.4375 -0.28125 -0.6875q-0.28125 -0.265625 -0.859375 -0.265625q-0.40625 0 -0.8125 0.09375q-0.40625 0.09375 -0.828125 0.265625l0 -0.765625q0.15625 -0.0625 0.34375 -0.109375q0.203125 -0.0625 0.421875 -0.109375q0.234375 -0.046875 0.46875 -0.0625q0.234375 -0.03125 0.484375 -0.03125q0.4375 0 0.796875 0.09375q0.359375 0.09375 0.59375 0.296875q0.25 0.203125 0.375 0.5q0.140625 0.296875 0.140625 0.71875l0 3.375l-0.75 0zm-0.09375 -2.234375l-1.078125 0q-0.328125 0 -0.5625 0.0625q-0.21875 0.0625 -0.375 0.1875q-0.140625 0.109375 -0.21875 0.28125q-0.0625 0.15625 -0.0625 0.359375q0 0.140625 0.046875 0.28125q0.046875 0.125 0.140625 0.234375q0.09375 0.09375 0.25 0.15625q0.15625 0.046875 0.390625 0.046875q0.28125 0 0.65625 -0.171875q0.390625 -0.1875 0.8125 -0.5625l0 -0.875zm6.388672 2.171875q-0.296875 0.0625 -0.609375 0.09375q-0.296875 0.03125 -0.609375 0.03125q-0.921875 0 -1.375 -0.40625q-0.453125 -0.421875 -0.453125 -1.28125l0 -2.5625l-1.375 0l0 -0.71875l1.375 0l0 -1.34375l0.84375 -0.21875l0 1.5625l2.203125 0l0 0.71875l-2.203125 0l0 2.484375q0 0.53125 0.28125 0.796875q0.28125 0.25 0.828125 0.25q0.234375 0 0.5 -0.03125q0.28125 -0.03125 0.59375 -0.109375l0 0.734375zm5.498047 0q-0.296875 0.0625 -0.609375 0.09375q-0.296875 0.03125 -0.609375 0.03125q-0.921875 0 -1.375 -0.40625q-0.453125 -0.421875 -0.453125 -1.28125l0 -2.5625l-1.375 0l0 -0.71875l1.375 0l0 -1.34375l0.84375 -0.21875l0 1.5625l2.203125 0l0 0.71875l-2.203125 0l0 2.484375q0 0.53125 0.28125 0.796875q0.28125 0.25 0.828125 0.25q0.234375 0 0.5 -0.03125q0.28125 -0.03125 0.59375 -0.109375l0 0.734375zm1.7480469 -4.84375l0.78125 0l0.015625 0.90625q0.4375 -0.515625 0.859375 -0.75q0.421875 -0.234375 0.84375 -0.234375q0.765625 0 1.15625 0.5q0.40625 0.484375 0.375 1.453125l-0.859375 0q0.015625 -0.640625 -0.1875 -0.9375q-0.203125 -0.296875 -0.59375 -0.296875q-0.171875 0 -0.34375 0.0625q-0.171875 0.0625 -0.359375 0.203125q-0.1875 0.125 -0.390625 0.34375q-0.203125 0.203125 -0.4375 0.5l0 3.15625l-0.859375 0l0 -4.90625zm10.013672 6.90625l-5.5 0l0 -0.703125l5.5 0l0 0.703125zm2.4511719 -6.203125l-1.453125 0l0 -0.703125l2.3125 0l0 4.203125l1.453125 0l0 0.703125l-3.921875 0l0 -0.703125l1.609375 0l0 -3.5zm0.296875 -2.75q0.140625 0 0.265625 0.046875q0.125 0.046875 0.203125 0.140625q0.09375 0.09375 0.140625 0.21875q0.0625 0.109375 0.0625 0.25q0 0.140625 -0.0625 0.265625q-0.046875 0.125 -0.140625 0.21875q-0.078125 0.09375 -0.203125 0.140625q-0.125 0.046875 -0.265625 0.046875q-0.140625 0 -0.265625 -0.046875q-0.125 -0.046875 -0.21875 -0.140625q-0.078125 -0.09375 -0.140625 -0.21875q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.21875q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.046875 0.265625 -0.046875zm6.841797 8.953125l-2.40625 0l0 -9.078125l2.40625 0l0 0.6875l-1.59375 0l0 7.703125l1.59375 0l0 0.6875zm6.857422 -2.0l-0.953125 0l-0.4375 -1.390625l-2.65625 0l-0.453125 1.390625l-0.90625 0l2.125 -6.375l1.1875 0l2.09375 6.375zm-1.640625 -2.171875l-1.078125 -3.40625l-1.078125 3.40625l2.15625 0zm6.419922 2.109375q-0.296875 0.0625 -0.609375 0.09375q-0.296875 0.03125 -0.609375 0.03125q-0.921875 0 -1.375 -0.40625q-0.453125 -0.421875 -0.453125 -1.28125l0 -2.5625l-1.375 0l0 -0.71875l1.375 0l0 -1.34375l0.84375 -0.21875l0 1.5625l2.203125 0l0 0.71875l-2.203125 0l0 2.484375q0 0.53125 0.28125 0.796875q0.28125 0.25 0.828125 0.25q0.234375 0 0.5 -0.03125q0.28125 -0.03125 0.59375 -0.109375l0 0.734375zm5.498047 0q-0.296875 0.0625 -0.609375 0.09375q-0.296875 0.03125 -0.609375 0.03125q-0.921875 0 -1.375 -0.40625q-0.453125 -0.421875 -0.453125 -1.28125l0 -2.5625l-1.375 0l0 -0.71875l1.375 0l0 -1.34375l0.84375 -0.21875l0 1.5625l2.203125 0l0 0.71875l-2.203125 0l0 2.484375q0 0.53125 0.28125 0.796875q0.28125 0.25 0.828125 0.25q0.234375 0 0.5 -0.03125q0.28125 -0.03125 0.59375 -0.109375l0 0.734375zm1.7480469 -4.84375l0.78125 0l0.015625 0.90625q0.4375 -0.515625 0.859375 -0.75q0.421875 -0.234375 0.84375 -0.234375q0.765625 0 1.15625 0.5q0.40625 0.484375 0.375 1.453125l-0.859375 0q0.015625 -0.640625 -0.1875 -0.9375q-0.203125 -0.296875 -0.59375 -0.296875q-0.171875 0 -0.34375 0.0625q-0.171875 0.0625 -0.359375 0.203125q-0.1875 0.125 -0.390625 0.34375q-0.203125 0.203125 -0.4375 0.5l0 3.15625l-0.859375 0l0 -4.90625zm9.654297 1.640625q0 0.46875 -0.078125 0.890625q-0.0625 0.40625 -0.21875 0.78125q-0.15625 0.359375 -0.40625 0.65625q-0.25 0.28125 -0.609375 0.5q-0.359375 0.203125 -0.84375 0.328125q-0.46875 0.109375 -1.078125 0.109375l-1.375 0l0 -6.375l1.640625 0q1.5 0 2.234375 0.765625q0.734375 0.765625 0.734375 2.34375zm-0.90625 0.0625q0 -0.671875 -0.140625 -1.140625q-0.125 -0.46875 -0.390625 -0.75q-0.25 -0.296875 -0.640625 -0.421875q-0.390625 -0.140625 -0.90625 -0.140625l-0.75 0l0 4.90625l0.640625 0q2.1875 0 2.1875 -2.453125zm6.591797 -1.703125l-0.71875 4.90625l-1.03125 0l-0.703125 -2.046875l-0.140625 -0.5l-0.171875 0.53125l-0.671875 2.015625l-1.0 0l-0.703125 -4.90625l0.828125 0l0.40625 3.328125l0.09375 0.75l0.203125 -0.65625l0.71875 -2.203125l0.609375 0l0.765625 2.171875l0.21875 0.65625l0.078125 -0.6875l0.375 -3.359375l0.84375 0zm4.373047 2.640625l-2.90625 0l0 -0.796875l2.90625 0l0 0.796875zm6.169922 2.265625l-4.015625 0l0 -0.796875l1.640625 0l0 -4.65625l-1.53125 0.828125l-0.3125 -0.71875l2.03125 -1.0625l0.75 0l0 5.609375l1.4375 0l0 0.796875zm3.3730392 -4.984375q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.109375 0.109375 0.171875 0.25q0.0625 0.125 0.0625 0.28125q0 0.15625 -0.0625 0.296875q-0.0625 0.125 -0.171875 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.0625 -0.28125 0.0625q-0.15625 0 -0.296875 -0.0625q-0.12499237 -0.0625 -0.23436737 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.0625 -0.140625 -0.0625 -0.296875q0 -0.15625 0.0625 -0.28125q0.0625 -0.140625 0.15625 -0.25q0.109375 -0.109375 0.23436737 -0.15625q0.140625 -0.0625 0.296875 -0.0625zm0 3.578125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.109375 0.109375 0.171875 0.25q0.0625 0.125 0.0625 0.28125q0 0.15625 -0.0625 0.296875q-0.0625 0.125 -0.171875 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.0625 -0.28125 0.0625q-0.15625 0 -0.296875 -0.0625q-0.12499237 -0.0625 -0.23436737 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.0625 -0.140625 -0.0625 -0.296875q0 -0.15625 0.0625 -0.28125q0.0625 -0.140625 0.15625 -0.25q0.109375 -0.109375 0.23436737 -0.15625q0.140625 -0.0625 0.296875 -0.0625zm7.810547 -1.78125q0 0.734375 -0.140625 1.34375q-0.140625 0.59375 -0.4375 1.03125q-0.296875 0.421875 -0.75 0.671875q-0.4375 0.234375 -1.03125 0.234375q-0.515625 0 -0.9375 -0.1875q-0.421875 -0.203125 -0.71875 -0.59375q-0.296875 -0.40625 -0.453125 -1.03125q-0.15625 -0.625 -0.15625 -1.46875q0 -0.734375 0.140625 -1.328125q0.15625 -0.609375 0.4375 -1.046875q0.296875 -0.4375 0.734375 -0.671875q0.453125 -0.234375 1.046875 -0.234375q0.515625 0 0.9375 0.203125q0.421875 0.1875 0.71875 0.59375q0.296875 0.390625 0.453125 1.015625q0.15625 0.625 0.15625 1.46875zm-0.859375 0.03125q0 -0.171875 -0.015625 -0.328125q0 -0.171875 -0.015625 -0.328125l-2.703125 2.015625q0.078125 0.25 0.1875 0.46875q0.109375 0.203125 0.265625 0.359375q0.15625 0.15625 0.359375 0.25q0.203125 0.078125 0.46875 0.078125q0.328125 0 0.59375 -0.15625q0.28125 -0.171875 0.46875 -0.484375q0.1875 -0.3125 0.28125 -0.78125q0.109375 -0.484375 0.109375 -1.09375zm-2.90625 -0.0625q0 0.15625 0 0.3125q0 0.140625 0.015625 0.28125l2.703125 -2.0q-0.078125 -0.25 -0.1875 -0.453125q-0.109375 -0.203125 -0.265625 -0.34375q-0.15625 -0.15625 -0.359375 -0.234375q-0.203125 -0.09375 -0.453125 -0.09375q-0.328125 0 -0.609375 0.171875q-0.265625 0.15625 -0.453125 0.484375q-0.1875 0.3125 -0.296875 0.78125q-0.09375 0.46875 -0.09375 1.09375zm8.013672 5.21875l-2.40625 0l0 -0.6875l1.59375 0l0 -7.703125l-1.59375 0l0 -0.6875l2.40625 0l0 9.078125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m8.0 196.94489l160.40945 -0.56692505" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m8.0 196.94489l154.40948 -0.5457306" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m162.41533 198.05087l4.5322266 -1.6677551l-4.5438995 -1.6356812z" fill-rule="evenodd"/><path fill="#d9d9d9" d="m168.23622 109.50656l95.999985 0l0 136.0l-95.999985 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m168.23622 109.50656l95.999985 0l0 136.0l-95.999985 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m168.3622 110.10499l95.74803 0l0 134.80316l-95.74803 0z" fill-rule="evenodd"/><path fill="#000000" d="m194.05887 129.74657l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.7968826 0.578125 -1.2343826q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.5937576l-0.8125 0zm-0.09375 -2.3750076l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.17188263 -0.0625 0.39063263q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.9218826zm6.811981 2.2968826q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.7343826l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625076 0.296875 0.8437576q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm5.858856 0q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.7343826l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625076 0.296875 0.8437576q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.1406326l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.3593826l-0.921875 0l0 -5.2187576zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.4687576l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.7187576zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm8.233856 4.71875q0 0.640625 -0.1875 1.1562576q-0.171875 0.515625 -0.5 0.875q-0.328125 0.359375 -0.8125 0.546875q-0.46875 0.1875 -1.0625 0.1875q-0.453125 0 -0.9375 -0.078125q-0.484375 -0.09375 -0.96875 -0.296875l0 -7.0468826l0.90625 0l0 2.03125l-0.03125 0.96875q0.390625 -0.53125 0.828125 -0.734375q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.5312576q0.265625 0.09375 0.546875 0.15625q0.28125 0.0625 0.515625 0.0625q0.3125 0 0.59375 -0.09375q0.296875 -0.109375 0.5 -0.328125q0.21875 -0.234375 0.34375 -0.609375q0.140625 -0.39063263 0.140625 -0.9687576zm6.515106 2.6718826l-0.8125 0l-0.03125 -0.84375q-0.234375 0.265625 -0.453125 0.453125q-0.203125 0.171875 -0.421875 0.28125q-0.203125 0.109375 -0.421875 0.15625q-0.203125 0.046875 -0.421875 0.046875q-0.8125 0 -1.234375 -0.46875q-0.40625 -0.484375 -0.40625 -1.4375076l0 -3.40625l0.90625 0l0 3.328125q0 1.2031326 0.90625 1.2031326q0.171875 0 0.328125 -0.046875q0.15625 -0.046875 0.328125 -0.171875q0.171875 -0.125 0.375 -0.328125q0.203125 -0.203125 0.453125 -0.5312576l0 -3.453125l0.90625 0l0 5.2187576zm5.874481 -0.078125q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.7343826l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625076 0.296875 0.8437576q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.061981 -2.8125076q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125076 0.4375 1.2500076q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5000076 -0.140625 -1.1406326q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0z" fill-rule="nonzero"/><path fill="#000000" d="m200.62085 142.55907q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.343231 -2.46875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm2.2338562 -2.609375l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077606 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.186981 2.5625q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm4.015106 -4.0l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375z" fill-rule="nonzero"/><path fill="#000000" d="m200.5672 163.54468l-1.34375 0l0 -0.65625l2.15625 0l0 3.921875l1.359375 0l0 0.65625l-3.671875 0l0 -0.65625l1.5 0l0 -3.265625zm0.28125 -2.5625q0.140625 0 0.25 0.046875q0.109375 0.046875 0.1875 0.140625q0.09375 0.078125 0.140625 0.1875q0.046875 0.109375 0.046875 0.25q0 0.125 -0.046875 0.234375q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.1875 0.125q-0.109375 0.046875 -0.25 0.046875q-0.125 0 -0.25 -0.046875q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.125 -0.203125q-0.046875 -0.109375 -0.046875 -0.234375q0 -0.140625 0.046875 -0.25q0.046875 -0.109375 0.125 -0.1875q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.046875 0.25 -0.046875zm3.3005219 1.90625l0.703125 0l0.03125 0.75q0.203125 -0.25 0.390625 -0.40625q0.1875 -0.15625 0.359375 -0.25q0.1875 -0.09375 0.375 -0.125q0.1875 -0.046875 0.375 -0.046875q0.71875 0 1.078125 0.421875q0.359375 0.40625 0.359375 1.25l0 2.984375l-0.796875 0l0 -2.921875q0 -0.53125 -0.203125 -0.78125q-0.1875 -0.265625 -0.59375 -0.265625q-0.140625 0 -0.28125 0.046875q-0.140625 0.03125 -0.296875 0.140625q-0.140625 0.109375 -0.328125 0.296875q-0.171875 0.171875 -0.390625 0.453125l0 3.03125l-0.78125 0l0 -4.578125zm4.691147 0l0.90625 0l1.125 3.03125l0.234375 0.734375l0.25 -0.75l1.109375 -3.015625l0.875 0l-1.796875 4.578125l-0.90625 0l-1.796875 -4.578125zm9.425522 2.046875q0 0.171875 -0.015625 0.296875q0 0.109375 0 0.203125l-3.21875 0q0 0.703125 0.390625 1.078125q0.390625 0.375 1.140625 0.375q0.1875 0 0.390625 -0.015625q0.203125 -0.015625 0.390625 -0.046875q0.1875 -0.03125 0.359375 -0.0625q0.171875 -0.03125 0.3125 -0.078125l0 0.65625q-0.328125 0.09375 -0.734375 0.140625q-0.40625 0.0625 -0.84375 0.0625q-0.578125 0 -1.015625 -0.15625q-0.421875 -0.15625 -0.6875 -0.453125q-0.265625 -0.3125 -0.40625 -0.75q-0.125 -0.4375 -0.125 -1.0q0 -0.484375 0.140625 -0.90625q0.140625 -0.4375 0.40625 -0.75q0.265625 -0.328125 0.65625 -0.515625q0.390625 -0.203125 0.875 -0.203125q0.484375 0 0.84375 0.15625q0.375 0.140625 0.625 0.421875q0.25 0.28125 0.375 0.671875q0.140625 0.390625 0.140625 0.875zm-0.828125 -0.109375q0.015625 -0.3125 -0.0625 -0.5625q-0.0625 -0.25 -0.21875 -0.421875q-0.15625 -0.1875 -0.390625 -0.28125q-0.21875 -0.109375 -0.515625 -0.109375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.28125q-0.15625 0.1875 -0.25 0.4375q-0.09375 0.25 -0.125 0.5625l2.40625 0zm2.2848969 -1.9375l0.71875 0l0.015625 0.84375q0.40625 -0.484375 0.796875 -0.703125q0.40625 -0.21875 0.796875 -0.21875q0.71875 0 1.078125 0.46875q0.375 0.453125 0.34375 1.359375l-0.796875 0q0.015625 -0.609375 -0.1875 -0.875q-0.1875 -0.265625 -0.546875 -0.265625q-0.15625 0 -0.328125 0.0625q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.359375 0.328125q-0.1875 0.1875 -0.40625 0.46875l0 2.9375l-0.796875 0l0 -4.578125zm8.613022 4.515625q-0.265625 0.0625 -0.546875 0.09375q-0.28125 0.03125 -0.578125 0.03125q-0.875 0 -1.296875 -0.390625q-0.421875 -0.390625 -0.421875 -1.1875l0 -2.390625l-1.28125 0l0 -0.671875l1.28125 0l0 -1.25l0.796875 -0.203125l0 1.453125l2.046875 0l0 0.671875l-2.046875 0l0 2.328125q0 0.484375 0.265625 0.734375q0.265625 0.234375 0.765625 0.234375q0.21875 0 0.46875 -0.03125q0.265625 -0.03125 0.546875 -0.109375l0 0.6875zm1.8005219 1.0q0.234375 0 0.453125 -0.046875q0.21875 -0.046875 0.390625 -0.15625q0.171875 -0.09375 0.265625 -0.234375q0.09375 -0.140625 0.09375 -0.328125q0 -0.1875 -0.0625 -0.3125q-0.0625 -0.125 -0.15625 -0.21875q-0.078125 -0.09375 -0.140625 -0.203125q-0.0625 -0.125 -0.0625 -0.3125q0 -0.09375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.1875q0.078125 -0.09375 0.1875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.15625 0 0.296875 0.078125q0.15625 0.0625 0.265625 0.203125q0.125 0.125 0.1875 0.328125q0.0625 0.203125 0.0625 0.484375q0 0.375 -0.140625 0.71875q-0.140625 0.359375 -0.421875 0.625q-0.265625 0.265625 -0.6875 0.421875q-0.40625 0.171875 -0.953125 0.171875l0 -0.625z" fill-rule="nonzero"/><path fill="#000000" d="m195.29611 176.13843q0 0.53125 -0.15625 0.984375q-0.140625 0.4375 -0.421875 0.765625q-0.28125 0.3125 -0.6875 0.484375q-0.40625 0.171875 -0.921875 0.171875q-0.484375 0 -0.875 -0.140625q-0.390625 -0.15625 -0.671875 -0.453125q-0.265625 -0.296875 -0.40625 -0.734375q-0.140625 -0.4375 -0.140625 -1.015625q0 -0.53125 0.140625 -0.96875q0.15625 -0.4375 0.4375 -0.75q0.28125 -0.3125 0.6875 -0.484375q0.40625 -0.1875 0.921875 -0.1875q0.5 0 0.875 0.15625q0.390625 0.15625 0.65625 0.453125q0.28125 0.28125 0.421875 0.71875q0.140625 0.4375 0.140625 1.0zm-0.796875 0.046875q0 -0.421875 -0.09375 -0.734375q-0.09375 -0.328125 -0.28125 -0.53125q-0.171875 -0.21875 -0.421875 -0.328125q-0.234375 -0.109375 -0.546875 -0.109375q-0.359375 0 -0.609375 0.140625q-0.25 0.140625 -0.421875 0.375q-0.15625 0.234375 -0.234375 0.546875q-0.0625 0.296875 -0.0625 0.640625q0 0.421875 0.09375 0.75q0.09375 0.3125 0.265625 0.53125q0.171875 0.203125 0.40625 0.3125q0.25 0.09375 0.5625 0.09375q0.359375 0 0.609375 -0.125q0.25 -0.140625 0.40625 -0.375q0.171875 -0.234375 0.25 -0.53125q0.078125 -0.3125 0.078125 -0.65625zm5.863022 -0.09375q0 0.609375 -0.171875 1.078125q-0.171875 0.453125 -0.484375 0.75q-0.296875 0.296875 -0.703125 0.453125q-0.40625 0.140625 -0.890625 0.140625q-0.21875 0 -0.4375 -0.015625q-0.203125 -0.015625 -0.4375 -0.078125l0 1.921875l-0.78125 0l0 -6.453125l0.703125 0l0.046875 0.765625q0.34375 -0.46875 0.71875 -0.65625q0.390625 -0.1875 0.84375 -0.1875q0.390625 0 0.6875 0.171875q0.296875 0.15625 0.5 0.453125q0.203125 0.296875 0.296875 0.71875q0.109375 0.421875 0.109375 0.9375zm-0.8125 0.046875q0 -0.359375 -0.0625 -0.65625q-0.046875 -0.3125 -0.15625 -0.515625q-0.109375 -0.21875 -0.296875 -0.34375q-0.171875 -0.125 -0.421875 -0.125q-0.15625 0 -0.3125 0.0625q-0.140625 0.046875 -0.3125 0.15625q-0.171875 0.109375 -0.359375 0.296875q-0.171875 0.171875 -0.390625 0.453125l0 2.21875q0.21875 0.09375 0.453125 0.140625q0.25 0.046875 0.484375 0.046875q0.640625 0 1.0 -0.421875q0.375 -0.4375 0.375 -1.3125zm5.894272 -0.203125q0 0.171875 -0.015625 0.296875q0 0.109375 0 0.203125l-3.21875 0q0 0.703125 0.390625 1.078125q0.390625 0.375 1.140625 0.375q0.1875 0 0.390625 -0.015625q0.203125 -0.015625 0.390625 -0.046875q0.1875 -0.03125 0.359375 -0.0625q0.171875 -0.03125 0.3125 -0.078125l0 0.65625q-0.328125 0.09375 -0.734375 0.140625q-0.40625 0.0625 -0.84375 0.0625q-0.578125 0 -1.015625 -0.15625q-0.421875 -0.15625 -0.6875 -0.453125q-0.265625 -0.3125 -0.40625 -0.75q-0.125 -0.4375 -0.125 -1.0q0 -0.484375 0.140625 -0.90625q0.140625 -0.4375 0.40625 -0.75q0.265625 -0.328125 0.65625 -0.515625q0.390625 -0.203125 0.875 -0.203125q0.484375 0 0.84375 0.15625q0.375 0.140625 0.625 0.421875q0.25 0.28125 0.375 0.671875q0.140625 0.390625 0.140625 0.875zm-0.828125 -0.109375q0.015625 -0.3125 -0.0625 -0.5625q-0.0625 -0.25 -0.21875 -0.421875q-0.15625 -0.1875 -0.390625 -0.28125q-0.21875 -0.109375 -0.515625 -0.109375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.28125q-0.15625 0.1875 -0.25 0.4375q-0.09375 0.25 -0.125 0.5625l2.40625 0zm2.0973969 -1.9375l0.703125 0l0.03125 0.75q0.203125 -0.25 0.390625 -0.40625q0.1875 -0.15625 0.359375 -0.25q0.1875 -0.09375 0.375 -0.125q0.1875 -0.046875 0.375 -0.046875q0.71875 0 1.078125 0.421875q0.359375 0.40625 0.359375 1.25l0 2.984375l-0.796875 0l0 -2.921875q0 -0.53125 -0.203125 -0.78125q-0.1875 -0.265625 -0.59375 -0.265625q-0.140625 0 -0.28125 0.046875q-0.140625 0.03125 -0.296875 0.140625q-0.140625 0.109375 -0.328125 0.296875q-0.171875 0.171875 -0.390625 0.453125l0 3.03125l-0.78125 0l0 -4.578125zm8.316147 2.46875l-2.71875 0l0 -0.75l2.71875 0l0 0.75zm1.7067719 -0.078125q0 -0.59375 0.15625 -1.046875q0.15625 -0.453125 0.453125 -0.75q0.296875 -0.3125 0.703125 -0.46875q0.421875 -0.171875 0.921875 -0.171875q0.21875 0 0.421875 0.03125q0.21875 0.015625 0.421875 0.078125l0 -1.921875l0.796875 0l0 6.4375l-0.703125 0l-0.03125 -0.859375q-0.328125 0.484375 -0.71875 0.71875q-0.390625 0.21875 -0.84375 0.21875q-0.390625 0 -0.6875 -0.15625q-0.296875 -0.171875 -0.5 -0.46875q-0.1875 -0.296875 -0.296875 -0.71875q-0.09375 -0.421875 -0.09375 -0.921875zm0.8125 -0.046875q0 0.828125 0.234375 1.234375q0.25 0.40625 0.6875 0.40625q0.3125 0 0.640625 -0.265625q0.34375 -0.28125 0.703125 -0.796875l0 -2.125q-0.1875 -0.09375 -0.4375 -0.140625q-0.234375 -0.046875 -0.46875 -0.046875q-0.640625 0 -1.0 0.421875q-0.359375 0.40625 -0.359375 1.3125zm4.738022 -2.34375l0.71875 0l0.015625 0.84375q0.40625 -0.484375 0.796875 -0.703125q0.40625 -0.21875 0.796875 -0.21875q0.71875 0 1.078125 0.46875q0.375 0.453125 0.34375 1.359375l-0.796875 0q0.015625 -0.609375 -0.1875 -0.875q-0.1875 -0.265625 -0.546875 -0.265625q-0.15625 0 -0.328125 0.0625q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.359375 0.328125q-0.1875 0.1875 -0.40625 0.46875l0 2.9375l-0.796875 0l0 -4.578125zm7.878647 4.578125l-0.03125 -0.609375q-0.359375 0.359375 -0.75 0.53125q-0.390625 0.15625 -0.8125 0.15625q-0.390625 0 -0.671875 -0.09375q-0.265625 -0.109375 -0.453125 -0.28125q-0.171875 -0.171875 -0.265625 -0.40625q-0.078125 -0.234375 -0.078125 -0.515625q0 -0.6875 0.5 -1.078125q0.515625 -0.390625 1.515625 -0.390625l0.953125 0l0 -0.40625q0 -0.40625 -0.265625 -0.640625q-0.25 -0.25 -0.78125 -0.25q-0.390625 0 -0.765625 0.09375q-0.375 0.078125 -0.78125 0.25l0 -0.71875q0.15625 -0.0625 0.328125 -0.109375q0.1875 -0.046875 0.390625 -0.09375q0.21875 -0.046875 0.4375 -0.0625q0.21875 -0.03125 0.453125 -0.03125q0.40625 0 0.734375 0.09375q0.34375 0.09375 0.5625 0.28125q0.234375 0.1875 0.359375 0.46875q0.125 0.28125 0.125 0.65625l0 3.15625l-0.703125 0zm-0.09375 -2.078125l-1.0 0q-0.296875 0 -0.515625 0.0625q-0.21875 0.046875 -0.359375 0.15625q-0.125 0.109375 -0.203125 0.265625q-0.0625 0.15625 -0.0625 0.34375q0 0.140625 0.046875 0.265625q0.046875 0.109375 0.125 0.203125q0.09375 0.09375 0.234375 0.15625q0.15625 0.046875 0.359375 0.046875q0.28125 0 0.625 -0.15625q0.359375 -0.171875 0.75 -0.53125l0 -0.8125zm3.8317719 -1.84375l-1.34375 0l0 -0.65625l2.15625 0l0 3.921875l1.359375 0l0 0.65625l-3.671875 0l0 -0.65625l1.5 0l0 -3.265625zm0.28125 -2.5625q0.140625 0 0.25 0.046875q0.109375 0.046875 0.1875 0.140625q0.09375 0.078125 0.140625 0.1875q0.046875 0.109375 0.046875 0.25q0 0.125 -0.046875 0.234375q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.1875 0.125q-0.109375 0.046875 -0.25 0.046875q-0.125 0 -0.25 -0.046875q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.125 -0.203125q-0.046875 -0.109375 -0.046875 -0.234375q0 -0.140625 0.046875 -0.25q0.046875 -0.109375 0.125 -0.1875q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.046875 0.25 -0.046875zm3.3005219 1.90625l0.703125 0l0.03125 0.75q0.203125 -0.25 0.390625 -0.40625q0.1875 -0.15625 0.359375 -0.25q0.1875 -0.09375 0.375 -0.125q0.1875 -0.046875 0.375 -0.046875q0.71875 0 1.078125 0.421875q0.359375 0.40625 0.359375 1.25l0 2.984375l-0.796875 0l0 -2.921875q0 -0.53125 -0.203125 -0.78125q-0.1875 -0.265625 -0.59375 -0.265625q-0.140625 0 -0.28125 0.046875q-0.140625 0.03125 -0.296875 0.140625q-0.140625 0.109375 -0.328125 0.296875q-0.171875 0.171875 -0.390625 0.453125l0 3.03125l-0.78125 0l0 -4.578125z" fill-rule="nonzero"/><path fill="#000000" d="m196.62605 202.3728q-2.109375 -1.9375 -2.109375 -4.296875q0 -0.546875 0.109375 -1.09375q0.125 -0.546875 0.375 -1.09375q0.25 -0.5625 0.65625 -1.109375q0.40625 -0.546875 0.984375 -1.09375l0.453125 0.46875q-1.765625 1.75 -1.765625 3.859375q0 1.0625 0.4375 2.046875q0.453125 0.96875 1.328125 1.828125l-0.46875 0.484375zm6.300522 -4.28125q0 0.609375 -0.171875 1.078125q-0.171875 0.453125 -0.484375 0.75q-0.296875 0.296875 -0.703125 0.453125q-0.40625 0.140625 -0.890625 0.140625q-0.21875 0 -0.4375 -0.015625q-0.203125 -0.015625 -0.4375 -0.078125l0 1.921875l-0.78125 0l0 -6.453125l0.703125 0l0.046875 0.765625q0.34375 -0.46875 0.71875 -0.65625q0.390625 -0.1875 0.84375 -0.1875q0.390625 0 0.6875 0.171875q0.296875 0.15625 0.5 0.453125q0.203125 0.296875 0.296875 0.71875q0.109375 0.421875 0.109375 0.9375zm-0.8125 0.046875q0 -0.359375 -0.0625 -0.65625q-0.046875 -0.3125 -0.15625 -0.515625q-0.109375 -0.21875 -0.296875 -0.34375q-0.171875 -0.125 -0.421875 -0.125q-0.15625 0 -0.3125 0.0625q-0.140625 0.046875 -0.3125 0.15625q-0.171875 0.109375 -0.359375 0.296875q-0.171875 0.171875 -0.390625 0.453125l0 2.21875q0.21875 0.09375 0.453125 0.140625q0.25 0.046875 0.484375 0.046875q0.640625 0 1.0 -0.421875q0.375 -0.4375 0.375 -1.3125zm5.706772 2.328125l-0.71875 0l-0.015625 -0.734375q-0.203125 0.234375 -0.390625 0.390625q-0.1875 0.15625 -0.375 0.25q-0.171875 0.09375 -0.359375 0.125q-0.1875 0.046875 -0.390625 0.046875q-0.703125 0 -1.0625 -0.40625q-0.359375 -0.421875 -0.359375 -1.25l0 -3.0l0.78125 0l0 2.921875q0 1.0625 0.796875 1.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.296875 -0.140625q0.15625 -0.109375 0.328125 -0.296875q0.171875 -0.1875 0.390625 -0.46875l0 -3.03125l0.796875 0l0 4.578125zm3.0036469 -5.78125l-1.34375 0l0 -0.65625l2.15625 0l0 5.78125l1.359375 0l0 0.65625l-3.671875 0l0 -0.65625l1.5 0l0 -5.125zm5.128647 0l-1.34375 0l0 -0.65625l2.15625 0l0 5.78125l1.359375 0l0 0.65625l-3.671875 0l0 -0.65625l1.5 0l0 -5.125zm6.769272 3.671875l-2.71875 0l0 -0.75l2.71875 0l0 0.75zm5.613022 2.109375l-0.71875 0l-0.015625 -0.734375q-0.203125 0.234375 -0.390625 0.390625q-0.1875 0.15625 -0.375 0.25q-0.171875 0.09375 -0.359375 0.125q-0.1875 0.046875 -0.390625 0.046875q-0.703125 0 -1.0625 -0.40625q-0.359375 -0.421875 -0.359375 -1.25l0 -3.0l0.78125 0l0 2.921875q0 1.0625 0.796875 1.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.296875 -0.140625q0.15625 -0.109375 0.328125 -0.296875q0.171875 -0.1875 0.390625 -0.46875l0 -3.03125l0.796875 0l0 4.578125zm5.363022 -2.375q0 0.609375 -0.171875 1.078125q-0.171875 0.453125 -0.484375 0.75q-0.296875 0.296875 -0.703125 0.453125q-0.40625 0.140625 -0.890625 0.140625q-0.21875 0 -0.4375 -0.015625q-0.203125 -0.015625 -0.4375 -0.078125l0 1.921875l-0.78125 0l0 -6.453125l0.703125 0l0.046875 0.765625q0.34375 -0.46875 0.71875 -0.65625q0.390625 -0.1875 0.84375 -0.1875q0.390625 0 0.6875 0.171875q0.296875 0.15625 0.5 0.453125q0.203125 0.296875 0.296875 0.71875q0.109375 0.421875 0.109375 0.9375zm-0.8125 0.046875q0 -0.359375 -0.0625 -0.65625q-0.046875 -0.3125 -0.15625 -0.515625q-0.109375 -0.21875 -0.296875 -0.34375q-0.171875 -0.125 -0.421875 -0.125q-0.15625 0 -0.3125 0.0625q-0.140625 0.046875 -0.3125 0.15625q-0.171875 0.109375 -0.359375 0.296875q-0.171875 0.171875 -0.390625 0.453125l0 2.21875q0.21875 0.09375 0.453125 0.140625q0.25 0.046875 0.484375 0.046875q0.640625 0 1.0 -0.421875q0.375 -0.4375 0.375 -1.3125zm2.3786469 3.265625q0.234375 0 0.453125 -0.046875q0.21875 -0.046875 0.390625 -0.15625q0.171875 -0.09375 0.265625 -0.234375q0.09375 -0.140625 0.09375 -0.328125q0 -0.1875 -0.0625 -0.3125q-0.0625 -0.125 -0.15625 -0.21875q-0.078125 -0.09375 -0.140625 -0.203125q-0.0625 -0.125 -0.0625 -0.3125q0 -0.09375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.1875q0.078125 -0.09375 0.1875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.15625 0 0.296875 0.078125q0.15625 0.0625 0.265625 0.203125q0.125 0.125 0.1875 0.328125q0.0625 0.203125 0.0625 0.484375q0 0.375 -0.140625 0.71875q-0.140625 0.359375 -0.421875 0.625q-0.265625 0.265625 -0.6875 0.421875q-0.40625 0.171875 -0.953125 0.171875l0 -0.625z" fill-rule="nonzero"/><path fill="#000000" d="m195.23361 209.09155q0 0.609375 -0.171875 1.078125q-0.171875 0.453125 -0.484375 0.75q-0.296875 0.296875 -0.703125 0.453125q-0.40625 0.140625 -0.890625 0.140625q-0.21875 0 -0.4375 -0.015625q-0.203125 -0.015625 -0.4375 -0.078125l0 1.921875l-0.78125 0l0 -6.453125l0.703125 0l0.046875 0.765625q0.34375 -0.46875 0.71875 -0.65625q0.390625 -0.1875 0.84375 -0.1875q0.390625 0 0.6875 0.171875q0.296875 0.15625 0.5 0.453125q0.203125 0.296875 0.296875 0.71875q0.109375 0.421875 0.109375 0.9375zm-0.8125 0.046875q0 -0.359375 -0.0625 -0.65625q-0.046875 -0.3125 -0.15625 -0.515625q-0.109375 -0.21875 -0.296875 -0.34375q-0.171875 -0.125 -0.421875 -0.125q-0.15625 0 -0.3125 0.0625q-0.140625 0.046875 -0.3125 0.15625q-0.171875 0.109375 -0.359375 0.296875q-0.171875 0.171875 -0.390625 0.453125l0 2.21875q0.21875 0.09375 0.453125 0.140625q0.25 0.046875 0.484375 0.046875q0.640625 0 1.0 -0.421875q0.375 -0.4375 0.375 -1.3125zm5.706772 2.328125l-0.71875 0l-0.015625 -0.734375q-0.203125 0.234375 -0.390625 0.390625q-0.1875 0.15625 -0.375 0.25q-0.171875 0.09375 -0.359375 0.125q-0.1875 0.046875 -0.390625 0.046875q-0.703125 0 -1.0625 -0.40625q-0.359375 -0.421875 -0.359375 -1.25l0 -3.0l0.78125 0l0 2.921875q0 1.0625 0.796875 1.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.296875 -0.140625q0.15625 -0.109375 0.328125 -0.296875q0.171875 -0.1875 0.390625 -0.46875l0 -3.03125l0.796875 0l0 4.578125zm3.0036469 -5.78125l-1.34375 0l0 -0.65625l2.15625 0l0 5.78125l1.359375 0l0 0.65625l-3.671875 0l0 -0.65625l1.5 0l0 -5.125zm5.128647 0l-1.34375 0l0 -0.65625l2.15625 0l0 5.78125l1.359375 0l0 0.65625l-3.671875 0l0 -0.65625l1.5 0l0 -5.125zm6.769272 3.671875l-2.71875 0l0 -0.75l2.71875 0l0 0.75zm1.7067719 -0.078125q0 -0.59375 0.15625 -1.046875q0.15625 -0.453125 0.453125 -0.75q0.296875 -0.3125 0.703125 -0.46875q0.421875 -0.171875 0.921875 -0.171875q0.21875 0 0.421875 0.03125q0.21875 0.015625 0.421875 0.078125l0 -1.921875l0.796875 0l0 6.4375l-0.703125 0l-0.03125 -0.859375q-0.328125 0.484375 -0.71875 0.71875q-0.390625 0.21875 -0.84375 0.21875q-0.390625 0 -0.6875 -0.15625q-0.296875 -0.171875 -0.5 -0.46875q-0.1875 -0.296875 -0.296875 -0.71875q-0.09375 -0.421875 -0.09375 -0.921875zm0.8125 -0.046875q0 0.828125 0.234375 1.234375q0.25 0.40625 0.6875 0.40625q0.3125 0 0.640625 -0.265625q0.34375 -0.28125 0.703125 -0.796875l0 -2.125q-0.1875 -0.09375 -0.4375 -0.140625q-0.234375 -0.046875 -0.46875 -0.046875q-0.640625 0 -1.0 0.421875q-0.359375 0.40625 -0.359375 1.3125zm8.519272 -0.09375q0 0.53125 -0.15625 0.984375q-0.140625 0.4375 -0.421875 0.765625q-0.28125 0.3125 -0.6875 0.484375q-0.40625 0.171875 -0.921875 0.171875q-0.484375 0 -0.875 -0.140625q-0.390625 -0.15625 -0.671875 -0.453125q-0.265625 -0.296875 -0.40625 -0.734375q-0.140625 -0.4375 -0.140625 -1.015625q0 -0.53125 0.140625 -0.96875q0.15625 -0.4375 0.4375 -0.75q0.28125 -0.3125 0.6875 -0.484375q0.40625 -0.1875 0.921875 -0.1875q0.5 0 0.875 0.15625q0.390625 0.15625 0.65625 0.453125q0.28125 0.28125 0.421875 0.71875q0.140625 0.4375 0.140625 1.0zm-0.796875 0.046875q0 -0.421875 -0.09375 -0.734375q-0.09375 -0.328125 -0.28125 -0.53125q-0.171875 -0.21875 -0.421875 -0.328125q-0.234375 -0.109375 -0.546875 -0.109375q-0.359375 0 -0.609375 0.140625q-0.25 0.140625 -0.421875 0.375q-0.15625 0.234375 -0.234375 0.546875q-0.0625 0.296875 -0.0625 0.640625q0 0.421875 0.09375 0.75q0.09375 0.3125 0.265625 0.53125q0.171875 0.203125 0.40625 0.3125q0.25 0.09375 0.5625 0.09375q0.359375 0 0.609375 -0.125q0.25 -0.140625 0.40625 -0.375q0.171875 -0.234375 0.25 -0.53125q0.078125 -0.3125 0.078125 -0.65625zm6.191147 -2.296875l-0.671875 4.578125l-0.953125 0l-0.671875 -1.90625l-0.125 -0.46875l-0.15625 0.484375l-0.625 1.890625l-0.9375 0l-0.65625 -4.578125l0.765625 0l0.390625 3.109375l0.078125 0.6875l0.203125 -0.59375l0.65625 -2.0625l0.578125 0l0.703125 2.03125l0.203125 0.609375l0.078125 -0.640625l0.359375 -3.140625l0.78125 0zm0.89427185 0l0.703125 0l0.03125 0.75q0.203125 -0.25 0.390625 -0.40625q0.1875 -0.15625 0.359375 -0.25q0.1875 -0.09375 0.375 -0.125q0.1875 -0.046875 0.375 -0.046875q0.71875 0 1.078125 0.421875q0.359375 0.40625 0.359375 1.25l0 2.984375l-0.796875 0l0 -2.921875q0 -0.53125 -0.203125 -0.78125q-0.1875 -0.265625 -0.59375 -0.265625q-0.140625 0 -0.28125 0.046875q-0.140625 0.03125 -0.296875 0.140625q-0.140625 0.109375 -0.328125 0.296875q-0.171875 0.171875 -0.390625 0.453125l0 3.03125l-0.78125 0l0 -4.578125zm5.472397 5.515625q0.234375 0 0.453125 -0.046875q0.21875 -0.046875 0.390625 -0.15625q0.171875 -0.09375 0.265625 -0.234375q0.09375 -0.140625 0.09375 -0.328125q0 -0.1875 -0.0625 -0.3125q-0.0625 -0.125 -0.15625 -0.21875q-0.078125 -0.09375 -0.140625 -0.203125q-0.0625 -0.125 -0.0625 -0.3125q0 -0.09375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.1875q0.078125 -0.09375 0.1875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.15625 0 0.296875 0.078125q0.15625 0.0625 0.265625 0.203125q0.125 0.125 0.1875 0.328125q0.0625 0.203125 0.0625 0.484375q0 0.375 -0.140625 0.71875q-0.140625 0.359375 -0.421875 0.625q-0.265625 0.265625 -0.6875 0.421875q-0.40625 0.171875 -0.953125 0.171875l0 -0.625z" fill-rule="nonzero"/><path fill="#000000" d="m203.1297 222.46655l-1.09375 0l-2.125 -2.453125l0 2.453125l-0.796875 0l0 -6.4375l0.796875 0l0 3.953125l2.046875 -2.09375l1.046875 0l-2.140625 2.109375l2.265625 2.46875zm4.878647 -2.53125q0 0.171875 -0.015625 0.296875q0 0.109375 0 0.203125l-3.21875 0q0 0.703125 0.390625 1.078125q0.390625 0.375 1.140625 0.375q0.1875 0 0.390625 -0.015625q0.203125 -0.015625 0.390625 -0.046875q0.1875 -0.03125 0.359375 -0.0625q0.171875 -0.03125 0.3125 -0.078125l0 0.65625q-0.328125 0.09375 -0.734375 0.140625q-0.40625 0.0625 -0.84375 0.0625q-0.578125 0 -1.015625 -0.15625q-0.421875 -0.15625 -0.6875 -0.453125q-0.265625 -0.3125 -0.40625 -0.75q-0.125 -0.4375 -0.125 -1.0q0 -0.484375 0.140625 -0.90625q0.140625 -0.4375 0.40625 -0.75q0.265625 -0.328125 0.65625 -0.515625q0.390625 -0.203125 0.875 -0.203125q0.484375 0 0.84375 0.15625q0.375 0.140625 0.625 0.421875q0.25 0.28125 0.375 0.671875q0.140625 0.390625 0.140625 0.875zm-0.828125 -0.109375q0.015625 -0.3125 -0.0625 -0.5625q-0.0625 -0.25 -0.21875 -0.421875q-0.15625 -0.1875 -0.390625 -0.28125q-0.21875 -0.109375 -0.515625 -0.109375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.28125q-0.15625 0.1875 -0.25 0.4375q-0.09375 0.25 -0.125 0.5625l2.40625 0zm5.956772 0.109375q0 0.171875 -0.015625 0.296875q0 0.109375 0 0.203125l-3.21875 0q0 0.703125 0.390625 1.078125q0.390625 0.375 1.140625 0.375q0.1875 0 0.390625 -0.015625q0.203125 -0.015625 0.390625 -0.046875q0.1875 -0.03125 0.359375 -0.0625q0.171875 -0.03125 0.3125 -0.078125l0 0.65625q-0.328125 0.09375 -0.734375 0.140625q-0.40625 0.0625 -0.84375 0.0625q-0.578125 0 -1.015625 -0.15625q-0.421875 -0.15625 -0.6875 -0.453125q-0.265625 -0.3125 -0.40625 -0.75q-0.125 -0.4375 -0.125 -1.0q0 -0.484375 0.140625 -0.90625q0.140625 -0.4375 0.40625 -0.75q0.265625 -0.328125 0.65625 -0.515625q0.390625 -0.203125 0.875 -0.203125q0.484375 0 0.84375 0.15625q0.375 0.140625 0.625 0.421875q0.25 0.28125 0.375 0.671875q0.140625 0.390625 0.140625 0.875zm-0.828125 -0.109375q0.015625 -0.3125 -0.0625 -0.5625q-0.0625 -0.25 -0.21875 -0.421875q-0.15625 -0.1875 -0.390625 -0.28125q-0.21875 -0.109375 -0.515625 -0.109375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.28125q-0.15625 0.1875 -0.25 0.4375q-0.09375 0.25 -0.125 0.5625l2.40625 0zm6.003647 0.265625q0 0.609375 -0.171875 1.078125q-0.171875 0.453125 -0.484375 0.75q-0.296875 0.296875 -0.703125 0.453125q-0.40625 0.140625 -0.890625 0.140625q-0.21875 0 -0.4375 -0.015625q-0.203125 -0.015625 -0.4375 -0.078125l0 1.921875l-0.78125 0l0 -6.453125l0.703125 0l0.046875 0.765625q0.34375 -0.46875 0.71875 -0.65625q0.390625 -0.1875 0.84375 -0.1875q0.390625 0 0.6875 0.171875q0.296875 0.15625 0.5 0.453125q0.203125 0.296875 0.296875 0.71875q0.109375 0.421875 0.109375 0.9375zm-0.8125 0.046875q0 -0.359375 -0.0625 -0.65625q-0.046875 -0.3125 -0.15625 -0.515625q-0.109375 -0.21875 -0.296875 -0.34375q-0.171875 -0.125 -0.421875 -0.125q-0.15625 0 -0.3125 0.0625q-0.140625 0.046875 -0.3125 0.15625q-0.171875 0.109375 -0.359375 0.296875q-0.171875 0.171875 -0.390625 0.453125l0 2.21875q0.21875 0.09375 0.453125 0.140625q0.25 0.046875 0.484375 0.046875q0.640625 0 1.0 -0.421875q0.375 -0.4375 0.375 -1.3125zm5.894272 -0.203125q0 0.171875 -0.015625 0.296875q0 0.109375 0 0.203125l-3.21875 0q0 0.703125 0.390625 1.078125q0.390625 0.375 1.140625 0.375q0.1875 0 0.390625 -0.015625q0.203125 -0.015625 0.390625 -0.046875q0.1875 -0.03125 0.359375 -0.0625q0.171875 -0.03125 0.3125 -0.078125l0 0.65625q-0.328125 0.09375 -0.734375 0.140625q-0.40625 0.0625 -0.84375 0.0625q-0.578125 0 -1.015625 -0.15625q-0.421875 -0.15625 -0.6875 -0.453125q-0.265625 -0.3125 -0.40625 -0.75q-0.125 -0.4375 -0.125 -1.0q0 -0.484375 0.140625 -0.90625q0.140625 -0.4375 0.40625 -0.75q0.265625 -0.328125 0.65625 -0.515625q0.390625 -0.203125 0.875 -0.203125q0.484375 0 0.84375 0.15625q0.375 0.140625 0.625 0.421875q0.25 0.28125 0.375 0.671875q0.140625 0.390625 0.140625 0.875zm-0.828125 -0.109375q0.015625 -0.3125 -0.0625 -0.5625q-0.0625 -0.25 -0.21875 -0.421875q-0.15625 -0.1875 -0.390625 -0.28125q-0.21875 -0.109375 -0.515625 -0.109375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.28125q-0.15625 0.1875 -0.25 0.4375q-0.09375 0.25 -0.125 0.5625l2.40625 0zm2.2848969 -1.9375l0.71875 0l0.015625 0.84375q0.40625 -0.484375 0.796875 -0.703125q0.40625 -0.21875 0.796875 -0.21875q0.71875 0 1.078125 0.46875q0.375 0.453125 0.34375 1.359375l-0.796875 0q0.015625 -0.609375 -0.1875 -0.875q-0.1875 -0.265625 -0.546875 -0.265625q-0.15625 0 -0.328125 0.0625q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.359375 0.328125q-0.1875 0.1875 -0.40625 0.46875l0 2.9375l-0.796875 0l0 -4.578125zm5.284897 5.515625q0.234375 0 0.453125 -0.046875q0.21875 -0.046875 0.390625 -0.15625q0.171875 -0.09375 0.265625 -0.234375q0.09375 -0.140625 0.09375 -0.328125q0 -0.1875 -0.0625 -0.3125q-0.0625 -0.125 -0.15625 -0.21875q-0.078125 -0.09375 -0.140625 -0.203125q-0.0625 -0.125 -0.0625 -0.3125q0 -0.09375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.1875q0.078125 -0.09375 0.1875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.15625 0 0.296875 0.078125q0.15625 0.0625 0.265625 0.203125q0.125 0.125 0.1875 0.328125q0.0625 0.203125 0.0625 0.484375q0 0.375 -0.140625 0.71875q-0.140625 0.359375 -0.421875 0.625q-0.265625 0.265625 -0.6875 0.421875q-0.40625 0.171875 -0.953125 0.171875l0 -0.625z" fill-rule="nonzero"/><path fill="#000000" d="m178.27136 231.27905q0 -0.59375 0.15625 -1.046875q0.15625 -0.453125 0.453125 -0.75q0.296875 -0.3125 0.703125 -0.46875q0.421875 -0.171875 0.921875 -0.171875q0.21875 0 0.421875 0.03125q0.21875 0.015625 0.421875 0.078125l0 -1.921875l0.796875 0l0 6.4375l-0.703125 0l-0.03125 -0.859375q-0.328125 0.484375 -0.71875 0.71875q-0.390625 0.21875 -0.84375 0.21875q-0.390625 0 -0.6875 -0.15625q-0.296875 -0.171875 -0.5 -0.46875q-0.1875 -0.296875 -0.296875 -0.71875q-0.09375 -0.421875 -0.09375 -0.921875zm0.8125 -0.046875q0 0.828125 0.234375 1.234375q0.25 0.40625 0.6875 0.40625q0.3125 0 0.640625 -0.265625q0.34375 -0.28125 0.703125 -0.796875l0 -2.125q-0.1875 -0.09375 -0.4375 -0.140625q-0.234375 -0.046875 -0.46875 -0.046875q-0.640625 0 -1.0 0.421875q-0.359375 0.40625 -0.359375 1.3125zm4.738022 -2.34375l0.71875 0l0.015625 0.84375q0.40625 -0.484375 0.796875 -0.703125q0.40625 -0.21875 0.796875 -0.21875q0.71875 0 1.078125 0.46875q0.375 0.453125 0.34375 1.359375l-0.796875 0q0.015625 -0.609375 -0.1875 -0.875q-0.1875 -0.265625 -0.546875 -0.265625q-0.15625 0 -0.328125 0.0625q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.359375 0.328125q-0.1875 0.1875 -0.40625 0.46875l0 2.9375l-0.796875 0l0 -4.578125zm6.488022 0.65625l-1.34375 0l0 -0.65625l2.15625 0l0 3.921875l1.359375 0l0 0.65625l-3.671875 0l0 -0.65625l1.5 0l0 -3.265625zm0.28125 -2.5625q0.140625 0 0.25 0.046875q0.109375 0.046875 0.1875 0.140625q0.09375 0.078125 0.140625 0.1875q0.046875 0.109375 0.046875 0.25q0 0.125 -0.046875 0.234375q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.1875 0.125q-0.109375 0.046875 -0.25 0.046875q-0.125 0 -0.25 -0.046875q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.125 -0.203125q-0.046875 -0.109375 -0.046875 -0.234375q0 -0.140625 0.046875 -0.25q0.046875 -0.109375 0.125 -0.1875q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.046875 0.25 -0.046875zm2.8630219 1.90625l0.90625 0l1.125 3.03125l0.234375 0.734375l0.25 -0.75l1.109375 -3.015625l0.875 0l-1.796875 4.578125l-0.90625 0l-1.796875 -4.578125zm9.425522 2.046875q0 0.171875 -0.015625 0.296875q0 0.109375 0 0.203125l-3.21875 0q0 0.703125 0.390625 1.078125q0.390625 0.375 1.140625 0.375q0.1875 0 0.390625 -0.015625q0.203125 -0.015625 0.390625 -0.046875q0.1875 -0.03125 0.359375 -0.0625q0.171875 -0.03125 0.3125 -0.078125l0 0.65625q-0.328125 0.09375 -0.734375 0.140625q-0.40625 0.0625 -0.84375 0.0625q-0.578125 0 -1.015625 -0.15625q-0.421875 -0.15625 -0.6875 -0.453125q-0.265625 -0.3125 -0.40625 -0.75q-0.125 -0.4375 -0.125 -1.0q0 -0.484375 0.140625 -0.90625q0.140625 -0.4375 0.40625 -0.75q0.265625 -0.328125 0.65625 -0.515625q0.390625 -0.203125 0.875 -0.203125q0.484375 0 0.84375 0.15625q0.375 0.140625 0.625 0.421875q0.25 0.28125 0.375 0.671875q0.140625 0.390625 0.140625 0.875zm-0.828125 -0.109375q0.015625 -0.3125 -0.0625 -0.5625q-0.0625 -0.25 -0.21875 -0.421875q-0.15625 -0.1875 -0.390625 -0.28125q-0.21875 -0.109375 -0.515625 -0.109375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.28125q-0.15625 0.1875 -0.25 0.4375q-0.09375 0.25 -0.125 0.5625l2.40625 0zm5.284897 0.53125l-2.71875 0l0 -0.75l2.71875 0l0 0.75zm5.550522 0.859375q0 0.25 -0.078125 0.4375q-0.078125 0.1875 -0.21875 0.34375q-0.140625 0.140625 -0.328125 0.25q-0.1875 0.09375 -0.40625 0.171875q-0.203125 0.0625 -0.4375 0.09375q-0.21875 0.03125 -0.421875 0.03125q-0.46875 0 -0.859375 -0.046875q-0.390625 -0.03125 -0.765625 -0.125l0 -0.71875q0.40625 0.109375 0.796875 0.171875q0.390625 0.046875 0.78125 0.046875q0.578125 0 0.84375 -0.140625q0.28125 -0.15625 0.28125 -0.453125q0 -0.125 -0.046875 -0.21875q-0.046875 -0.09375 -0.15625 -0.1875q-0.109375 -0.09375 -0.359375 -0.1875q-0.234375 -0.09375 -0.640625 -0.21875q-0.3125 -0.09375 -0.578125 -0.203125q-0.265625 -0.109375 -0.453125 -0.265625q-0.1875 -0.171875 -0.296875 -0.375q-0.109375 -0.21875 -0.109375 -0.515625q0 -0.1875 0.078125 -0.40625q0.09375 -0.234375 0.3125 -0.421875q0.21875 -0.203125 0.578125 -0.328125q0.359375 -0.140625 0.90625 -0.140625q0.265625 0 0.59375 0.03125q0.328125 0.03125 0.6875 0.109375l0 0.703125q-0.375 -0.09375 -0.71875 -0.140625q-0.328125 -0.046875 -0.5625 -0.046875q-0.296875 0 -0.5 0.046875q-0.203125 0.046875 -0.328125 0.125q-0.125 0.078125 -0.1875 0.1875q-0.046875 0.109375 -0.046875 0.234375q0 0.125 0.046875 0.234375q0.046875 0.09375 0.171875 0.1875q0.125 0.09375 0.359375 0.1875q0.234375 0.09375 0.609375 0.203125q0.40625 0.109375 0.6875 0.25q0.28125 0.125 0.453125 0.28125q0.171875 0.15625 0.234375 0.359375q0.078125 0.203125 0.078125 0.453125zm5.191147 1.1875q-0.265625 0.0625 -0.546875 0.09375q-0.28125 0.03125 -0.578125 0.03125q-0.875 0 -1.296875 -0.390625q-0.421875 -0.390625 -0.421875 -1.1875l0 -2.390625l-1.28125 0l0 -0.671875l1.28125 0l0 -1.25l0.796875 -0.203125l0 1.453125l2.046875 0l0 0.671875l-2.046875 0l0 2.328125q0 0.484375 0.265625 0.734375q0.265625 0.234375 0.765625 0.234375q0.21875 0 0.46875 -0.03125q0.265625 -0.03125 0.546875 -0.109375l0 0.6875zm1.6442719 -4.515625l0.71875 0l0.015625 0.84375q0.40625 -0.484375 0.796875 -0.703125q0.40625 -0.21875 0.796875 -0.21875q0.71875 0 1.078125 0.46875q0.375 0.453125 0.34375 1.359375l-0.796875 0q0.015625 -0.609375 -0.1875 -0.875q-0.1875 -0.265625 -0.546875 -0.265625q-0.15625 0 -0.328125 0.0625q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.359375 0.328125q-0.1875 0.1875 -0.40625 0.46875l0 2.9375l-0.796875 0l0 -4.578125zm8.800522 2.046875q0 0.171875 -0.015625 0.296875q0 0.109375 0 0.203125l-3.21875 0q0 0.703125 0.390625 1.078125q0.390625 0.375 1.140625 0.375q0.1875 0 0.390625 -0.015625q0.203125 -0.015625 0.390625 -0.046875q0.1875 -0.03125 0.359375 -0.0625q0.171875 -0.03125 0.3125 -0.078125l0 0.65625q-0.328125 0.09375 -0.734375 0.140625q-0.40625 0.0625 -0.84375 0.0625q-0.578125 0 -1.015625 -0.15625q-0.421875 -0.15625 -0.6875 -0.453125q-0.265625 -0.3125 -0.40625 -0.75q-0.125 -0.4375 -0.125 -1.0q0 -0.484375 0.140625 -0.90625q0.140625 -0.4375 0.40625 -0.75q0.265625 -0.328125 0.65625 -0.515625q0.390625 -0.203125 0.875 -0.203125q0.484375 0 0.84375 0.15625q0.375 0.140625 0.625 0.421875q0.25 0.28125 0.375 0.671875q0.140625 0.390625 0.140625 0.875zm-0.828125 -0.109375q0.015625 -0.3125 -0.0625 -0.5625q-0.0625 -0.25 -0.21875 -0.421875q-0.15625 -0.1875 -0.390625 -0.28125q-0.21875 -0.109375 -0.515625 -0.109375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.28125q-0.15625 0.1875 -0.25 0.4375q-0.09375 0.25 -0.125 0.5625l2.40625 0zm2.0973969 -1.9375l0.703125 0l0.03125 0.75q0.203125 -0.25 0.390625 -0.40625q0.1875 -0.15625 0.359375 -0.25q0.1875 -0.09375 0.375 -0.125q0.1875 -0.046875 0.375 -0.046875q0.71875 0 1.078125 0.421875q0.359375 0.40625 0.359375 1.25l0 2.984375l-0.796875 0l0 -2.921875q0 -0.53125 -0.203125 -0.78125q-0.1875 -0.265625 -0.59375 -0.265625q-0.140625 0 -0.28125 0.046875q-0.140625 0.03125 -0.296875 0.140625q-0.140625 0.109375 -0.328125 0.296875q-0.171875 0.171875 -0.390625 0.453125l0 3.03125l-0.78125 0l0 -4.578125zm8.409897 0.65625q0.140625 0.15625 0.203125 0.375q0.078125 0.203125 0.078125 0.453125q0 0.359375 -0.140625 0.65625q-0.125 0.28125 -0.359375 0.5q-0.234375 0.203125 -0.578125 0.328125q-0.328125 0.109375 -0.71875 0.109375q-0.28125 0 -0.53125 -0.0625q-0.25 -0.0625 -0.40625 -0.15625q-0.078125 0.125 -0.140625 0.25q-0.0625 0.109375 -0.0625 0.25q0 0.171875 0.15625 0.296875q0.171875 0.109375 0.453125 0.109375l1.203125 0.046875q0.34375 0.015625 0.625 0.09375q0.296875 0.078125 0.5 0.21875q0.203125 0.140625 0.3125 0.359375q0.125 0.21875 0.125 0.5q0 0.296875 -0.140625 0.5625q-0.125 0.28125 -0.40625 0.484375q-0.265625 0.21875 -0.6875 0.328125q-0.421875 0.125 -1.015625 0.125q-0.546875 0 -0.9375 -0.09375q-0.390625 -0.078125 -0.640625 -0.234375q-0.25 -0.15625 -0.375 -0.375q-0.109375 -0.21875 -0.109375 -0.46875q0 -0.34375 0.15625 -0.59375q0.15625 -0.25 0.484375 -0.484375q-0.125 -0.046875 -0.21875 -0.125q-0.078125 -0.09375 -0.140625 -0.1875q-0.046875 -0.09375 -0.078125 -0.203125q-0.015625 -0.109375 -0.015625 -0.21875q0 -0.296875 0.140625 -0.53125q0.140625 -0.25 0.328125 -0.46875q-0.09375 -0.109375 -0.15625 -0.203125q-0.0625 -0.109375 -0.109375 -0.21875q-0.046875 -0.125 -0.078125 -0.25q-0.03125 -0.140625 -0.03125 -0.3125q0 -0.359375 0.125 -0.640625q0.140625 -0.296875 0.375 -0.5q0.234375 -0.21875 0.5625 -0.328125q0.328125 -0.125 0.734375 -0.125q0.171875 0 0.328125 0.03125q0.15625 0.015625 0.265625 0.046875l1.65625 0l0 0.65625l-0.734375 0zm-2.75 4.578125q0 0.328125 0.328125 0.46875q0.34375 0.15625 0.953125 0.15625q0.375 0 0.625 -0.078125q0.265625 -0.0625 0.421875 -0.171875q0.15625 -0.109375 0.21875 -0.25q0.078125 -0.140625 0.078125 -0.296875q0 -0.28125 -0.234375 -0.421875q-0.21875 -0.125 -0.6875 -0.140625l-1.203125 -0.046875q-0.140625 0.109375 -0.25 0.203125q-0.09375 0.09375 -0.15625 0.1875q-0.046875 0.09375 -0.078125 0.1875q-0.015625 0.109375 -0.015625 0.203125zm0.25 -3.734375q0 0.21875 0.0625 0.40625q0.078125 0.171875 0.203125 0.3125q0.140625 0.125 0.3125 0.203125q0.1875 0.0625 0.40625 0.0625q0.234375 0 0.421875 -0.078125q0.1875 -0.09375 0.3125 -0.21875q0.125 -0.140625 0.1875 -0.3125q0.0625 -0.1875 0.0625 -0.375q0 -0.21875 -0.078125 -0.390625q-0.0625 -0.1875 -0.203125 -0.3125q-0.125 -0.140625 -0.3125 -0.203125q-0.171875 -0.078125 -0.390625 -0.078125q-0.234375 0 -0.421875 0.09375q-0.1875 0.078125 -0.3125 0.21875q-0.125 0.125 -0.1875 0.3125q-0.0625 0.171875 -0.0625 0.359375zm8.019272 3.015625q-0.265625 0.0625 -0.546875 0.09375q-0.28125 0.03125 -0.578125 0.03125q-0.875 0 -1.296875 -0.390625q-0.421875 -0.390625 -0.421875 -1.1875l0 -2.390625l-1.28125 0l0 -0.671875l1.28125 0l0 -1.25l0.796875 -0.203125l0 1.453125l2.046875 0l0 0.671875l-2.046875 0l0 2.328125q0 0.484375 0.265625 0.734375q0.265625 0.234375 0.765625 0.234375q0.21875 0 0.46875 -0.03125q0.265625 -0.03125 0.546875 -0.109375l0 0.6875zm5.128647 0.0625l-0.796875 0l0 -2.921875q0 -0.53125 -0.203125 -0.78125q-0.1875 -0.265625 -0.5625 -0.265625q-0.15625 0 -0.296875 0.046875q-0.140625 0.03125 -0.296875 0.140625q-0.140625 0.109375 -0.328125 0.296875q-0.171875 0.171875 -0.40625 0.453125l0 3.03125l-0.78125 0l0 -6.4375l0.78125 0l0 1.859375l-0.015625 0.71875q0.1875 -0.21875 0.359375 -0.359375q0.1875 -0.15625 0.359375 -0.25q0.1875 -0.109375 0.375 -0.140625q0.1875 -0.046875 0.375 -0.046875q0.6875 0 1.0625 0.421875q0.375 0.40625 0.375 1.25l0 2.984375zm2.3786469 -6.78125q2.109375 1.9375 2.109375 4.328125q0 0.484375 -0.109375 1.015625q-0.09375 0.53125 -0.34375 1.09375q-0.234375 0.546875 -0.640625 1.109375q-0.40625 0.578125 -1.03125 1.140625l-0.453125 -0.46875q0.890625 -0.875 1.328125 -1.828125q0.4375 -0.953125 0.4375 -2.0q0 -2.171875 -1.765625 -3.90625l0.46875 -0.484375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m224.0 68.944885l72.0 0l0 24.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m235.45312 83.34176l-1.453125 0l0 -0.703125l2.3125 0l0 4.203125l1.453125 0l0 0.703125l-3.921875 0l0 -0.703125l1.609375 0l0 -3.5zm0.296875 -2.75q0.140625 0 0.265625 0.046875q0.125 0.046875 0.203125 0.140625q0.09375 0.09375 0.140625 0.21875q0.0625 0.109375 0.0625 0.25q0 0.140625 -0.0625 0.265625q-0.046875 0.125 -0.140625 0.21875q-0.078125 0.09375 -0.203125 0.140625q-0.125 0.046875 -0.265625 0.046875q-0.140625 0 -0.265625 -0.046875q-0.125 -0.046875 -0.21875 -0.140625q-0.078125 -0.09375 -0.140625 -0.21875q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.21875q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.046875 0.265625 -0.046875zm3.5292969 2.046875l0.75 0l0.046875 0.796875q0.203125 -0.25 0.40625 -0.421875q0.203125 -0.171875 0.390625 -0.265625q0.203125 -0.109375 0.390625 -0.140625q0.203125 -0.046875 0.421875 -0.046875q0.765625 0 1.140625 0.453125q0.390625 0.4375 0.390625 1.328125l0 3.203125l-0.84375 0l0 -3.125q0 -0.578125 -0.21875 -0.859375q-0.21875 -0.28125 -0.640625 -0.28125q-0.15625 0 -0.3125 0.046875q-0.140625 0.046875 -0.3125 0.171875q-0.15625 0.109375 -0.34375 0.3125q-0.1875 0.1875 -0.421875 0.484375l0 3.25l-0.84375 0l0 -4.90625zm9.763672 2.421875q0 0.5625 -0.15625 1.046875q-0.15625 0.46875 -0.46875 0.8125q-0.296875 0.34375 -0.734375 0.53125q-0.4375 0.1875 -0.984375 0.1875q-0.53125 0 -0.953125 -0.15625q-0.40625 -0.171875 -0.703125 -0.484375q-0.296875 -0.328125 -0.453125 -0.796875q-0.140625 -0.46875 -0.140625 -1.078125q0 -0.578125 0.15625 -1.046875q0.15625 -0.46875 0.453125 -0.796875q0.3125 -0.34375 0.75 -0.53125q0.4375 -0.1875 0.984375 -0.1875q0.53125 0 0.9375 0.171875q0.421875 0.15625 0.703125 0.46875q0.296875 0.3125 0.453125 0.78125q0.15625 0.46875 0.15625 1.078125zm-0.859375 0.03125q0 -0.453125 -0.109375 -0.78125q-0.09375 -0.34375 -0.28125 -0.5625q-0.1875 -0.234375 -0.453125 -0.34375q-0.265625 -0.125 -0.59375 -0.125q-0.375 0 -0.65625 0.15625q-0.265625 0.140625 -0.4375 0.390625q-0.171875 0.25 -0.265625 0.578125q-0.078125 0.328125 -0.078125 0.6875q0 0.453125 0.09375 0.796875q0.109375 0.34375 0.296875 0.578125q0.1875 0.21875 0.453125 0.34375q0.265625 0.109375 0.59375 0.109375q0.375 0 0.640625 -0.140625q0.28125 -0.15625 0.453125 -0.40625q0.171875 -0.25 0.25 -0.578125q0.09375 -0.328125 0.09375 -0.703125zm6.029297 2.453125l-0.765625 0l-0.015625 -0.796875q-0.21875 0.265625 -0.421875 0.4375q-0.203125 0.15625 -0.40625 0.265625q-0.1875 0.09375 -0.390625 0.140625q-0.1875 0.046875 -0.40625 0.046875q-0.765625 0 -1.15625 -0.4375q-0.375 -0.453125 -0.375 -1.359375l0 -3.203125l0.84375 0l0 3.140625q0 1.125 0.859375 1.125q0.15625 0 0.296875 -0.046875q0.15625 -0.046875 0.3125 -0.15625q0.171875 -0.125 0.359375 -0.3125q0.1875 -0.203125 0.421875 -0.5l0 -3.25l0.84375 0l0 4.90625zm5.513672 -0.0625q-0.296875 0.0625 -0.609375 0.09375q-0.296875 0.03125 -0.609375 0.03125q-0.921875 0 -1.375 -0.40625q-0.453125 -0.421875 -0.453125 -1.28125l0 -2.5625l-1.375 0l0 -0.71875l1.375 0l0 -1.34375l0.84375 -0.21875l0 1.5625l2.203125 0l0 0.71875l-2.203125 0l0 2.484375q0 0.53125 0.28125 0.796875q0.28125 0.25 0.828125 0.25q0.234375 0 0.5 -0.03125q0.28125 -0.03125 0.59375 -0.109375l0 0.734375zm6.263672 2.0625l-5.5 0l0 -0.703125l5.5 0l0 0.703125zm2.4511719 -6.203125l-1.453125 0l0 -0.703125l2.3125 0l0 4.203125l1.453125 0l0 0.703125l-3.921875 0l0 -0.703125l1.609375 0l0 -3.5zm0.296875 -2.75q0.140625 0 0.265625 0.046875q0.125 0.046875 0.203125 0.140625q0.09375 0.09375 0.140625 0.21875q0.0625 0.109375 0.0625 0.25q0 0.140625 -0.0625 0.265625q-0.046875 0.125 -0.140625 0.21875q-0.078125 0.09375 -0.203125 0.140625q-0.125 0.046875 -0.265625 0.046875q-0.140625 0 -0.265625 -0.046875q-0.125 -0.046875 -0.21875 -0.140625q-0.078125 -0.09375 -0.140625 -0.21875q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.21875q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.046875 0.265625 -0.046875zm7.794922 4.46875q0 0.5625 -0.15625 1.046875q-0.15625 0.46875 -0.46875 0.8125q-0.296875 0.34375 -0.734375 0.53125q-0.4375 0.1875 -0.984375 0.1875q-0.53125 0 -0.953125 -0.15625q-0.40625 -0.171875 -0.703125 -0.484375q-0.296875 -0.328125 -0.453125 -0.796875q-0.140625 -0.46875 -0.140625 -1.078125q0 -0.578125 0.15625 -1.046875q0.15625 -0.46875 0.453125 -0.796875q0.3125 -0.34375 0.75 -0.53125q0.4375 -0.1875 0.984375 -0.1875q0.53125 0 0.9375 0.171875q0.421875 0.15625 0.703125 0.46875q0.296875 0.3125 0.453125 0.78125q0.15625 0.46875 0.15625 1.078125zm-0.859375 0.03125q0 -0.453125 -0.109375 -0.78125q-0.09375 -0.34375 -0.28125 -0.5625q-0.1875 -0.234375 -0.453125 -0.34375q-0.265625 -0.125 -0.59375 -0.125q-0.375 0 -0.65625 0.15625q-0.265625 0.140625 -0.4375 0.390625q-0.171875 0.25 -0.265625 0.578125q-0.078125 0.328125 -0.078125 0.6875q0 0.453125 0.09375 0.796875q0.109375 0.34375 0.296875 0.578125q0.1875 0.21875 0.453125 0.34375q0.265625 0.109375 0.59375 0.109375q0.375 0 0.640625 -0.140625q0.28125 -0.15625 0.453125 -0.40625q0.171875 -0.25 0.25 -0.578125q0.09375 -0.328125 0.09375 -0.703125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m32.0 28.944881l72.0 0l0 24.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m43.453125 43.341755l-1.453125 0l0 -0.703125l2.3125 0l0 4.203125l1.453125 0l0 0.703125l-3.921875 0l0 -0.703125l1.609375 0l0 -3.5zm0.296875 -2.75q0.140625 0 0.265625 0.046875q0.125 0.046875 0.203125 0.140625q0.09375 0.09375 0.140625 0.21875q0.0625 0.109375 0.0625 0.25q0 0.140625 -0.0625 0.265625q-0.046875 0.125 -0.140625 0.21875q-0.078125 0.09375 -0.203125 0.140625q-0.125 0.046875 -0.265625 0.046875q-0.140625 0 -0.265625 -0.046875q-0.125 -0.046875 -0.21875 -0.140625q-0.078125 -0.09375 -0.140625 -0.21875q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.21875q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.046875 0.265625 -0.046875zm3.5292969 2.046875l0.75 0l0.046875 0.796875q0.203125 -0.25 0.40625 -0.421875q0.203125 -0.171875 0.390625 -0.265625q0.203125 -0.109375 0.390625 -0.140625q0.203125 -0.046875 0.421875 -0.046875q0.765625 0 1.140625 0.453125q0.390625 0.4375 0.390625 1.328125l0 3.203125l-0.84375 0l0 -3.125q0 -0.578125 -0.21875 -0.859375q-0.21875 -0.28125 -0.640625 -0.28125q-0.15625 0 -0.3125 0.046875q-0.140625 0.046875 -0.3125 0.171875q-0.15625 0.109375 -0.34375 0.3125q-0.1875 0.1875 -0.421875 0.484375l0 3.25l-0.84375 0l0 -4.90625zm10.216797 6.90625l-5.5 0l0 -0.703125l5.5 0l0 0.703125zm5.044922 -4.484375q0 0.5625 -0.15625 1.046875q-0.15625 0.46875 -0.46875 0.8125q-0.296875 0.34375 -0.734375 0.53125q-0.4375 0.1875 -0.984375 0.1875q-0.53125 0 -0.953125 -0.15625q-0.40625 -0.171875 -0.703125 -0.484375q-0.296875 -0.328125 -0.453125 -0.796875q-0.140625 -0.46875 -0.140625 -1.078125q0 -0.578125 0.15625 -1.046875q0.15625 -0.46875 0.453125 -0.796875q0.3125 -0.34375 0.75 -0.53125q0.4375 -0.1875 0.984375 -0.1875q0.53125 0 0.9375 0.171875q0.421875 0.15625 0.703125 0.46875q0.296875 0.3125 0.453125 0.78125q0.15625 0.46875 0.15625 1.078125zm-0.859375 0.03125q0 -0.453125 -0.109375 -0.78125q-0.09375 -0.34375 -0.28125 -0.5625q-0.1875 -0.234375 -0.453125 -0.34375q-0.265625 -0.125 -0.59375 -0.125q-0.375 0 -0.65625 0.15625q-0.265625 0.140625 -0.4375 0.390625q-0.171875 0.25 -0.265625 0.578125q-0.078125 0.328125 -0.078125 0.6875q0 0.453125 0.09375 0.796875q0.109375 0.34375 0.296875 0.578125q0.1875 0.21875 0.453125 0.34375q0.265625 0.109375 0.59375 0.109375q0.375 0 0.640625 -0.140625q0.28125 -0.15625 0.453125 -0.40625q0.171875 -0.25 0.25 -0.578125q0.09375 -0.328125 0.09375 -0.703125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m32.0 68.944885l72.0 0l0 24.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m46.046875 85.06051q0 0.5625 -0.15625 1.046875q-0.15625 0.46875 -0.46875 0.8125q-0.296875 0.34375 -0.734375 0.53125q-0.4375 0.1875 -0.984375 0.1875q-0.53125 0 -0.953125 -0.15625q-0.40625 -0.171875 -0.703125 -0.484375q-0.296875 -0.328125 -0.453125 -0.796875q-0.140625 -0.46875 -0.140625 -1.078125q0 -0.578125 0.15625 -1.046875q0.15625 -0.46875 0.453125 -0.796875q0.3125 -0.34375 0.75 -0.53125q0.4375 -0.1875 0.984375 -0.1875q0.53125 0 0.9375 0.171875q0.421875 0.15625 0.703125 0.46875q0.296875 0.3125 0.453125 0.78125q0.15625 0.46875 0.15625 1.078125zm-0.859375 0.03125q0 -0.453125 -0.109375 -0.78125q-0.09375 -0.34375 -0.28125 -0.5625q-0.1875 -0.234375 -0.453125 -0.34375q-0.265625 -0.125 -0.59375 -0.125q-0.375 0 -0.65625 0.15625q-0.265625 0.140625 -0.4375 0.390625q-0.171875 0.25 -0.265625 0.578125q-0.078125 0.328125 -0.078125 0.6875q0 0.453125 0.09375 0.796875q0.109375 0.34375 0.296875 0.578125q0.1875 0.21875 0.453125 0.34375q0.265625 0.109375 0.59375 0.109375q0.375 0 0.640625 -0.140625q0.28125 -0.15625 0.453125 -0.40625q0.171875 -0.25 0.25 -0.578125q0.09375 -0.328125 0.09375 -0.703125zm6.029297 2.453125l-0.765625 0l-0.015625 -0.796875q-0.21875 0.265625 -0.421875 0.4375q-0.203125 0.15625 -0.40625 0.265625q-0.1875 0.09375 -0.390625 0.140625q-0.1875 0.046875 -0.40625 0.046875q-0.765625 0 -1.15625 -0.4375q-0.375 -0.453125 -0.375 -1.359375l0 -3.203125l0.84375 0l0 3.140625q0 1.125 0.859375 1.125q0.15625 0 0.296875 -0.046875q0.15625 -0.046875 0.3125 -0.15625q0.171875 -0.125 0.359375 -0.3125q0.1875 -0.203125 0.421875 -0.5l0 -3.25l0.84375 0l0 4.90625zm5.513672 -0.0625q-0.296875 0.0625 -0.609375 0.09375q-0.296875 0.03125 -0.609375 0.03125q-0.921875 0 -1.375 -0.40625q-0.453125 -0.421875 -0.453125 -1.28125l0 -2.5625l-1.375 0l0 -0.71875l1.375 0l0 -1.34375l0.84375 -0.21875l0 1.5625l2.203125 0l0 0.71875l-2.203125 0l0 2.484375q0 0.53125 0.28125 0.796875q0.28125 0.25 0.828125 0.25q0.234375 0 0.5 -0.03125q0.28125 -0.03125 0.59375 -0.109375l0 0.734375zm6.263672 2.0625l-5.5 0l0 -0.703125l5.5 0l0 0.703125zm2.4511719 -6.203125l-1.453125 0l0 -0.703125l2.3125 0l0 4.203125l1.453125 0l0 0.703125l-3.921875 0l0 -0.703125l1.609375 0l0 -3.5zm0.296875 -2.75q0.140625 0 0.265625 0.046875q0.125 0.046875 0.203125 0.140625q0.09375 0.09375 0.140625 0.21875q0.0625 0.109375 0.0625 0.25q0 0.140625 -0.0625 0.265625q-0.046875 0.125 -0.140625 0.21875q-0.078125 0.09375 -0.203125 0.140625q-0.125 0.046875 -0.265625 0.046875q-0.140625 0 -0.265625 -0.046875q-0.125 -0.046875 -0.21875 -0.140625q-0.078125 -0.09375 -0.140625 -0.21875q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.21875q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.046875 0.265625 -0.046875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m7.3963256 92.055115l119.46457 -0.03149414" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m7.3963256 92.055115l113.46457 -0.029914856" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m120.86133 93.67693l4.5376587 -1.6529236l-4.5385284 -1.6505356z" fill-rule="evenodd"/></g></svg>
\ No newline at end of file
diff --git a/hw/ip/padctrl/doc/padctrl.hjson b/hw/ip/padctrl/doc/padctrl.hjson
new file mode 100644
index 0000000..8160233
--- /dev/null
+++ b/hw/ip/padctrl/doc/padctrl.hjson
@@ -0,0 +1,93 @@
+// Copyright lowRISC contributors.
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+//
+# PADCTRL register template
+#
+# Parameter (given by python tool)
+#  - n_dio_pads:      Number of dedicated IO pads
+#  - n_mio_pads:      Number of muxed IO pads
+#  - attr_dw:        Attribute datawidth
+{
+  name: "PADCTRL",
+  clock_primary: "clk_fixed",
+  bus_device: "tlul",
+  regwidth: "32",
+  param_list: [
+    { name: "NDioPads",
+      desc: "Number of dedicated IO pads",
+      type: "int",
+      default: "4",
+      local: "true"
+    },
+    { name: "NMioPads",
+      desc: "Number of muxed IO pads",
+      type: "int",
+      default: "18",
+      local: "true"
+    },
+    { name: "AttrDw",
+      desc: "Pad attribute data width",
+      type: "int",
+      default: "6",
+      local: "true"
+    },
+  ],
+  registers: [
+    { name: "REGEN",
+      desc: '''
+            Register write enable for all control registers.
+            ''',
+      swaccess: "rw0c",
+      hwaccess: "none",
+      fields: [
+        {
+            bits:   "0",
+            desc: ''' When true, all configuration registers can be modified.
+            When false, they become read-only. Defaults true, write one to clear.
+            '''
+            resval: 1,
+        },
+      ]
+    },
+# dedicated pads
+    { multireg: { name:     "DIO_PADS",
+                  desc:     "Dedicated pad attributes.",
+                  count:    "NDioPads",
+                  swaccess: "rw",
+                  hwaccess: "hro",
+                  regwen:   "REGEN",
+                  cname:    "ATTR",
+                  fields: [
+                    { bits: "5:0",
+                      name: "ATTR",
+                      desc: '''Bit 0: input/output inversion, Bit 1: Virtual open drain enable.
+                      '''
+                      resval: 0
+                    }
+                  ]
+                }
+    },
+# muxed pads
+    { multireg: { name:     "MIO_PADS",
+                  desc:     "Muxed pad attributes.",
+                  count:    "NMioPads",
+                  swaccess: "rw",
+                  hwaccess: "hro",
+                  regwen:   "REGEN",
+                  cname:    "ATTR",
+                  fields: [
+                    { bits: "5:0",
+                      name: "ATTR",
+                      desc: '''Bit 0: input/output inversion, Bit 1: Virtual open drain enable.
+                      '''
+                      resval: 0
+                    }
+                  ]
+                }
+    },
+  ],
+}
+
+
+
diff --git a/hw/ip/padctrl/doc/padctrl.md b/hw/ip/padctrl/doc/padctrl.md
new file mode 100644
index 0000000..ff5cb73
--- /dev/null
+++ b/hw/ip/padctrl/doc/padctrl.md
@@ -0,0 +1,137 @@
+{{% lowrisc-doc-hdr Padctrl Technical Specification }}
+{{% regfile padctrl.hjson }}
+
+
+{{% section1 Overview }}
+
+This document specifies the functionality of the pad control block.
+This module is a peripheral on the chip interconnect bus, and thus follows the
+[OpenTitan guideline for peripheral device functionality.](../../../../doc/rm/comportability_specification.md).
+See that document for integration overview within the broader OpenTitan top level system.
+
+
+{{% toc 4 }}
+
+
+{{% section2 Features }}
+
+- Programmable control of chip pin input/output inversion
+
+- Programmable control of chip pin output locking enable
+
+- Programmable control of chip pin output drive strength, pull up, pull down, open drain
+
+{{% section2 Description }}
+
+The `padctrl` module instantiates all chip pads and provides a software accessible register file to control pad attributes such as pull-up, pull-down, open-drain, drive-strength, keeper and input/output inversion.
+The `padctrl` module supports a comprehensive set of pin attributes, but it is permissible that some of them may not be supported by the underlying pad implementation.
+For example, certain ASIC libraries may not provide open-drain outputs, and FPGAs typically do not allow all of these attributes to be programmed dynamically at runtime.
+
+Note that static pin attributes for FPGAs are currently not covered in this specification.
+
+
+{{% section1 Theory of Operations }}
+
+
+{{% section2 Block Diagram }}
+
+Even though the pad control IP is referred to as one IP, it is logically split into two modules that are instantiated on the top-level and the chip-level respectively, as shown in the block diagram below.
+The top-level module `padctrl` contains the CSRs that are accessible via the TL-UL interface, while the chip-level module `padring` instantiates the bidirectional pads and connects the physical pin attributes.
+
+![Padctrl Block Diagram](padctrl_block_diagram.svg)
+
+The chip level `padctrl` module provides two sets of parametric IO arrays prefixed with `mio*` and `dio*`.
+Both sets are functionally equivalent, but are meant to be used with either multiplexed or dedicated IOs as the naming suggests.
+I.e., the `mio*` pads can be connected to the `pinmux` module ([see spec](../../pinmux/doc/pinmux.md)) in order to provide as much IO flexibility as possible to the software running on the device.
+The `dio*` pads on the other hand are to be connected to peripherals that require dedicated ownership of the pads.
+Examples that fall into the latter category are a high-speed SPI peripherals or a UART device that should always be connected for debugging purposes.
+
+The implementation of the pad wrapper can be technology dependent, but the interface must follow the specification detailed in this document (see following sections).
+This ensures that the pad instance compatible with the target technology can be selected during the RTL build flow by just including the right pad wrapper IP, without having to regenerate parts of the `padctrl` code.
+
+Note that the chip-level `padctrl` module also contains the pads for clock and reset, but these have no associated runtime configurable pad attributes.
+
+
+{{% section2 Parameters }}
+
+The following table lists the main parameters used throughout the `padctrl` design.
+Note that the `padctrl` modules are generated based on the system configuration, and hence these parameters are placed into a package as “localparams”.
+
+Localparam     | Default (Max)         | Description
+---------------|-----------------------|---------------
+NMioPads       | 4 (-)                 | Number of muxed output pads.
+NDioPads       | 16 (-)                | Number of dedicated output pads.
+AttrDw         | 6 (-)                 | Width of the pad attribute vector.
+
+
+{{% section2 Signals }}
+
+The table below lists the `padctrl` signals.
+The number of IOs is parametric, and hence the signals are stacked in packed arrays.
+
+Signal                                 | Direction        | Type                | Description
+---------------------------------------|------------------|---------------------|---------------
+`tl_i`                                 | `input`          | `tl_h2d_t`          | TileLink-UL input for control register access.
+`tl_o`                                 | `output`         | `tl_d2h_t`          | TileLink-UL output for control register access.
+`mio_io[NMioPads-1:0]`                 | `inout`          | packed `wire logic` | Nidirectional IOs of the muxed IO pads.
+`mio_out_i[NMioPads-1:0]`              | `input`          | packed `logic`      | Output data signal of muxed IOs.
+`mio_oe_i[NMioPads-1:0]`               | `input`          | packed `logic`      | Output data enable of muxed IOs.
+`mio_in_o[NMioPads-1:0]`               | `output`         | packed `logic`      | Input data signals of muxed IOs.
+`mio_attr_i[NMioPads-1:0][AttrDw-1:0]` | `input`          | packed `logic`      | Packed array containing the pad attributes of all muxed IOs.
+`dio_io[NDioPads-1:0]`                 | `inout`          | packed `wire logic` | Nidirectional IOs of the dedicated IO pads.
+`dio_out_i[NDioPads-1:0]`              | `input`          | packed `logic`      | Output data signal of dedicated IOs.
+`dio_oe_i[NDioPads-1:0]`               | `input`          | packed `logic`      | Output data enable of dedicated IOs.
+`dio_in_o[NDioPads-1:0]`               | `output`         | packed `logic`      | Input data signals of dedicated IOs.
+`dio_attr_i[NDioPads-1:0][AttrDw-1:0]` | `input`          | packed `logic`      | Packed array containing the pad attributes of all dedicated IOs.
+
+
+{{% section2 Generic Pad Wrapper }}
+
+<center>
+<img src="generic_pad_wrapper.svg" width="50%">
+</center>
+
+The generic pad wrapper is intended to abstract away implementation differences between the target technologies by providing a generic interface that is compatible with the `padring` module.
+It is the task of the RTL build flow to select the appropriate pad wrapper implementation.
+
+A specific implementation of a pad wrapper may choose to instantiate a technology primitive (as it is common in ASIC flows), or it may choose to model the functionality behaviorally such that it can be inferred by the technology mapping tool (e.g., in the case of an FPGA target).
+It is permissible to omit the implementation of all IO attributes except input/output inversion.
+
+The generic pad wrapper must expose the following IOs and parameters, even if they are not connected internally.
+
+Parameter      | Default (Max)         | Description
+---------------|-----------------------|---------------
+AttrDw         | 6 (-)                 | Width of the pad attribute vector.
+
+Note that the pad wrapper may implement a “virtual” open drain termination, where standard bidirectional pads are employed, but instead of driving the output high for a logic 1 the pad is put into tristate mode.
+
+Signal             | Direction  | Type  | Description                         | Mandatory
+-------------------|------------|-------|-------------------------------------|--------------------
+inout_io           | `inout`    | wire  | Bidirectional inout of the pad      | yes
+in_o               | `output`   | logic | Input data signal                   | yes
+out_i              | `input`    | logic | Output data signal                  | yes
+oe_i               | `input`    | logic | Output data enable                  | yes
+attr_i[0]          | `input`    | logic | Input/output inversion              | yes
+attr_i[1]          | `input`    | logic | Open drain enable.                  | yes
+attr_i[2]          | `input`    | logic | Pull-down enable.                   | no
+attr_i[3]          | `input`    | logic | Pull-up enable.                     | no
+attr_i[4]          | `input`    | logic | Keeper enable.                      | no
+attr_i[5]          | `input`    | logic | Drive strengh (0: strong, 1: weak). | no
+attr_i[AttrDw-1:6] | `input`    | logic | Additional (optional) attributes.   | no
+
+
+{{% section2 Programmers Guide }}
+
+Software should determine and program the `padctrl` pin attributes at startup, or reprogram it when the functionality requirements change at runtime.
+
+This can be achieved by writing to the !!MIO_PADS and !!DIO_PADS registers.
+Note that the IO attributes should be configured before enabling any driving modules such as the `pinmux` in order to avoid undesired electrical behavior and/or contention at the pads.
+
+The padctrl configuration can be locked down by writing a 1 to register !!REGEN.
+The configuration can then not be altered anymore unless the system is reset.
+
+
+{{% section1 Register Table }}
+
+{{% registers x }}
+
diff --git a/hw/ip/padctrl/doc/padctrl.tpl.hjson b/hw/ip/padctrl/doc/padctrl.tpl.hjson
new file mode 100644
index 0000000..72135dc
--- /dev/null
+++ b/hw/ip/padctrl/doc/padctrl.tpl.hjson
@@ -0,0 +1,92 @@
+// Copyright lowRISC contributors.
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+//
+# PADCTRL register template
+#
+# Parameter (given by python tool)
+#  - n_dio_pads:      Number of dedicated IO pads
+#  - n_mio_pads:      Number of muxed IO pads
+#  - attr_dw:        Attribute datawidth
+{
+  name: "PADCTRL",
+  clock_primary: "clk_fixed",
+  bus_device: "tlul",
+  regwidth: "32",
+  param_list: [
+    { name: "NDioPads",
+      desc: "Number of dedicated IO pads",
+      type: "int",
+      default: "${n_dio_pads}",
+      local: "true"
+    },
+    { name: "NMioPads",
+      desc: "Number of muxed IO pads",
+      type: "int",
+      default: "${n_mio_pads}",
+      local: "true"
+    },
+    { name: "AttrDw",
+      desc: "Pad attribute data width",
+      type: "int",
+      default: "${attr_dw}",
+      local: "true"
+    },
+  ],
+  registers: [
+    { name: "REGEN",
+      desc: '''
+            Register write enable for all control registers.
+            ''',
+      swaccess: "rw0c",
+      hwaccess: "none",
+      fields: [
+        {
+            bits:   "0",
+            desc: ''' When true, all configuration registers can be modified.
+            When false, they become read-only. Defaults true, write one to clear.
+            '''
+            resval: 1,
+        },
+      ]
+    },
+# dedicated pads
+    { multireg: { name:     "DIO_PADS",
+                  desc:     "Dedicated pad attributes.",
+                  count:    "NDioPads",
+                  swaccess: "rw",
+                  hwaccess: "hro",
+                  regwen:   "REGEN",
+                  cname:    "ATTR",
+                  fields: [
+                    { bits: "${attr_dw-1}:0",
+                      name: "ATTR",
+                      desc: '''Bit 0: input/output inversion, Bit 1: Virtual open drain enable.
+                      '''
+                      resval: 0
+                    }
+                  ]
+                }
+    },
+# muxed pads
+    { multireg: { name:     "MIO_PADS",
+                  desc:     "Muxed pad attributes.",
+                  count:    "NMioPads",
+                  swaccess: "rw",
+                  hwaccess: "hro",
+                  regwen:   "REGEN",
+                  cname:    "ATTR",
+                  fields: [
+                    { bits: "${attr_dw-1}:0",
+                      name: "ATTR",
+                      desc: '''Bit 0: input/output inversion, Bit 1: Virtual open drain enable.
+                      '''
+                      resval: 0
+                    }
+                  ]
+                }
+    },
+  ],
+}
+
+
diff --git a/hw/ip/padctrl/doc/padctrl_block_diagram.svg b/hw/ip/padctrl/doc/padctrl_block_diagram.svg
new file mode 100644
index 0000000..052fa26
--- /dev/null
+++ b/hw/ip/padctrl/doc/padctrl_block_diagram.svg
@@ -0,0 +1 @@
+<svg version="1.1" viewBox="0.0 0.0 751.8503937007874 760.2598425196851" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l751.8504 0l0 760.2598l-751.8504 0l0 -760.2598z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l751.8504 0l0 760.2598l-751.8504 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m80.0 8.0l560.0 0l0 744.0l-560.0 0z" fill-rule="evenodd"/><path stroke="#999999" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m80.0 8.0l560.0 0l0 744.0l-560.0 0z" fill-rule="evenodd"/><path fill="#999999" d="m97.5625 738.57623q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm10.507294 0.34375l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm6.0229187 -7.828125l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm14.413544 8.25q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm17.436462 -6.890625l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm14.866669 6.5q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm3.3197937 -3.859375l1.8125 0l2.234375 6.046875l0.484375 1.46875l0.5 -1.515625l2.234375 -6.0l1.734375 0l-3.59375 9.140625l-1.8125 0l-3.59375 -9.140625zm18.851044 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm7.3041687 -6.28125l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m96.0 24.0l240.0 0l0 680.0l-240.0 0z" fill-rule="evenodd"/><path stroke="#999999" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m96.0 24.0l240.0 0l0 680.0l-240.0 0z" fill-rule="evenodd"/><path fill="#999999" d="m113.828125 690.795q-0.53125 0.140625 -1.109375 0.1875q-0.578125 0.0625 -1.171875 0.0625q-1.71875 0 -2.5625 -0.78125q-0.84375 -0.78125 -0.84375 -2.390625l0 -4.765625l-2.5625 0l0 -1.328125l2.5625 0l0 -2.515625l1.578125 -0.40625l0 2.921875l4.109375 0l0 1.328125l-4.109375 0l0 4.640625q0 0.984375 0.515625 1.46875q0.53125 0.484375 1.546875 0.484375q0.4375 0 0.953125 -0.0625q0.53125 -0.0625 1.09375 -0.21875l0 1.375zm10.851044 -4.515625q0 1.0625 -0.3125 1.953125q-0.296875 0.890625 -0.859375 1.53125q-0.5625 0.625 -1.375 0.96875q-0.8125 0.34375 -1.84375 0.34375q-0.984375 0 -1.765625 -0.296875q-0.765625 -0.3125 -1.3125 -0.890625q-0.546875 -0.59375 -0.828125 -1.46875q-0.28125 -0.875 -0.28125 -2.015625q0 -1.0625 0.296875 -1.9375q0.296875 -0.890625 0.859375 -1.515625q0.5625 -0.640625 1.375 -0.984375q0.8125 -0.359375 1.84375 -0.359375q0.984375 0 1.765625 0.3125q0.78125 0.296875 1.3125 0.890625q0.546875 0.578125 0.828125 1.453125q0.296875 0.875 0.296875 2.015625zm-1.625 0.0625q0 -0.84375 -0.1875 -1.46875q-0.1875 -0.640625 -0.53125 -1.0625q-0.34375 -0.421875 -0.84375 -0.640625q-0.5 -0.21875 -1.109375 -0.21875q-0.703125 0 -1.21875 0.28125q-0.5 0.28125 -0.828125 0.75q-0.3125 0.453125 -0.46875 1.078125q-0.15625 0.609375 -0.15625 1.28125q0 0.859375 0.1875 1.5q0.1875 0.625 0.53125 1.046875q0.359375 0.421875 0.84375 0.640625q0.5 0.21875 1.109375 0.21875q0.71875 0 1.21875 -0.28125q0.5 -0.28125 0.828125 -0.734375q0.328125 -0.46875 0.46875 -1.078125q0.15625 -0.625 0.15625 -1.3125zm11.741669 -0.15625q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm17.436462 -6.890625l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm14.866669 6.5q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm3.3197937 -3.859375l1.8125 0l2.234375 6.046875l0.484375 1.46875l0.5 -1.515625l2.234375 -6.0l1.734375 0l-3.59375 9.140625l-1.8125 0l-3.59375 -9.140625zm18.851044 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm7.3041687 -6.28125l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 24.0l168.0 0l0 712.0l-168.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m456.0 24.0l168.0 0l0 712.0l-168.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m474.28125 718.1856q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm9.929169 4.671875l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm4.1010437 -0.21875q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm9.476044 -4.65625l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm13.007294 1.3125l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm6.585449 3.84375l1.421875 0l0.0625 1.46875q0.390625 -0.46875 0.765625 -0.78125q0.375 -0.3125 0.734375 -0.5q0.359375 -0.203125 0.734375 -0.28125q0.375 -0.078125 0.78125 -0.078125q1.40625 0 2.125 0.84375q0.734375 0.828125 0.734375 2.5l0 5.96875l-1.59375 0l0 -5.84375q0 -1.078125 -0.40625 -1.578125q-0.390625 -0.515625 -1.1875 -0.515625q-0.28125 0 -0.5625 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.34375 0.359375 -0.78125 0.90625l0 6.0625l-1.59375 0l0 -9.140625zm16.851013 1.28125q0.25 0.328125 0.390625 0.75q0.140625 0.421875 0.140625 0.921875q0 0.703125 -0.265625 1.296875q-0.25 0.578125 -0.734375 1.0q-0.46875 0.421875 -1.125 0.65625q-0.65625 0.234375 -1.453125 0.234375q-0.578125 0 -1.078125 -0.125q-0.5 -0.125 -0.78125 -0.3125q-0.1875 0.265625 -0.3125 0.5q-0.109375 0.21875 -0.109375 0.5q0 0.34375 0.328125 0.578125q0.34375 0.21875 0.890625 0.234375l2.40625 0.09375q0.671875 0.015625 1.25 0.171875q0.59375 0.15625 1.0 0.453125q0.421875 0.28125 0.640625 0.71875q0.234375 0.421875 0.234375 0.984375q0 0.609375 -0.265625 1.15625q-0.25 0.546875 -0.8125 0.953125q-0.546875 0.421875 -1.390625 0.671875q-0.84375 0.25 -2.015625 0.25q-1.109375 0 -1.890625 -0.1875q-0.78125 -0.171875 -1.28125 -0.484375q-0.5 -0.3125 -0.734375 -0.75q-0.21875 -0.421875 -0.21875 -0.953125q0 -0.65625 0.296875 -1.15625q0.3125 -0.5 0.96875 -0.96875q-0.234375 -0.109375 -0.40625 -0.265625q-0.171875 -0.171875 -0.28125 -0.359375q-0.109375 -0.203125 -0.171875 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.578125 0.265625 -1.0625q0.28125 -0.5 0.671875 -0.9375q-0.171875 -0.21875 -0.3125 -0.40625q-0.125 -0.203125 -0.234375 -0.4375q-0.09375 -0.234375 -0.140625 -0.5q-0.046875 -0.28125 -0.046875 -0.625q0 -0.703125 0.25 -1.28125q0.265625 -0.59375 0.734375 -1.015625q0.46875 -0.421875 1.125 -0.65625q0.671875 -0.234375 1.46875 -0.234375q0.34375 0 0.640625 0.046875q0.3125 0.046875 0.546875 0.125l3.328125 0l0 1.28125l-1.46875 0zm-5.5 9.1875q0 0.640625 0.671875 0.9375q0.671875 0.296875 1.875 0.296875q0.75 0 1.265625 -0.140625q0.515625 -0.140625 0.828125 -0.359375q0.3125 -0.21875 0.453125 -0.515625q0.140625 -0.28125 0.140625 -0.578125q0 -0.5625 -0.453125 -0.828125q-0.453125 -0.265625 -1.390625 -0.296875l-2.390625 -0.09375q-0.296875 0.203125 -0.5 0.390625q-0.203125 0.203125 -0.3125 0.390625q-0.109375 0.203125 -0.15625 0.390625q-0.03125 0.203125 -0.03125 0.40625zm0.484375 -7.484375q0 0.4375 0.140625 0.8125q0.140625 0.359375 0.40625 0.609375q0.265625 0.25 0.625 0.390625q0.359375 0.140625 0.796875 0.140625q0.46875 0 0.84375 -0.15625q0.375 -0.15625 0.625 -0.421875q0.25 -0.28125 0.375 -0.640625q0.140625 -0.359375 0.140625 -0.734375q0 -0.4375 -0.15625 -0.796875q-0.140625 -0.375 -0.40625 -0.625q-0.265625 -0.25 -0.625 -0.390625q-0.359375 -0.140625 -0.796875 -0.140625q-0.46875 0 -0.84375 0.171875q-0.359375 0.15625 -0.625 0.421875q-0.25 0.265625 -0.375 0.625q-0.125 0.34375 -0.125 0.734375z" fill-rule="nonzero"/><path fill="#ffffff" d="m473.03937 264.0l126.96063 0l0 208.0l-126.96063 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m473.03937 264.0l126.96063 0l0 208.0l-126.96063 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m656.0 336.0l8.0 -8.0l24.0 0l8.0 8.0l-8.0 8.0l-24.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m656.0 336.0l8.0 -8.0l24.0 0l8.0 8.0l-8.0 8.0l-24.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m679.5591 296.0l80.0 0l0 32.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m696.98096 320.36l-1.359375 0l-0.203125 -6.375l-0.09375 -2.4375l-0.46875 1.421875l-1.515625 4.0625l-0.953125 0l-1.4375 -3.90625l-0.484375 -1.578125l-0.03125 2.546875l-0.171875 6.265625l-1.3125 0l0.5 -10.21875l1.640625 0l1.375 3.84375l0.453125 1.296875l0.421875 -1.296875l1.453125 -3.84375l1.6875 0l0.5 10.21875zm4.078125 -9.046875l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm13.46875 3.875q0 1.375 -0.328125 2.375q-0.328125 1.0 -0.875 1.65625q-0.546875 0.640625 -1.296875 0.96875q-0.734375 0.3125 -1.546875 0.3125q-0.984375 0 -1.71875 -0.359375q-0.734375 -0.359375 -1.21875 -1.03125q-0.46875 -0.671875 -0.703125 -1.625q-0.234375 -0.96875 -0.234375 -2.1875q0 -1.359375 0.3125 -2.359375q0.328125 -1.0 0.875 -1.640625q0.546875 -0.65625 1.28125 -0.96875q0.734375 -0.328125 1.5625 -0.328125q0.984375 0 1.703125 0.359375q0.734375 0.359375 1.21875 1.03125q0.484375 0.671875 0.71875 1.640625q0.25 0.953125 0.25 2.15625zm-1.453125 0.09375q0 -0.890625 -0.140625 -1.640625q-0.125 -0.75 -0.4375 -1.28125q-0.296875 -0.546875 -0.78125 -0.84375q-0.484375 -0.296875 -1.15625 -0.296875q-0.65625 0 -1.140625 0.328125q-0.46875 0.3125 -0.78125 0.859375q-0.296875 0.53125 -0.453125 1.265625q-0.140625 0.734375 -0.140625 1.546875q0 0.90625 0.140625 1.65625q0.140625 0.75 0.4375 1.28125q0.3125 0.53125 0.78125 0.828125q0.484375 0.296875 1.15625 0.296875q0.65625 0 1.125 -0.3125q0.484375 -0.3125 0.78125 -0.859375q0.3125 -0.546875 0.453125 -1.265625q0.15625 -0.734375 0.15625 -1.5625zm10.671875 8.28125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.109375 -8.3125q0 1.171875 -0.234375 2.140625q-0.234375 0.96875 -0.71875 1.65625q-0.46875 0.6875 -1.171875 1.078125q-0.703125 0.375 -1.65625 0.375q-0.828125 0 -1.5 -0.3125q-0.671875 -0.3125 -1.15625 -0.953125q-0.46875 -0.640625 -0.734375 -1.625q-0.25 -1.0 -0.25 -2.359375q0 -1.171875 0.234375 -2.140625q0.25 -0.96875 0.71875 -1.65625q0.46875 -0.6875 1.171875 -1.0625q0.71875 -0.390625 1.671875 -0.390625q0.8125 0 1.484375 0.3125q0.671875 0.3125 1.140625 0.953125q0.484375 0.640625 0.734375 1.640625q0.265625 0.984375 0.265625 2.34375zm-1.375 0.046875q0 -0.265625 -0.015625 -0.515625q-0.015625 -0.265625 -0.046875 -0.515625l-4.3125 3.203125q0.109375 0.40625 0.28125 0.75q0.1875 0.34375 0.4375 0.59375q0.265625 0.25 0.578125 0.390625q0.328125 0.125 0.75 0.125q0.53125 0 0.953125 -0.25q0.4375 -0.265625 0.734375 -0.765625q0.3125 -0.515625 0.46875 -1.265625q0.171875 -0.75 0.171875 -1.75zm-4.671875 -0.09375q0 0.25 0 0.5q0.015625 0.234375 0.03125 0.453125l4.328125 -3.1875q-0.125 -0.40625 -0.3125 -0.734375q-0.171875 -0.328125 -0.421875 -0.5625q-0.25 -0.234375 -0.578125 -0.359375q-0.3125 -0.140625 -0.703125 -0.140625q-0.53125 0 -0.96875 0.265625q-0.4375 0.25 -0.75 0.765625q-0.296875 0.5 -0.46875 1.265625q-0.15625 0.75 -0.15625 1.734375zm14.84375 0.046875q0 1.171875 -0.234375 2.140625q-0.234375 0.96875 -0.71875 1.65625q-0.46875 0.6875 -1.171875 1.078125q-0.703125 0.375 -1.65625 0.375q-0.828125 0 -1.5 -0.3125q-0.671875 -0.3125 -1.15625 -0.953125q-0.46875 -0.640625 -0.734375 -1.625q-0.25 -1.0 -0.25 -2.359375q0 -1.171875 0.234375 -2.140625q0.25 -0.96875 0.71875 -1.65625q0.46875 -0.6875 1.171875 -1.0625q0.71875 -0.390625 1.671875 -0.390625q0.8125 0 1.484375 0.3125q0.671875 0.3125 1.140625 0.953125q0.484375 0.640625 0.734375 1.640625q0.265625 0.984375 0.265625 2.34375zm-1.375 0.046875q0 -0.265625 -0.015625 -0.515625q-0.015625 -0.265625 -0.046875 -0.515625l-4.3125 3.203125q0.109375 0.40625 0.28125 0.75q0.1875 0.34375 0.4375 0.59375q0.265625 0.25 0.578125 0.390625q0.328125 0.125 0.75 0.125q0.53125 0 0.953125 -0.25q0.4375 -0.265625 0.734375 -0.765625q0.3125 -0.515625 0.46875 -1.265625q0.171875 -0.75 0.171875 -1.75zm-4.671875 -0.09375q0 0.25 0 0.5q0.015625 0.234375 0.03125 0.453125l4.328125 -3.1875q-0.125 -0.40625 -0.3125 -0.734375q-0.171875 -0.328125 -0.421875 -0.5625q-0.25 -0.234375 -0.578125 -0.359375q-0.3125 -0.140625 -0.703125 -0.140625q-0.53125 0 -0.96875 0.265625q-0.4375 0.25 -0.75 0.765625q-0.296875 0.5 -0.46875 1.265625q-0.15625 0.75 -0.15625 1.734375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m376.0 272.0l93.88977 0l0 192.0l-93.88977 0z" fill-rule="evenodd"/><path fill="#000000" d="m389.5625 291.24l0 -3.75q0 -0.25 -0.03125 -0.40625q-0.015625 -0.15625 -0.0625 -0.234375q-0.03125 -0.09375 -0.09375 -0.125q-0.046875 -0.046875 -0.140625 -0.046875q-0.109375 0 -0.203125 0.0625q-0.078125 0.0625 -0.1875 0.203125q-0.09375 0.140625 -0.21875 0.375q-0.125 0.234375 -0.28125 0.5625l0 3.359375l-0.828125 0l0 -3.65625q0 -0.28125 -0.015625 -0.453125q-0.015625 -0.1875 -0.0625 -0.28125q-0.03125 -0.09375 -0.09375 -0.125q-0.0625 -0.046875 -0.15625 -0.046875q-0.09375 0 -0.1875 0.0625q-0.078125 0.046875 -0.1875 0.1875q-0.09375 0.125 -0.21875 0.359375q-0.125 0.234375 -0.296875 0.59375l0 3.359375l-0.828125 0l0 -5.21875l0.6875 0l0.046875 0.984375q0.140625 -0.296875 0.265625 -0.5q0.125 -0.203125 0.25 -0.328125q0.140625 -0.140625 0.296875 -0.1875q0.15625 -0.0625 0.34375 -0.0625q0.421875 0 0.640625 0.28125q0.21875 0.265625 0.21875 0.84375q0.125 -0.265625 0.234375 -0.46875q0.125 -0.21875 0.265625 -0.359375q0.140625 -0.15625 0.296875 -0.21875q0.171875 -0.078125 0.390625 -0.078125q0.984375 0 0.984375 1.515625l0 3.796875l-0.828125 0zm3.9057312 -4.46875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm8.311981 4.765625q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm7.265106 4.75l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390106 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.905731 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546356 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" d="m389.5625 330.24l0 -3.75q0 -0.25 -0.03125 -0.40625q-0.015625 -0.15625 -0.0625 -0.234375q-0.03125 -0.09375 -0.09375 -0.125q-0.046875 -0.046875 -0.140625 -0.046875q-0.109375 0 -0.203125 0.0625q-0.078125 0.0625 -0.1875 0.203125q-0.09375 0.140625 -0.21875 0.375q-0.125 0.234375 -0.28125 0.5625l0 3.359375l-0.828125 0l0 -3.65625q0 -0.28125 -0.015625 -0.453125q-0.015625 -0.1875 -0.0625 -0.28125q-0.03125 -0.09375 -0.09375 -0.125q-0.0625 -0.046875 -0.15625 -0.046875q-0.09375 0 -0.1875 0.0625q-0.078125 0.046875 -0.1875 0.1875q-0.09375 0.125 -0.21875 0.359375q-0.125 0.234375 -0.296875 0.59375l0 3.359375l-0.828125 0l0 -5.21875l0.6875 0l0.046875 0.984375q0.140625 -0.296875 0.265625 -0.5q0.125 -0.203125 0.25 -0.328125q0.140625 -0.140625 0.296875 -0.1875q0.15625 -0.0625 0.34375 -0.0625q0.421875 0 0.640625 0.28125q0.21875 0.265625 0.21875 0.84375q0.125 -0.265625 0.234375 -0.46875q0.125 -0.21875 0.265625 -0.359375q0.140625 -0.15625 0.296875 -0.21875q0.171875 -0.078125 0.390625 -0.078125q0.984375 0 0.984375 1.515625l0 3.796875l-0.828125 0zm3.9057312 -4.46875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm8.311981 4.765625q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm7.265106 4.75l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.374481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.436981 2.609375l-0.8125 0l-0.03125 -0.84375q-0.234375 0.265625 -0.453125 0.453125q-0.203125 0.171875 -0.421875 0.28125q-0.203125 0.109375 -0.421875 0.15625q-0.203125 0.046875 -0.421875 0.046875q-0.8125 0 -1.234375 -0.46875q-0.40625 -0.484375 -0.40625 -1.4375l0 -3.40625l0.90625 0l0 3.328125q0 1.203125 0.90625 1.203125q0.171875 0 0.328125 -0.046875q0.15625 -0.046875 0.328125 -0.171875q0.171875 -0.125 0.375 -0.328125q0.203125 -0.203125 0.453125 -0.53125l0 -3.453125l0.90625 0l0 5.21875zm5.874481 -0.078125q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm5.171356 2.21875l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.905731 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546356 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" d="m389.5625 382.24l0 -3.75q0 -0.25 -0.03125 -0.40625q-0.015625 -0.15625 -0.0625 -0.234375q-0.03125 -0.09375 -0.09375 -0.125q-0.046875 -0.046875 -0.140625 -0.046875q-0.109375 0 -0.203125 0.0625q-0.078125 0.0625 -0.1875 0.203125q-0.09375 0.140625 -0.21875 0.375q-0.125 0.234375 -0.28125 0.5625l0 3.359375l-0.828125 0l0 -3.65625q0 -0.28125 -0.015625 -0.453125q-0.015625 -0.1875 -0.0625 -0.28125q-0.03125 -0.09375 -0.09375 -0.125q-0.0625 -0.046875 -0.15625 -0.046875q-0.09375 0 -0.1875 0.0625q-0.078125 0.046875 -0.1875 0.1875q-0.09375 0.125 -0.21875 0.359375q-0.125 0.234375 -0.296875 0.59375l0 3.359375l-0.828125 0l0 -5.21875l0.6875 0l0.046875 0.984375q0.140625 -0.296875 0.265625 -0.5q0.125 -0.203125 0.25 -0.328125q0.140625 -0.140625 0.296875 -0.1875q0.15625 -0.0625 0.34375 -0.0625q0.421875 0 0.640625 0.28125q0.21875 0.265625 0.21875 0.84375q0.125 -0.265625 0.234375 -0.46875q0.125 -0.21875 0.265625 -0.359375q0.140625 -0.15625 0.296875 -0.21875q0.171875 -0.078125 0.390625 -0.078125q0.984375 0 0.984375 1.515625l0 3.796875l-0.828125 0zm3.9057312 -4.46875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm8.311981 4.765625q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm7.265106 4.75l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.374481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.655731 -0.28125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm5.921356 5.15625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.905731 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546356 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" d="m389.5625 421.24l0 -3.75q0 -0.25 -0.03125 -0.40625q-0.015625 -0.15625 -0.0625 -0.234375q-0.03125 -0.09375 -0.09375 -0.125q-0.046875 -0.046875 -0.140625 -0.046875q-0.109375 0 -0.203125 0.0625q-0.078125 0.0625 -0.1875 0.203125q-0.09375 0.140625 -0.21875 0.375q-0.125 0.234375 -0.28125 0.5625l0 3.359375l-0.828125 0l0 -3.65625q0 -0.28125 -0.015625 -0.453125q-0.015625 -0.1875 -0.0625 -0.28125q-0.03125 -0.09375 -0.09375 -0.125q-0.0625 -0.046875 -0.15625 -0.046875q-0.09375 0 -0.1875 0.0625q-0.078125 0.046875 -0.1875 0.1875q-0.09375 0.125 -0.21875 0.359375q-0.125 0.234375 -0.296875 0.59375l0 3.359375l-0.828125 0l0 -5.21875l0.6875 0l0.046875 0.984375q0.140625 -0.296875 0.265625 -0.5q0.125 -0.203125 0.25 -0.328125q0.140625 -0.140625 0.296875 -0.1875q0.15625 -0.0625 0.34375 -0.0625q0.421875 0 0.640625 0.28125q0.21875 0.265625 0.21875 0.84375q0.125 -0.265625 0.234375 -0.46875q0.125 -0.21875 0.265625 -0.359375q0.140625 -0.15625 0.296875 -0.21875q0.171875 -0.078125 0.390625 -0.078125q0.984375 0 0.984375 1.515625l0 3.796875l-0.828125 0zm3.9057312 -4.46875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm8.311981 4.765625q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm7.265106 4.75l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm4.186981 -2.140625l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.811981 2.296875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm5.858856 0q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.171356 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.905731 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546356 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m473.03937 264.08923l126.96063 0l0 28.15747l-126.96063 0z" fill-rule="evenodd"/><path fill="#000000" d="m496.09 279.38675q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm8.53125 4.0l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm3.515625 -0.1875q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.3750305 0l0 11.046875l-1.21875 0l-0.046905518 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm23.859406 -4.0l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0zm1.859375 0l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm13.515625 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.609375 -0.484375q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.1875 -0.0625q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.109375 -0.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m384.0 432.0l104.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m384.0 432.0l104.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m120.0 264.0l200.0 0l0 160.0l-200.0 0z" fill-rule="evenodd"/><path stroke="#999999" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m120.0 264.0l200.0 0l0 160.0l-200.0 0z" fill-rule="evenodd"/><path fill="#999999" d="m198.50937 335.1856q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm7.1791687 -3.15625l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm6.5854187 3.84375l1.421875 0l0.0625 1.46875q0.390625 -0.46875 0.765625 -0.78125q0.375 -0.3125 0.734375 -0.5q0.359375 -0.203125 0.734375 -0.28125q0.375 -0.078125 0.78125 -0.078125q1.40625 0 2.125 0.84375q0.734375 0.828125 0.734375 2.5l0 5.96875l-1.59375 0l0 -5.84375q0 -1.078125 -0.40625 -1.578125q-0.390625 -0.515625 -1.1875 -0.515625q-0.28125 0 -0.5625 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.34375 0.359375 -0.78125 0.90625l0 6.0625l-1.59375 0l0 -9.140625zm16.788544 9.140625l0 -6.5625q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.28125 -0.109375 -0.4375q-0.0625 -0.15625 -0.171875 -0.21875q-0.109375 -0.0625 -0.25 -0.0625q-0.1875 0 -0.34375 0.109375q-0.15625 0.109375 -0.34375 0.359375q-0.171875 0.234375 -0.390625 0.640625q-0.203125 0.40625 -0.5 1.0l0 5.875l-1.4375 0l0 -6.390625q0 -0.5 -0.03125 -0.8125q-0.03125 -0.3125 -0.109375 -0.484375q-0.0625 -0.171875 -0.171875 -0.234375q-0.109375 -0.0625 -0.265625 -0.0625q-0.171875 0 -0.3125 0.09375q-0.140625 0.078125 -0.328125 0.328125q-0.171875 0.234375 -0.390625 0.640625q-0.21875 0.40625 -0.515625 1.046875l0 5.875l-1.46875 0l0 -9.140625l1.21875 0l0.078125 1.734375q0.234375 -0.515625 0.453125 -0.875q0.21875 -0.375 0.453125 -0.59375q0.25 -0.21875 0.515625 -0.328125q0.265625 -0.109375 0.59375 -0.109375q0.734375 0 1.109375 0.484375q0.390625 0.484375 0.390625 1.5q0.21875 -0.484375 0.421875 -0.84375q0.21875 -0.375 0.453125 -0.625q0.25 -0.25 0.53125 -0.375q0.296875 -0.140625 0.6875 -0.140625q1.71875 0 1.71875 2.65625l0 6.65625l-1.453125 0zm11.085419 0l-1.421875 0l-0.0625 -1.46875q-0.40625 0.46875 -0.78125 0.78125q-0.359375 0.3125 -0.734375 0.515625q-0.359375 0.1875 -0.734375 0.25q-0.359375 0.078125 -0.765625 0.078125q-1.421875 0 -2.140625 -0.828125q-0.71875 -0.828125 -0.71875 -2.5l0 -5.96875l1.59375 0l0 5.84375q0 2.109375 1.578125 2.109375q0.296875 0 0.5625 -0.078125q0.28125 -0.09375 0.578125 -0.3125q0.3125 -0.21875 0.65625 -0.578125q0.359375 -0.359375 0.796875 -0.921875l0 -6.0625l1.59375 0l0 9.140625zm11.147919 0l-2.109375 0l-2.46875 -3.484375l-2.4375 3.484375l-2.046875 0l3.546875 -4.59375l-3.390625 -4.546875l2.03125 0l2.40625 3.515625l2.359375 -3.515625l1.96875 0l-3.4375 4.578125l3.578125 4.5625z" fill-rule="nonzero"/><path fill="#999999" d="m147.86353 352.77936l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm4.9135437 1.3125l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm13.960419 12.859375q-0.53125 0.140625 -1.109375 0.1875q-0.578125 0.0625 -1.171875 0.0625q-1.71875 0 -2.5625 -0.78125q-0.84375 -0.78125 -0.84375 -2.390625l0 -4.765625l-2.5625 0l0 -1.328125l2.5625 0l0 -2.515625l1.578125 -0.40625l0 2.921875l4.109375 0l0 1.328125l-4.109375 0l0 4.640625q0 0.984375 0.515625 1.46875q0.53125 0.484375 1.546875 0.484375q0.4375 0 0.953125 -0.0625q0.53125 -0.0625 1.09375 -0.21875l0 1.375zm10.241669 0.125l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm19.686462 0l0 -6.5625q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.28125 -0.109375 -0.4375q-0.0625 -0.15625 -0.171875 -0.21875q-0.109375 -0.0625 -0.25 -0.0625q-0.1875 0 -0.34375 0.109375q-0.15625 0.109375 -0.34375 0.359375q-0.171875 0.234375 -0.390625 0.640625q-0.203125 0.40625 -0.5 1.0l0 5.875l-1.4375 0l0 -6.390625q0 -0.5 -0.03125 -0.8125q-0.03125 -0.3125 -0.109375 -0.484375q-0.0625 -0.171875 -0.171875 -0.234375q-0.109375 -0.0625 -0.265625 -0.0625q-0.171875 0 -0.3125 0.09375q-0.140625 0.078125 -0.328125 0.328125q-0.171875 0.234375 -0.390625 0.640625q-0.21875 0.40625 -0.515625 1.046875l0 5.875l-1.46875 0l0 -9.140625l1.21875 0l0.078125 1.734375q0.234375 -0.515625 0.453125 -0.875q0.21875 -0.375 0.453125 -0.59375q0.25 -0.21875 0.515625 -0.328125q0.265625 -0.109375 0.59375 -0.109375q0.734375 0 1.109375 0.484375q0.390625 0.484375 0.390625 1.5q0.21875 -0.484375 0.421875 -0.84375q0.21875 -0.375 0.453125 -0.625q0.25 -0.25 0.53125 -0.375q0.296875 -0.140625 0.6875 -0.140625q1.71875 0 1.71875 2.65625l0 6.65625l-1.453125 0zm11.085419 0l-1.421875 0l-0.0625 -1.46875q-0.40625 0.46875 -0.78125 0.78125q-0.359375 0.3125 -0.734375 0.515625q-0.359375 0.1875 -0.734375 0.25q-0.359375 0.078125 -0.765625 0.078125q-1.421875 0 -2.140625 -0.828125q-0.71875 -0.828125 -0.71875 -2.5l0 -5.96875l1.59375 0l0 5.84375q0 2.109375 1.578125 2.109375q0.296875 0 0.5625 -0.078125q0.28125 -0.09375 0.578125 -0.3125q0.3125 -0.21875 0.65625 -0.578125q0.359375 -0.359375 0.796875 -0.921875l0 -6.0625l1.59375 0l0 9.140625zm11.147919 0l-2.109375 0l-2.46875 -3.484375l-2.4375 3.484375l-2.046875 0l3.546875 -4.59375l-3.390625 -4.546875l2.03125 0l2.40625 3.515625l2.359375 -3.515625l1.96875 0l-3.4375 4.578125l3.578125 4.5625zm9.741669 -5.0625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm3.7260437 0.90625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm22.217712 -6.0625l-2.75 0l0 -1.359375l7.125 0l0 1.359375l-2.734375 0l0 9.15625l2.734375 0l0 1.390625l-7.125 0l0 -1.390625l2.75 0l0 -9.15625zm15.694794 4.515625q0 1.609375 -0.375 2.78125q-0.375 1.15625 -1.015625 1.921875q-0.640625 0.75 -1.5 1.125q-0.859375 0.359375 -1.828125 0.359375q-1.140625 0 -2.0 -0.40625q-0.84375 -0.421875 -1.40625 -1.203125q-0.5625 -0.78125 -0.84375 -1.90625q-0.265625 -1.125 -0.265625 -2.53125q0 -1.59375 0.375 -2.75q0.375 -1.171875 1.015625 -1.921875q0.640625 -0.765625 1.5 -1.125q0.859375 -0.375 1.8125 -0.375q1.15625 0 2.0 0.421875q0.859375 0.40625 1.421875 1.1875q0.5625 0.78125 0.828125 1.90625q0.28125 1.109375 0.28125 2.515625zm-1.671875 0.125q0 -1.046875 -0.171875 -1.921875q-0.15625 -0.875 -0.515625 -1.5q-0.34375 -0.625 -0.90625 -0.96875q-0.5625 -0.359375 -1.359375 -0.359375q-0.765625 0 -1.3125 0.375q-0.546875 0.375 -0.90625 1.015625q-0.359375 0.625 -0.53125 1.484375q-0.171875 0.84375 -0.171875 1.796875q0 1.0625 0.15625 1.9375q0.171875 0.859375 0.515625 1.484375q0.359375 0.625 0.90625 0.96875q0.5625 0.34375 1.34375 0.34375q0.765625 0 1.3125 -0.359375q0.5625 -0.375 0.921875 -1.0q0.359375 -0.640625 0.53125 -1.484375q0.1875 -0.859375 0.1875 -1.8125zm9.116669 9.734375q-4.203125 -3.890625 -4.203125 -8.59375q0 -1.109375 0.21875 -2.203125q0.21875 -1.109375 0.71875 -2.203125q0.5 -1.109375 1.3125 -2.203125q0.8125 -1.109375 1.984375 -2.1875l0.921875 0.9375q-3.53125 3.484375 -3.53125 7.734375q0 2.109375 0.890625 4.0625q0.890625 1.953125 2.640625 3.671875l-0.953125 0.984375zm12.022919 -6.328125q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm4.8822937 -11.0625q4.203125 3.890625 4.203125 8.65625q0 0.984375 -0.203125 2.046875q-0.203125 1.046875 -0.6875 2.15625q-0.484375 1.109375 -1.296875 2.234375q-0.8125 1.140625 -2.0625 2.296875l-0.90625 -0.9375q1.765625 -1.765625 2.640625 -3.671875q0.875 -1.921875 0.875 -4.015625q0 -4.328125 -3.515625 -7.796875l0.953125 -0.96875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m120.0 488.0l200.0 0l0 160.0l-200.0 0z" fill-rule="evenodd"/><path stroke="#999999" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m120.0 488.0l200.0 0l0 160.0l-200.0 0z" fill-rule="evenodd"/><path fill="#999999" d="m152.35155 559.1856q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.788544 -0.390625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm13.007294 1.3125l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm14.413544 8.25q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.413544 4.671875l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm10.632294 -5.0625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm15.757294 9.140625l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm7.6791687 -7.40625l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm25.858322 2.421875l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm4.913574 1.3125l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm13.960388 12.859375q-0.53125 0.140625 -1.109375 0.1875q-0.578125 0.0625 -1.171875 0.0625q-1.71875 0 -2.5625 -0.78125q-0.84375 -0.78125 -0.84375 -2.390625l0 -4.765625l-2.5625 0l0 -1.328125l2.5625 0l0 -2.515625l1.578125 -0.40625l0 2.921875l4.109375 0l0 1.328125l-4.109375 0l0 4.640625q0 0.984375 0.515625 1.46875q0.53125 0.484375 1.546875 0.484375q0.4375 0 0.953125 -0.0625q0.53125 -0.0625 1.09375 -0.21875l0 1.375zm10.241699 0.125l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875z" fill-rule="nonzero"/><path fill="#999999" d="m144.0703 581.545q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm16.835419 -0.578125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm3.7260437 0.90625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm12.226044 -3.34375l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm13.694794 12.640625q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm9.022919 0.34375l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm11.929169 4.03125q-0.53125 0.140625 -1.109375 0.1875q-0.578125 0.0625 -1.171875 0.0625q-1.71875 0 -2.5625 -0.78125q-0.84375 -0.78125 -0.84375 -2.390625l0 -4.765625l-2.5625 0l0 -1.328125l2.5625 0l0 -2.515625l1.578125 -0.40625l0 2.921875l4.109375 0l0 1.328125l-4.109375 0l0 4.640625q0 0.984375 0.515625 1.46875q0.53125 0.484375 1.546875 0.484375q0.4375 0 0.953125 -0.0625q0.53125 -0.0625 1.09375 -0.21875l0 1.375zm10.616669 -4.9375q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm3.7260437 0.90625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm22.217712 -6.0625l-2.75 0l0 -1.359375l7.125 0l0 1.359375l-2.734375 0l0 9.15625l2.734375 0l0 1.390625l-7.125 0l0 -1.390625l2.75 0l0 -9.15625zm15.694778 4.515625q0 1.609375 -0.375 2.78125q-0.375 1.15625 -1.015625 1.921875q-0.640625 0.75 -1.5 1.125q-0.859375 0.359375 -1.828125 0.359375q-1.140625 0 -2.0 -0.40625q-0.84375 -0.421875 -1.40625 -1.203125q-0.5625 -0.78125 -0.84375 -1.90625q-0.265625 -1.125 -0.265625 -2.53125q0 -1.59375 0.375 -2.75q0.375 -1.171875 1.015625 -1.921875q0.640625 -0.765625 1.5 -1.125q0.859375 -0.375 1.8125 -0.375q1.15625 0 2.0 0.421875q0.859375 0.40625 1.421875 1.1875q0.5625 0.78125 0.828125 1.90625q0.28125 1.109375 0.28125 2.515625zm-1.671875 0.125q0 -1.046875 -0.171875 -1.921875q-0.15625 -0.875 -0.515625 -1.5q-0.34375 -0.625 -0.90625 -0.96875q-0.5625 -0.359375 -1.359375 -0.359375q-0.765625 0 -1.3125 0.375q-0.546875 0.375 -0.90625 1.015625q-0.359375 0.625 -0.53125 1.484375q-0.171875 0.84375 -0.171875 1.796875q0 1.0625 0.15625 1.9375q0.171875 0.859375 0.515625 1.484375q0.359375 0.625 0.90625 0.96875q0.5625 0.34375 1.34375 0.34375q0.765625 0 1.3125 -0.359375q0.5625 -0.375 0.921875 -1.0q0.359375 -0.640625 0.53125 -1.484375q0.1875 -0.859375 0.1875 -1.8125zm9.116699 9.734375q-4.203125 -3.890625 -4.203125 -8.59375q0 -1.109375 0.21875 -2.203125q0.21875 -1.109375 0.71875 -2.203125q0.5 -1.109375 1.3125 -2.203125q0.8125 -1.109375 1.984375 -2.1875l0.921875 0.9375q-3.53125 3.484375 -3.53125 7.734375q0 2.109375 0.890625 4.0625q0.890625 1.953125 2.640625 3.671875l-0.953125 0.984375zm12.022888 -6.328125q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm4.882324 -11.0625q4.203125 3.890625 4.203125 8.65625q0 0.984375 -0.203125 2.046875q-0.203125 1.046875 -0.6875 2.15625q-0.484375 1.109375 -1.296875 2.234375q-0.8125 1.140625 -2.0625 2.296875l-0.90625 -0.9375q1.765625 -1.765625 2.640625 -3.671875q0.875 -1.921875 0.875 -4.015625q0 -4.328125 -3.515625 -7.796875l0.953125 -0.96875z" fill-rule="nonzero"/><path fill="#ffffff" d="m473.48032 488.0l126.9606 0l0 208.0l-126.9606 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m473.48032 488.0l126.9606 0l0 208.0l-126.9606 0z" fill-rule="evenodd"/><path fill="#d9d9d9" d="m488.0 632.0l96.0 0l0 48.0l-96.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 632.0l96.0 0l0 48.0l-96.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m656.0 560.0l8.0 -8.0l24.0 0l8.0 8.0l-8.0 8.0l-24.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m656.0 560.0l8.0 -8.0l24.0 0l8.0 8.0l-8.0 8.0l-24.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m680.0 520.0l80.0 0l0 32.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m697.2344 539.14124q0 0.734375 -0.125 1.40625q-0.109375 0.671875 -0.359375 1.25q-0.25 0.578125 -0.65625 1.046875q-0.390625 0.46875 -0.96875 0.8125q-0.578125 0.328125 -1.34375 0.515625q-0.765625 0.1875 -1.734375 0.1875l-2.1875 0l0 -10.21875l2.625 0q2.390625 0 3.5625 1.234375q1.1875 1.234375 1.1875 3.765625zm-1.46875 0.09375q0 -1.078125 -0.203125 -1.828125q-0.203125 -0.75 -0.625 -1.203125q-0.40625 -0.46875 -1.03125 -0.671875q-0.625 -0.21875 -1.46875 -0.21875l-1.1875 0l0 7.84375l1.03125 0q3.484375 0 3.484375 -3.921875zm5.734375 -3.921875l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm13.46875 3.875q0 1.375 -0.328125 2.375q-0.328125 1.0 -0.875 1.65625q-0.546875 0.640625 -1.296875 0.96875q-0.734375 0.3125 -1.546875 0.3125q-0.984375 0 -1.71875 -0.359375q-0.734375 -0.359375 -1.21875 -1.03125q-0.46875 -0.671875 -0.703125 -1.625q-0.234375 -0.96875 -0.234375 -2.1875q0 -1.359375 0.3125 -2.359375q0.328125 -1.0 0.875 -1.640625q0.546875 -0.65625 1.28125 -0.96875q0.734375 -0.328125 1.5625 -0.328125q0.984375 0 1.703125 0.359375q0.734375 0.359375 1.21875 1.03125q0.484375 0.671875 0.71875 1.640625q0.25 0.953125 0.25 2.15625zm-1.453125 0.09375q0 -0.890625 -0.140625 -1.640625q-0.125 -0.75 -0.4375 -1.28125q-0.296875 -0.546875 -0.78125 -0.84375q-0.484375 -0.296875 -1.15625 -0.296875q-0.65625 0 -1.140625 0.328125q-0.46875 0.3125 -0.78125 0.859375q-0.296875 0.53125 -0.453125 1.265625q-0.140625 0.734375 -0.140625 1.546875q0 0.90625 0.140625 1.65625q0.140625 0.75 0.4375 1.28125q0.3125 0.53125 0.78125 0.828125q0.484375 0.296875 1.15625 0.296875q0.65625 0 1.125 -0.3125q0.484375 -0.3125 0.78125 -0.859375q0.3125 -0.546875 0.453125 -1.265625q0.15625 -0.734375 0.15625 -1.5625zm10.671875 8.28125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.109375 -8.3125q0 1.171875 -0.234375 2.140625q-0.234375 0.96875 -0.71875 1.65625q-0.46875 0.6875 -1.171875 1.078125q-0.703125 0.375 -1.65625 0.375q-0.828125 0 -1.5 -0.3125q-0.671875 -0.3125 -1.15625 -0.953125q-0.46875 -0.640625 -0.734375 -1.625q-0.25 -1.0 -0.25 -2.359375q0 -1.171875 0.234375 -2.140625q0.25 -0.96875 0.71875 -1.65625q0.46875 -0.6875 1.171875 -1.0625q0.71875 -0.390625 1.671875 -0.390625q0.8125 0 1.484375 0.3125q0.671875 0.3125 1.140625 0.953125q0.484375 0.640625 0.734375 1.640625q0.265625 0.984375 0.265625 2.34375zm-1.375 0.046875q0 -0.265625 -0.015625 -0.515625q-0.015625 -0.265625 -0.046875 -0.515625l-4.3125 3.203125q0.109375 0.40625 0.28125 0.75q0.1875 0.34375 0.4375 0.59375q0.265625 0.25 0.578125 0.390625q0.328125 0.125 0.75 0.125q0.53125 0 0.953125 -0.25q0.4375 -0.265625 0.734375 -0.765625q0.3125 -0.515625 0.46875 -1.265625q0.171875 -0.75 0.171875 -1.75zm-4.671875 -0.09375q0 0.25 0 0.5q0.015625 0.234375 0.03125 0.453125l4.328125 -3.1875q-0.125 -0.40625 -0.3125 -0.734375q-0.171875 -0.328125 -0.421875 -0.5625q-0.25 -0.234375 -0.578125 -0.359375q-0.3125 -0.140625 -0.703125 -0.140625q-0.53125 0 -0.96875 0.265625q-0.4375 0.25 -0.75 0.765625q-0.296875 0.5 -0.46875 1.265625q-0.15625 0.75 -0.15625 1.734375zm14.84375 0.046875q0 1.171875 -0.234375 2.140625q-0.234375 0.96875 -0.71875 1.65625q-0.46875 0.6875 -1.171875 1.078125q-0.703125 0.375 -1.65625 0.375q-0.828125 0 -1.5 -0.3125q-0.671875 -0.3125 -1.15625 -0.953125q-0.46875 -0.640625 -0.734375 -1.625q-0.25 -1.0 -0.25 -2.359375q0 -1.171875 0.234375 -2.140625q0.25 -0.96875 0.71875 -1.65625q0.46875 -0.6875 1.171875 -1.0625q0.71875 -0.390625 1.671875 -0.390625q0.8125 0 1.484375 0.3125q0.671875 0.3125 1.140625 0.953125q0.484375 0.640625 0.734375 1.640625q0.265625 0.984375 0.265625 2.34375zm-1.375 0.046875q0 -0.265625 -0.015625 -0.515625q-0.015625 -0.265625 -0.046875 -0.515625l-4.3125 3.203125q0.109375 0.40625 0.28125 0.75q0.1875 0.34375 0.4375 0.59375q0.265625 0.25 0.578125 0.390625q0.328125 0.125 0.75 0.125q0.53125 0 0.953125 -0.25q0.4375 -0.265625 0.734375 -0.765625q0.3125 -0.515625 0.46875 -1.265625q0.171875 -0.75 0.171875 -1.75zm-4.671875 -0.09375q0 0.25 0 0.5q0.015625 0.234375 0.03125 0.453125l4.328125 -3.1875q-0.125 -0.40625 -0.3125 -0.734375q-0.171875 -0.328125 -0.421875 -0.5625q-0.25 -0.234375 -0.578125 -0.359375q-0.3125 -0.140625 -0.703125 -0.140625q-0.53125 0 -0.96875 0.265625q-0.4375 0.25 -0.75 0.765625q-0.296875 0.5 -0.46875 1.265625q-0.15625 0.75 -0.15625 1.734375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m543.9992 560.0008l0 55.999207l-223.9992 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m543.9992 560.0008l0 55.999207l-223.9992 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m320.0 560.0l336.8189 0.12597656" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m320.0 560.0l336.8189 0.12597656" fill-rule="evenodd"/><path fill="#ffffff" d="m536.0 544.0l24.0 16.0l-24.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m536.0 544.0l24.0 16.0l-24.0 16.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m583.9973 560.0l0 -40.000793l-263.772 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m583.9973 560.0l0 -40.000793l-263.772 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m473.03937 488.08923l126.96063 0l0 28.15747l-126.96063 0z" fill-rule="evenodd"/><path fill="#000000" d="m496.09 503.38675q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm8.53125 4.0l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm3.515625 -0.1875q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.3750305 0l0 11.046875l-1.21875 0l-0.046905518 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm23.859406 -4.0l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0zm1.859375 0l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm13.515625 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.609375 -0.484375q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.1875 -0.0625q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.109375 -0.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m488.0 632.0l96.0 0l0 48.0l-96.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m513.82263 646.74l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.8120117 2.296875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm5.8588257 0q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588867 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm15.561462 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.0150757 0.109375q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588867 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.4213257 -1.390625l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375z" fill-rule="nonzero"/><path fill="#000000" d="m497.33984 655.02124q0 0.421875 -0.15625 0.828125q-0.15625 0.40625 -0.484375 0.734375q-0.328125 0.3125 -0.84375 0.515625q-0.5 0.203125 -1.203125 0.203125l-0.84375 0l0 2.4375l-0.921875 0l0 -6.796875l1.90625 0q0.5 0 0.96875 0.109375q0.46875 0.109375 0.8125 0.359375q0.359375 0.25 0.5625 0.65625q0.203125 0.390625 0.203125 0.953125zm-0.953125 0.046875q0 -0.65625 -0.4375 -1.0q-0.421875 -0.359375 -1.203125 -0.359375l-0.9375 0l0 2.796875l0.859375 0q0.828125 0 1.265625 -0.359375q0.453125 -0.359375 0.453125 -1.078125zm6.8275757 2.296875q0 0.5625 -0.15625 1.03125q-0.15625 0.453125 -0.46875 0.78125q-0.3125 0.3125 -0.765625 0.484375q-0.4375 0.171875 -1.015625 0.171875q-0.625 0 -1.078125 -0.15625q-0.4375 -0.171875 -0.71875 -0.46875q-0.265625 -0.3125 -0.40625 -0.734375q-0.125 -0.4375 -0.125 -0.96875l0 -4.5625l0.9375 0l0 4.5q0 0.390625 0.0625 0.703125q0.078125 0.296875 0.25 0.5q0.171875 0.203125 0.4375 0.3125q0.28125 0.09375 0.671875 0.09375q0.75 0 1.09375 -0.421875q0.359375 -0.421875 0.359375 -1.203125l0 -4.484375l0.921875 0l0 4.421875zm5.5932617 -4.984375l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm6.1088257 2.640625q0 0.421875 -0.15625 0.828125q-0.15625 0.40625 -0.484375 0.734375q-0.328125 0.3125 -0.84375 0.515625q-0.5 0.203125 -1.203125 0.203125l-0.84375 0l0 2.4375l-0.921875 0l0 -6.796875l1.90625 0q0.5 0 0.96875 0.109375q0.46875 0.109375 0.8125 0.359375q0.359375 0.25 0.5625 0.65625q0.203125 0.390625 0.203125 0.953125zm-0.953125 0.046875q0 -0.65625 -0.4375 -1.0q-0.421875 -0.359375 -1.203125 -0.359375l-0.9375 0l0 2.796875l0.859375 0q0.828125 0 1.265625 -0.359375q0.453125 -0.359375 0.453125 -1.078125zm7.0151367 1.203125q0 0.484375 -0.078125 0.9375q-0.078125 0.4375 -0.25 0.828125q-0.15625 0.375 -0.421875 0.703125q-0.265625 0.3125 -0.65625 0.53125q-0.390625 0.21875 -0.90625 0.34375q-0.5 0.125 -1.140625 0.125l-1.46875 0l0 -6.796875l1.765625 0q1.578125 0 2.359375 0.828125q0.796875 0.8125 0.796875 2.5zm-0.984375 0.0625q0 -0.71875 -0.140625 -1.21875q-0.125 -0.5 -0.40625 -0.8125q-0.265625 -0.3125 -0.6875 -0.4375q-0.421875 -0.140625 -0.96875 -0.140625l-0.796875 0l0 5.21875l0.6875 0q2.3125 0 2.3125 -2.609375zm6.3900757 -3.953125l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm6.4057617 3.921875q0 0.90625 -0.21875 1.578125q-0.21875 0.65625 -0.59375 1.09375q-0.359375 0.4375 -0.859375 0.65625q-0.484375 0.203125 -1.03125 0.203125q-0.65625 0 -1.140625 -0.234375q-0.484375 -0.234375 -0.8125 -0.6875q-0.3125 -0.453125 -0.46875 -1.09375q-0.15625 -0.640625 -0.15625 -1.4375q0 -0.90625 0.203125 -1.578125q0.21875 -0.671875 0.578125 -1.09375q0.375 -0.4375 0.859375 -0.640625q0.5 -0.21875 1.046875 -0.21875q0.65625 0 1.140625 0.234375q0.484375 0.234375 0.796875 0.6875q0.328125 0.4375 0.484375 1.078125q0.171875 0.640625 0.171875 1.453125zm-0.96875 0.0625q0 -0.59375 -0.09375 -1.09375q-0.09375 -0.5 -0.296875 -0.859375q-0.203125 -0.359375 -0.53125 -0.546875q-0.3125 -0.203125 -0.765625 -0.203125q-0.421875 0 -0.75 0.21875q-0.3125 0.203125 -0.515625 0.5625q-0.203125 0.359375 -0.296875 0.84375q-0.09375 0.484375 -0.09375 1.03125q0 0.609375 0.09375 1.109375q0.09375 0.484375 0.28125 0.84375q0.203125 0.359375 0.515625 0.5625q0.328125 0.1875 0.765625 0.1875q0.4375 0 0.75 -0.203125q0.328125 -0.21875 0.53125 -0.578125q0.203125 -0.359375 0.296875 -0.84375q0.109375 -0.484375 0.109375 -1.03125zm6.7338257 -0.09375q0 0.484375 -0.078125 0.9375q-0.078125 0.4375 -0.25 0.828125q-0.15625 0.375 -0.421875 0.703125q-0.265625 0.3125 -0.65625 0.53125q-0.390625 0.21875 -0.90625 0.34375q-0.5 0.125 -1.140625 0.125l-1.46875 0l0 -6.796875l1.765625 0q1.578125 0 2.359375 0.828125q0.796875 0.8125 0.796875 2.5zm-0.984375 0.0625q0 -0.71875 -0.140625 -1.21875q-0.125 -0.5 -0.40625 -0.8125q-0.265625 -0.3125 -0.6875 -0.4375q-0.421875 -0.140625 -0.96875 -0.140625l-0.796875 0l0 5.21875l0.6875 0q2.3125 0 2.3125 -2.609375zm2.5932617 4.46875q0.265625 0.015625 0.515625 -0.046875q0.25 -0.046875 0.4375 -0.171875q0.203125 -0.109375 0.3125 -0.28125q0.109375 -0.15625 0.109375 -0.375q0 -0.21875 -0.078125 -0.34375q-0.0625 -0.140625 -0.15625 -0.25q-0.09375 -0.125 -0.171875 -0.25q-0.078125 -0.125 -0.078125 -0.34375q0 -0.109375 0.03125 -0.234375q0.046875 -0.125 0.125 -0.21875q0.09375 -0.09375 0.21875 -0.15625q0.140625 -0.0625 0.328125 -0.0625q0.171875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.21875q0.125 0.15625 0.203125 0.390625q0.078125 0.234375 0.078125 0.5625q0 0.421875 -0.15625 0.8125q-0.15625 0.40625 -0.484375 0.71875q-0.3125 0.3125 -0.78125 0.484375q-0.46875 0.1875 -1.09375 0.1875l0 -0.71875zm15.936462 -1.0625l-1.171875 0l-2.546875 -3.328125l0 3.328125l-0.921875 0l0 -6.796875l0.921875 0l0 3.15625l2.5 -3.15625l1.09375 0l-2.6875 3.234375l2.8125 3.5625zm5.3119507 0l-3.859375 0l0 -6.796875l3.859375 0l0 0.78125l-2.9375 0l0 2.109375l2.828125 0l0 0.78125l-2.828125 0l0 2.328125l2.9375 0l0 0.796875zm5.8588867 0l-3.859375 0l0 -6.796875l3.859375 0l0 0.78125l-2.9375 0l0 2.109375l2.828125 0l0 0.78125l-2.828125 0l0 2.328125l2.9375 0l0 0.796875zm6.2338257 -4.71875q0 0.421875 -0.15625 0.828125q-0.15625 0.40625 -0.484375 0.734375q-0.328125 0.3125 -0.84375 0.515625q-0.5 0.203125 -1.203125 0.203125l-0.84375 0l0 2.4375l-0.921875 0l0 -6.796875l1.90625 0q0.5 0 0.96875 0.109375q0.46875 0.109375 0.8125 0.359375q0.359375 0.25 0.5625 0.65625q0.203125 0.390625 0.203125 0.953125zm-0.953125 0.046875q0 -0.65625 -0.4375 -1.0q-0.421875 -0.359375 -1.203125 -0.359375l-0.9375 0l0 2.796875l0.859375 0q0.828125 0 1.265625 -0.359375q0.453125 -0.359375 0.453125 -1.078125zm2.7651367 5.734375q0.265625 0.015625 0.515625 -0.046875q0.25 -0.046875 0.4375 -0.171875q0.203125 -0.109375 0.3125 -0.28125q0.109375 -0.15625 0.109375 -0.375q0 -0.21875 -0.078125 -0.34375q-0.0625 -0.140625 -0.15625 -0.25q-0.09375 -0.125 -0.171875 -0.25q-0.078125 -0.125 -0.078125 -0.34375q0 -0.109375 0.03125 -0.234375q0.046875 -0.125 0.125 -0.21875q0.09375 -0.09375 0.21875 -0.15625q0.140625 -0.0625 0.328125 -0.0625q0.171875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.21875q0.125 0.15625 0.203125 0.390625q0.078125 0.234375 0.078125 0.5625q0 0.421875 -0.15625 0.8125q-0.15625 0.40625 -0.484375 0.71875q-0.3125 0.3125 -0.78125 0.484375q-0.46875 0.1875 -1.09375 0.1875l0 -0.71875z" fill-rule="nonzero"/><path fill="#000000" d="m500.38617 666.72437l-1.578125 0l0 -0.78125l4.078125 0l0 0.78125l-1.578125 0l0 5.21875l1.578125 0l0 0.796875l-4.078125 0l0 -0.796875l1.578125 0l0 -5.21875zm8.640137 6.015625l-1.21875 0l-1.96875 -4.234375l-0.578125 -1.375l0 3.4375l0 2.171875l-0.859375 0l0 -6.796875l1.1875 0l1.890625 4.015625l0.671875 1.546875l0 -3.640625l0 -1.921875l0.875 0l0 6.796875zm6.4525757 -6.796875l-2.3125 6.796875l-1.25 0l-2.265625 -6.796875l1.046875 0l1.46875 4.578125l0.421875 1.34375l0.421875 -1.34375l1.46875 -4.578125l1.0 0zm4.9213867 6.796875l-3.859375 0l0 -6.796875l3.859375 0l0 0.78125l-2.9375 0l0 2.109375l2.828125 0l0 0.78125l-2.828125 0l0 2.328125l2.9375 0l0 0.796875zm6.4213257 0l-1.046875 0l-1.015625 -2.171875q-0.109375 -0.25 -0.234375 -0.40625q-0.125 -0.171875 -0.265625 -0.265625q-0.125 -0.09375 -0.296875 -0.140625q-0.15625 -0.046875 -0.359375 -0.046875l-0.4375 0l0 3.03125l-0.921875 0l0 -6.796875l1.8125 0q0.59375 0 1.015625 0.125q0.4375 0.125 0.703125 0.359375q0.28125 0.234375 0.40625 0.578125q0.125 0.328125 0.125 0.71875q0 0.328125 -0.09375 0.609375q-0.09375 0.28125 -0.28125 0.515625q-0.1875 0.234375 -0.46875 0.40625q-0.265625 0.15625 -0.609375 0.25q0.28125 0.09375 0.484375 0.34375q0.203125 0.234375 0.40625 0.65625l1.078125 2.234375zm-1.484375 -4.9375q0 -0.546875 -0.34375 -0.8125q-0.34375 -0.265625 -0.953125 -0.265625l-0.875 0l0 2.25l0.75 0q0.328125 0 0.578125 -0.078125q0.265625 -0.078125 0.453125 -0.21875q0.1875 -0.15625 0.28125 -0.375q0.109375 -0.21875 0.109375 -0.5zm7.2807617 -1.078125l-2.015625 0l0 6.015625l-0.921875 0l0 -6.015625l-2.015625 0l0 -0.78125l4.953125 0l0 0.78125zm1.6869507 7.078125q0.265625 0.015625 0.515625 -0.046875q0.25 -0.046875 0.4375 -0.171875q0.203125 -0.109375 0.3125 -0.28125q0.109375 -0.15625 0.109375 -0.375q0 -0.21875 -0.078125 -0.34375q-0.0625 -0.140625 -0.15625 -0.25q-0.09375 -0.125 -0.171875 -0.25q-0.078125 -0.125 -0.078125 -0.34375q0 -0.109375 0.03125 -0.234375q0.046875 -0.125 0.125 -0.21875q0.09375 -0.09375 0.21875 -0.15625q0.140625 -0.0625 0.328125 -0.0625q0.171875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.21875q0.125 0.15625 0.203125 0.390625q0.078125 0.234375 0.078125 0.5625q0 0.421875 -0.15625 0.8125q-0.15625 0.40625 -0.484375 0.71875q-0.3125 0.3125 -0.78125 0.484375q-0.46875 0.1875 -1.09375 0.1875l0 -0.71875zm15.967712 -4.53125q0 0.484375 -0.078125 0.9375q-0.078125 0.4375 -0.25 0.828125q-0.15625 0.375 -0.421875 0.703125q-0.265625 0.3125 -0.65625 0.53125q-0.390625 0.21875 -0.90625 0.34375q-0.5 0.125 -1.140625 0.125l-1.46875 0l0 -6.796875l1.765625 0q1.578125 0 2.359375 0.828125q0.796875 0.8125 0.796875 2.5zm-0.984375 0.0625q0 -0.71875 -0.140625 -1.21875q-0.125 -0.5 -0.40625 -0.8125q-0.265625 -0.3125 -0.6875 -0.4375q-0.421875 -0.140625 -0.96875 -0.140625l-0.796875 0l0 5.21875l0.6875 0q2.3125 0 2.3125 -2.609375zm6.8276367 3.40625l-1.046875 0l-1.015625 -2.171875q-0.109375 -0.25 -0.234375 -0.40625q-0.125 -0.171875 -0.265625 -0.265625q-0.125 -0.09375 -0.296875 -0.140625q-0.15625 -0.046875 -0.359375 -0.046875l-0.4375 0l0 3.03125l-0.921875 0l0 -6.796875l1.8125 0q0.59375 0 1.015625 0.125q0.4375 0.125 0.703125 0.359375q0.28125 0.234375 0.40625 0.578125q0.125 0.328125 0.125 0.71875q0 0.328125 -0.09375 0.609375q-0.09375 0.28125 -0.28125 0.515625q-0.1875 0.234375 -0.46875 0.40625q-0.265625 0.15625 -0.609375 0.25q0.28125 0.09375 0.484375 0.34375q0.203125 0.234375 0.40625 0.65625l1.078125 2.234375zm-1.484375 -4.9375q0 -0.546875 -0.34375 -0.8125q-0.34375 -0.265625 -0.953125 -0.265625l-0.875 0l0 2.25l0.75 0q0.328125 0 0.578125 -0.078125q0.265625 -0.078125 0.453125 -0.21875q0.1875 -0.15625 0.28125 -0.375q0.109375 -0.21875 0.109375 -0.5zm4.3432007 -1.078125l-1.578125 0l0 -0.78125l4.078125 0l0 0.78125l-1.578125 0l0 5.21875l1.578125 0l0 0.796875l-4.078125 0l0 -0.796875l1.578125 0l0 -5.21875zm9.233887 -0.78125l-2.3125 6.796875l-1.25 0l-2.265625 -6.796875l1.046875 0l1.46875 4.578125l0.421875 1.34375l0.421875 -1.34375l1.46875 -4.578125l1.0 0zm4.9213257 6.796875l-3.859375 0l0 -6.796875l3.859375 0l0 0.78125l-2.9375 0l0 2.109375l2.828125 0l0 0.78125l-2.828125 0l0 2.328125l2.9375 0l0 0.796875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m384.0 656.0l104.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m384.0 656.0l104.0 0" fill-rule="evenodd"/><path fill="#ffffff" d="m473.03937 48.97638l126.96063 0l0 79.02362l-126.96063 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m473.03937 48.97638l126.96063 0l0 79.02362l-126.96063 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m544.0 112.0l-24.0 -16.0l24.0 -16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m544.0 112.0l-24.0 -16.0l24.0 -16.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m472.0 48.0l126.96063 0l0 28.157478l-126.96063 0z" fill-rule="evenodd"/><path fill="#000000" d="m495.05063 63.2975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm8.53125 4.0l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm3.515625 -0.1875q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.3750305 0l0 11.046875l-1.2187805 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm23.859406 -4.0l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0zm1.859375 0l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm13.515625 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.609375 -0.484375q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.1875 -0.0625q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.109375 -0.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#ffffff" d="m473.03937 152.0l126.96063 0l0 79.02362l-126.96063 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m473.03937 152.0l126.96063 0l0 79.02362l-126.96063 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m544.0 215.02362l-24.0 -16.0l24.0 -16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m544.0 215.02362l-24.0 -16.0l24.0 -16.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m473.03937 152.31496l126.96063 0l0 28.157486l-126.96063 0z" fill-rule="evenodd"/><path fill="#000000" d="m496.09 167.61246q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm8.53125 4.0l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm3.515625 -0.1875q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.3750305 0l0 11.046875l-1.21875 0l-0.046905518 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm23.859406 -4.0l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0zm1.859375 0l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm13.515625 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.609375 -0.484375q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.1875 -0.0625q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.109375 -0.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#ffffff" d="m656.0 96.0l8.0 -8.0l24.0 0l8.0 8.0l-8.0 8.0l-24.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m656.0 96.0l8.0 -8.0l24.0 0l8.0 8.0l-8.0 8.0l-24.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m679.5591 56.0l48.0 0l0 32.0l-48.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m696.34033 79.969376q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125zm8.71875 0.390625l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875zm9.28125 0l-1.75 0l-3.828125 -5.015625l0 5.015625l-1.390625 0l0 -10.21875l1.390625 0l0 4.75l3.75 -4.75l1.640625 0l-4.03125 4.859375l4.21875 5.359375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m544.0 96.0l112.81891 0.12598419" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m544.0 96.0l112.81891 0.12598419" fill-rule="evenodd"/><path fill="#ffffff" d="m655.55994 200.0l8.0 -8.0l24.0 0l8.0 8.0l-8.0 8.0l-24.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m655.55994 200.0l8.0 -8.0l24.0 0l8.0 8.0l-8.0 8.0l-24.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m544.0 200.0l112.37793 0.12599182" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m544.0 200.0l112.37793 0.12599182" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m679.5591 160.0l64.0 0l0 32.0l-64.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m696.77783 184.36l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm10.609375 4.640625q0 0.71875 -0.296875 1.265625q-0.296875 0.546875 -0.828125 0.921875q-0.53125 0.359375 -1.28125 0.546875q-0.75 0.171875 -1.640625 0.171875q-0.40625 0 -0.8125 -0.03125q-0.40625 -0.03125 -0.78125 -0.078125q-0.359375 -0.046875 -0.6875 -0.109375q-0.328125 -0.0625 -0.59375 -0.140625l0 -1.34375q0.578125 0.21875 1.3125 0.34375q0.734375 0.125 1.65625 0.125q0.671875 0 1.140625 -0.09375q0.484375 -0.109375 0.78125 -0.3125q0.296875 -0.21875 0.4375 -0.515625q0.140625 -0.296875 0.140625 -0.671875q0 -0.421875 -0.234375 -0.703125q-0.234375 -0.296875 -0.609375 -0.53125q-0.375 -0.234375 -0.859375 -0.421875q-0.46875 -0.1875 -0.96875 -0.390625q-0.5 -0.203125 -0.984375 -0.4375q-0.484375 -0.25 -0.859375 -0.5625q-0.375 -0.328125 -0.609375 -0.765625q-0.21875 -0.4375 -0.21875 -1.046875q0 -0.515625 0.21875 -1.015625q0.21875 -0.515625 0.671875 -0.90625q0.46875 -0.40625 1.1875 -0.640625q0.71875 -0.25 1.71875 -0.25q0.265625 0 0.5625 0.03125q0.296875 0.015625 0.609375 0.0625q0.3125 0.046875 0.609375 0.109375q0.296875 0.046875 0.5625 0.109375l0 1.25q-0.609375 -0.171875 -1.21875 -0.265625q-0.59375 -0.09375 -1.15625 -0.09375q-1.1875 0 -1.75 0.40625q-0.5625 0.390625 -0.5625 1.0625q0 0.421875 0.21875 0.71875q0.234375 0.296875 0.609375 0.53125q0.375 0.234375 0.859375 0.421875q0.484375 0.1875 0.984375 0.390625q0.5 0.203125 0.96875 0.453125q0.484375 0.234375 0.859375 0.578125q0.375 0.328125 0.609375 0.78125q0.234375 0.4375 0.234375 1.046875zm9.125 -6.265625l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm9.46875 12.234375l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.875 -3.203125l-1.8125 0l-2.96875 -6.375l-0.859375 -2.046875l0 5.15625l0 3.265625l-1.296875 0l0 -10.21875l1.78125 0l2.84375 6.03125l1.015625 2.34375l0 -5.46875l0 -2.90625l1.296875 0l0 10.21875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m407.1811 95.874016l112.81891 0.12598419" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m413.1811 95.88072l106.81891 0.119277954" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m413.18295 94.22898l-4.5399475 1.6466675l4.536255 1.6567993z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m407.1811 199.87401l112.37799 0.12599182" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m413.1811 199.88074l106.37799 0.119262695" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m413.18295 198.229l-4.5399475 1.6466522l4.536255 1.6568146z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m392.0 64.0l56.0 0l0 152.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m405.89062 83.0525q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5776062 -6.421875l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.780731 6.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125z" fill-rule="nonzero"/><path fill="#000000" d="m402.04688 182.02124l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.780731 3.796875q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.936981 1.34375q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm0.8276062 -7.359375l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m120.0 40.0l200.0 0l0 168.0l-200.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.0 40.0l200.0 0l0 168.0l-200.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m138.28125 190.18562q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm9.929169 4.671875l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm4.1010437 -0.21875q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm16.210419 4.140625q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm10.522919 0.21875q-0.53125 0.140625 -1.109375 0.1875q-0.578125 0.0625 -1.171875 0.0625q-1.71875 0 -2.5625 -0.78125q-0.84375 -0.78125 -0.84375 -2.390625l0 -4.765625l-2.5625 0l0 -1.328125l2.5625 0l0 -2.515625l1.578125 -0.40625l0 2.921875l4.109375 0l0 1.328125l-4.109375 0l0 4.640625q0 0.984375 0.515625 1.46875q0.53125 0.484375 1.546875 0.484375q0.4375 0 0.953125 -0.0625q0.53125 -0.0625 1.09375 -0.21875l0 1.375zm6.0072937 -11.4375l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m384.0 112.0l72.0 0l0 48.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m401.32733 122.8975q-0.265625 0.0625 -0.546875 0.09375q-0.28125 0.03125 -0.578125 0.03125q-0.875 0 -1.296875 -0.390625q-0.421875 -0.390625 -0.421875 -1.1875l0 -2.390625l-1.28125 0l0 -0.671875l1.28125 0l0 -1.25l0.796875 -0.203125l0 1.453125l2.046875 0l0 0.671875l-2.046875 0l0 2.328125q0 0.484375 0.265625 0.734375q0.265625 0.234375 0.765625 0.234375q0.21875 0 0.46875 -0.03125q0.265625 -0.03125 0.546875 -0.109375l0 0.6875zm5.425537 -2.265625q0 0.53125 -0.15625 0.984375q-0.140625 0.4375 -0.421875 0.765625q-0.28125 0.3125 -0.6875 0.484375q-0.40625 0.171875 -0.921875 0.171875q-0.484375 0 -0.875 -0.140625q-0.390625 -0.15625 -0.671875 -0.453125q-0.265625 -0.296875 -0.40625 -0.734375q-0.140625 -0.4375 -0.140625 -1.015625q0 -0.53125 0.140625 -0.96875q0.15625 -0.4375 0.4375 -0.75q0.28125 -0.3125 0.6875 -0.484375q0.40625 -0.1875 0.921875 -0.1875q0.5 0 0.875 0.15625q0.390625 0.15625 0.65625 0.453125q0.28125 0.28125 0.421875 0.71875q0.140625 0.4375 0.140625 1.0zm-0.796875 0.046875q0 -0.421875 -0.09375 -0.734375q-0.09375 -0.328125 -0.28125 -0.53125q-0.171875 -0.21875 -0.421875 -0.328125q-0.234375 -0.109375 -0.546875 -0.109375q-0.359375 0 -0.609375 0.140625q-0.25 0.140625 -0.421875 0.375q-0.15625 0.234375 -0.234375 0.546875q-0.0625 0.296875 -0.0625 0.640625q0 0.421875 0.09375 0.75q0.09375 0.3125 0.265625 0.53125q0.171875 0.203125 0.40625 0.3125q0.25 0.09375 0.5625 0.09375q0.359375 0 0.609375 -0.125q0.25 -0.140625 0.40625 -0.375q0.171875 -0.234375 0.25 -0.53125q0.078125 -0.3125 0.078125 -0.65625zm7.2729187 -2.296875l0.71875 0l0.015625 0.84375q0.40625 -0.484375 0.796875 -0.703125q0.40625 -0.21875 0.796875 -0.21875q0.71875 0 1.078125 0.46875q0.375 0.453125 0.34375 1.359375l-0.796875 0q0.015625 -0.609375 -0.1875 -0.875q-0.1875 -0.265625 -0.546875 -0.265625q-0.15625 0 -0.328125 0.0625q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.359375 0.328125q-0.1875 0.1875 -0.40625 0.46875l0 2.9375l-0.796875 0l0 -4.578125zm8.800507 2.046875q0 0.171875 -0.015625 0.296875q0 0.109375 0 0.203125l-3.21875 0q0 0.703125 0.390625 1.078125q0.390625 0.375 1.140625 0.375q0.1875 0 0.390625 -0.015625q0.203125 -0.015625 0.390625 -0.046875q0.1875 -0.03125 0.359375 -0.0625q0.171875 -0.03125 0.3125 -0.078125l0 0.65625q-0.328125 0.09375 -0.734375 0.140625q-0.40625 0.0625 -0.84375 0.0625q-0.578125 0 -1.015625 -0.15625q-0.421875 -0.15625 -0.6875 -0.453125q-0.265625 -0.3125 -0.40625 -0.75q-0.125 -0.4375 -0.125 -1.0q0 -0.484375 0.140625 -0.90625q0.140625 -0.4375 0.40625 -0.75q0.265625 -0.328125 0.65625 -0.515625q0.390625 -0.203125 0.875 -0.203125q0.484375 0 0.84375 0.15625q0.375 0.140625 0.625 0.421875q0.25 0.28125 0.375 0.671875q0.140625 0.390625 0.140625 0.875zm-0.828125 -0.109375q0.015625 -0.3125 -0.0625 -0.5625q-0.0625 -0.25 -0.21875 -0.421875q-0.15625 -0.1875 -0.390625 -0.28125q-0.21875 -0.109375 -0.515625 -0.109375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.28125q-0.15625 0.1875 -0.25 0.4375q-0.09375 0.25 -0.125 0.5625l2.40625 0zm5.706787 1.390625q0 0.25 -0.078125 0.4375q-0.078125 0.1875 -0.21875 0.34375q-0.140625 0.140625 -0.328125 0.25q-0.1875 0.09375 -0.40625 0.171875q-0.203125 0.0625 -0.4375 0.09375q-0.21875 0.03125 -0.421875 0.03125q-0.46875 0 -0.859375 -0.046875q-0.390625 -0.03125 -0.765625 -0.125l0 -0.71875q0.40625 0.109375 0.796875 0.171875q0.390625 0.046875 0.78125 0.046875q0.578125 0 0.84375 -0.140625q0.28125 -0.15625 0.28125 -0.453125q0 -0.125 -0.046875 -0.21875q-0.046875 -0.09375 -0.15625 -0.1875q-0.109375 -0.09375 -0.359375 -0.1875q-0.234375 -0.09375 -0.640625 -0.21875q-0.3125 -0.09375 -0.578125 -0.203125q-0.265625 -0.109375 -0.453125 -0.265625q-0.1875 -0.171875 -0.296875 -0.375q-0.109375 -0.21875 -0.109375 -0.515625q0 -0.1875 0.078125 -0.40625q0.09375 -0.234375 0.3125 -0.421875q0.21875 -0.203125 0.578125 -0.328125q0.359375 -0.140625 0.90625 -0.140625q0.265625 0 0.59375 0.03125q0.328125 0.03125 0.6875 0.109375l0 0.703125q-0.375 -0.09375 -0.71875 -0.140625q-0.328125 -0.046875 -0.5625 -0.046875q-0.296875 0 -0.5 0.046875q-0.203125 0.046875 -0.328125 0.125q-0.125 0.078125 -0.1875 0.1875q-0.046875 0.109375 -0.046875 0.234375q0 0.125 0.046875 0.234375q0.046875 0.09375 0.171875 0.1875q0.125 0.09375 0.359375 0.1875q0.234375 0.09375 0.609375 0.203125q0.40625 0.109375 0.6875 0.25q0.28125 0.125 0.453125 0.28125q0.171875 0.15625 0.234375 0.359375q0.078125 0.203125 0.078125 0.453125zm5.3786316 -1.28125q0 0.171875 -0.015625 0.296875q0 0.109375 0 0.203125l-3.21875 0q0 0.703125 0.390625 1.078125q0.390625 0.375 1.140625 0.375q0.1875 0 0.390625 -0.015625q0.203125 -0.015625 0.390625 -0.046875q0.1875 -0.03125 0.359375 -0.0625q0.171875 -0.03125 0.3125 -0.078125l0 0.65625q-0.328125 0.09375 -0.734375 0.140625q-0.40625 0.0625 -0.84375 0.0625q-0.578125 0 -1.015625 -0.15625q-0.421875 -0.15625 -0.6875 -0.453125q-0.265625 -0.3125 -0.40625 -0.75q-0.125 -0.4375 -0.125 -1.0q0 -0.484375 0.140625 -0.90625q0.140625 -0.4375 0.40625 -0.75q0.265625 -0.328125 0.65625 -0.515625q0.390625 -0.203125 0.875 -0.203125q0.484375 0 0.84375 0.15625q0.375 0.140625 0.625 0.421875q0.25 0.28125 0.375 0.671875q0.140625 0.390625 0.140625 0.875zm-0.828125 -0.109375q0.015625 -0.3125 -0.0625 -0.5625q-0.0625 -0.25 -0.21875 -0.421875q-0.15625 -0.1875 -0.390625 -0.28125q-0.21875 -0.109375 -0.515625 -0.109375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.28125q-0.15625 0.1875 -0.25 0.4375q-0.09375 0.25 -0.125 0.5625l2.40625 0zm5.769287 2.578125q-0.265625 0.0625 -0.546875 0.09375q-0.28125 0.03125 -0.578125 0.03125q-0.875 0 -1.296875 -0.390625q-0.421875 -0.390625 -0.421875 -1.1875l0 -2.390625l-1.28125 0l0 -0.671875l1.28125 0l0 -1.25l0.796875 -0.203125l0 1.453125l2.046875 0l0 0.671875l-2.046875 0l0 2.328125q0 0.484375 0.265625 0.734375q0.265625 0.234375 0.765625 0.234375q0.21875 0 0.46875 -0.03125q0.265625 -0.03125 0.546875 -0.109375l0 0.6875zm5.1286316 -6.375l-3.125 7.421875l-0.75 0l3.109375 -7.421875l0.765625 0z" fill-rule="nonzero"/><path fill="#000000" d="m403.76666 133.78812q-0.3125 0.125 -0.640625 0.171875q-0.3125 0.0625 -0.671875 0.0625q-1.078125 0 -1.671875 -0.578125q-0.578125 -0.59375 -0.578125 -1.71875q0 -0.546875 0.171875 -0.984375q0.171875 -0.453125 0.46875 -0.765625q0.3125 -0.3125 0.734375 -0.484375q0.421875 -0.171875 0.9375 -0.171875q0.34375 0 0.65625 0.0625q0.3125 0.046875 0.59375 0.15625l0 0.75q-0.296875 -0.15625 -0.609375 -0.21875q-0.296875 -0.078125 -0.625 -0.078125q-0.3125 0 -0.578125 0.125q-0.265625 0.109375 -0.484375 0.328125q-0.203125 0.21875 -0.328125 0.53125q-0.109375 0.3125 -0.109375 0.71875q0 0.828125 0.40625 1.25q0.40625 0.40625 1.109375 0.40625q0.328125 0 0.625 -0.078125q0.3125 -0.078125 0.59375 -0.21875l0 0.734375zm3.128662 -5.609375l-1.34375 0l0 -0.6562424l2.15625 0l0 5.7812424l1.359375 0l0 0.65625l-3.671875 0l0 -0.65625l1.5 0l0 -5.125zm7.5505066 3.453125q0 0.53125 -0.15625 0.984375q-0.140625 0.4375 -0.421875 0.765625q-0.28125 0.3125 -0.6875 0.484375q-0.40625 0.171875 -0.921875 0.171875q-0.484375 0 -0.875 -0.140625q-0.390625 -0.15625 -0.671875 -0.453125q-0.265625 -0.296875 -0.40625 -0.734375q-0.140625 -0.4375 -0.140625 -1.015625q0 -0.53125 0.140625 -0.96875q0.15625 -0.4375 0.4375 -0.75q0.28125 -0.3125 0.6875 -0.484375q0.40625 -0.1875 0.921875 -0.1875q0.5 0 0.875 0.15625q0.390625 0.15625 0.65625 0.453125q0.28125 0.28125 0.421875 0.71875q0.140625 0.4375 0.140625 1.0zm-0.796875 0.046875q0 -0.421875 -0.09375 -0.734375q-0.09375 -0.328125 -0.28125 -0.53125q-0.171875 -0.21875 -0.421875 -0.328125q-0.234375 -0.109375 -0.546875 -0.109375q-0.359375 0 -0.609375 0.140625q-0.25 0.140625 -0.421875 0.375q-0.15625 0.234375 -0.234375 0.546875q-0.0625 0.296875 -0.0625 0.640625q0 0.421875 0.09375 0.75q0.09375 0.3125 0.265625 0.53125q0.171875 0.203125 0.40625 0.3125q0.25 0.09375 0.5625 0.09375q0.359375 0 0.609375 -0.125q0.25 -0.140625 0.40625 -0.375q0.171875 -0.234375 0.25 -0.53125q0.078125 -0.3125 0.078125 -0.65625zm5.5036316 2.109375q-0.3125 0.125 -0.640625 0.171875q-0.3125 0.0625 -0.671875 0.0625q-1.078125 0 -1.671875 -0.578125q-0.578125 -0.59375 -0.578125 -1.71875q0 -0.546875 0.171875 -0.984375q0.171875 -0.453125 0.46875 -0.765625q0.3125 -0.3125 0.734375 -0.484375q0.421875 -0.171875 0.9375 -0.171875q0.34375 0 0.65625 0.0625q0.3125 0.046875 0.59375 0.15625l0 0.75q-0.296875 -0.15625 -0.609375 -0.21875q-0.296875 -0.078125 -0.625 -0.078125q-0.3125 0 -0.578125 0.125q-0.265625 0.109375 -0.484375 0.328125q-0.203125 0.21875 -0.328125 0.53125q-0.109375 0.3125 -0.109375 0.71875q0 0.828125 0.40625 1.25q0.40625 0.40625 1.109375 0.40625q0.328125 0 0.625 -0.078125q0.3125 -0.078125 0.59375 -0.21875l0 0.734375zm5.691162 0.171875l-1.09375 0l-2.125 -2.453125l0 2.453125l-0.796875 0l0 -6.4374924l0.796875 0l0 3.9531174l2.046875 -2.09375l1.046875 0l-2.140625 2.109375l2.265625 2.46875zm2.566162 -3.921875l-1.34375 0l0 -0.65625l2.15625 0l0 3.921875l1.359375 0l0 0.65625l-3.671875 0l0 -0.65625l1.5 0l0 -3.265625zm0.28125 -2.5624924q0.140625 0 0.25 0.046875q0.109375 0.046875 0.1875 0.140625q0.09375 0.078125 0.140625 0.1875q0.046875 0.109375 0.046875 0.24999237q0 0.125 -0.046875 0.234375q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.1875 0.125q-0.109375 0.046875 -0.25 0.046875q-0.125 0 -0.25 -0.046875q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.125 -0.203125q-0.046875 -0.109375 -0.046875 -0.234375q0 -0.14061737 0.046875 -0.24999237q0.046875 -0.109375 0.125 -0.1875q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.046875 0.25 -0.046875zm3.3005066 1.9062424l0.703125 0l0.03125 0.75q0.203125 -0.25 0.390625 -0.40625q0.1875 -0.15625 0.359375 -0.25q0.1875 -0.09375 0.375 -0.125q0.1875 -0.046875 0.375 -0.046875q0.71875 0 1.078125 0.421875q0.359375 0.40625 0.359375 1.25l0 2.984375l-0.796875 0l0 -2.921875q0 -0.53125 -0.203125 -0.78125q-0.1875 -0.265625 -0.59375 -0.265625q-0.140625 0 -0.28125 0.046875q-0.140625 0.03125 -0.296875 0.140625q-0.140625 0.109375 -0.328125 0.296875q-0.171875 0.171875 -0.390625 0.453125l0 3.03125l-0.78125 0l0 -4.578125zm8.409882 0.65625q0.140625 0.15625 0.203125 0.375q0.078125 0.203125 0.078125 0.453125q0 0.359375 -0.140625 0.65625q-0.125 0.28125 -0.359375 0.5q-0.234375 0.203125 -0.578125 0.328125q-0.328125 0.109375 -0.71875 0.109375q-0.28125 0 -0.53125 -0.0625q-0.25 -0.0625 -0.40625 -0.15625q-0.078125 0.125 -0.140625 0.25q-0.0625 0.109375 -0.0625 0.25q0 0.171875 0.15625 0.296875q0.171875 0.109375 0.453125 0.109375l1.203125 0.046875q0.34375 0.015625 0.625 0.09375q0.296875 0.078125 0.5 0.21875q0.203125 0.140625 0.3125 0.359375q0.125 0.21875 0.125 0.5q0 0.296875 -0.140625 0.5625q-0.125 0.28125 -0.40625 0.484375q-0.265625 0.21875 -0.6875 0.328125q-0.421875 0.125 -1.015625 0.125q-0.546875 0 -0.9375 -0.09375q-0.390625 -0.078125 -0.640625 -0.234375q-0.25 -0.15625 -0.375 -0.375q-0.109375 -0.21875 -0.109375 -0.46875q0 -0.34375 0.15625 -0.59375q0.15625 -0.25 0.484375 -0.484375q-0.125 -0.046875 -0.21875 -0.125q-0.078125 -0.09375 -0.140625 -0.1875q-0.046875 -0.09375 -0.078125 -0.203125q-0.015625 -0.109375 -0.015625 -0.21875q0 -0.296875 0.140625 -0.53125q0.140625 -0.25 0.328125 -0.46875q-0.09375 -0.109375 -0.15625 -0.203125q-0.0625 -0.109375 -0.109375 -0.21875q-0.046875 -0.125 -0.078125 -0.25q-0.03125 -0.140625 -0.03125 -0.3125q0 -0.359375 0.125 -0.640625q0.140625 -0.296875 0.375 -0.5q0.234375 -0.21875 0.5625 -0.328125q0.328125 -0.125 0.734375 -0.125q0.171875 0 0.328125 0.03125q0.15625 0.015625 0.265625 0.046875l1.65625 0l0 0.65625l-0.734375 0zm-2.75 4.578125q0 0.328125 0.328125 0.46875q0.34375 0.15625 0.953125 0.15625q0.375 0 0.625 -0.078125q0.265625 -0.0625 0.421875 -0.171875q0.15625 -0.109375 0.21875 -0.25q0.078125 -0.140625 0.078125 -0.296875q0 -0.28125 -0.234375 -0.421875q-0.21875 -0.125 -0.6875 -0.140625l-1.203125 -0.046875q-0.140625 0.109375 -0.25 0.203125q-0.09375 0.09375 -0.15625 0.1875q-0.046875 0.09375 -0.078125 0.1875q-0.015625 0.109375 -0.015625 0.203125zm0.25 -3.734375q0 0.21875 0.0625 0.40625q0.078125 0.171875 0.203125 0.3125q0.140625 0.125 0.3125 0.203125q0.1875 0.0625 0.40625 0.0625q0.234375 0 0.421875 -0.078125q0.1875 -0.09375 0.3125 -0.21875q0.125 -0.140625 0.1875 -0.3125q0.0625 -0.1875 0.0625 -0.375q0 -0.21875 -0.078125 -0.390625q-0.0625 -0.1875 -0.203125 -0.3125q-0.125 -0.140625 -0.3125 -0.203125q-0.171875 -0.078125 -0.390625 -0.078125q-0.234375 0 -0.421875 0.09375q-0.1875 0.078125 -0.3125 0.21875q-0.125 0.125 -0.1875 0.3125q-0.0625 0.171875 -0.0625 0.359375z" fill-rule="nonzero"/><path fill="#000000" d="m406.89532 141.03812l-1.34375 0l0 -0.65625l2.15625 0l0 3.921875l1.359375 0l0 0.65625l-3.671875 0l0 -0.65625l1.5 0l0 -3.265625zm0.28125 -2.5625q0.140625 0 0.25 0.046875q0.109375 0.046875 0.1875 0.140625q0.09375 0.078125 0.140625 0.1875q0.046875 0.109375 0.046875 0.25q0 0.125 -0.046875 0.234375q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.1875 0.125q-0.109375 0.046875 -0.25 0.046875q-0.125 0 -0.25 -0.046875q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.125 -0.203125q-0.046875 -0.109375 -0.046875 -0.234375q0 -0.140625 0.046875 -0.25q0.046875 -0.109375 0.125 -0.1875q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.046875 0.25 -0.046875zm3.3005066 1.90625l0.703125 0l0.03125 0.75q0.203125 -0.25 0.390625 -0.40625q0.1875 -0.15625 0.359375 -0.25q0.1875 -0.09375 0.375 -0.125q0.1875 -0.046875 0.375 -0.046875q0.71875 0 1.078125 0.421875q0.359375 0.40625 0.359375 1.25l0 2.984375l-0.796875 0l0 -2.921875q0 -0.53125 -0.203125 -0.78125q-0.1875 -0.265625 -0.59375 -0.265625q-0.140625 0 -0.28125 0.046875q-0.140625 0.03125 -0.296875 0.140625q-0.140625 0.109375 -0.328125 0.296875q-0.171875 0.171875 -0.390625 0.453125l0 3.03125l-0.78125 0l0 -4.578125zm9.175507 -1.125q-0.625 -0.125 -1.0625 -0.125q-1.078125 0 -1.078125 1.125l0 0.796875l2.0 0l0 0.65625l-2.0 0l0 3.25l-0.8125 0l0 -3.25l-1.46875 0l0 -0.65625l1.46875 0l0 -0.765625q0 -1.8125 1.90625 -1.8125q0.484375 0 1.046875 0.109375l0 0.671875zm-4.78125 1.125l0 0zm6.050537 0l0.71875 0l0.015625 0.84375q0.40625 -0.484375 0.796875 -0.703125q0.40625 -0.21875 0.796875 -0.21875q0.71875 0 1.078125 0.46875q0.375 0.453125 0.34375 1.359375l-0.796875 0q0.015625 -0.609375 -0.1875 -0.875q-0.1875 -0.265625 -0.546875 -0.265625q-0.15625 0 -0.328125 0.0625q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.359375 0.328125q-0.1875 0.1875 -0.40625 0.46875l0 2.9375l-0.796875 0l0 -4.578125zm7.878662 4.578125l-0.03125 -0.609375q-0.359375 0.359375 -0.75 0.53125q-0.390625 0.15625 -0.8125 0.15625q-0.390625 0 -0.671875 -0.09375q-0.265625 -0.109375 -0.453125 -0.28125q-0.171875 -0.171875 -0.265625 -0.40625q-0.078125 -0.234375 -0.078125 -0.515625q0 -0.6875 0.5 -1.078125q0.515625 -0.390625 1.515625 -0.390625l0.953125 0l0 -0.40625q0 -0.40625 -0.265625 -0.640625q-0.25 -0.25 -0.78125 -0.25q-0.390625 0 -0.765625 0.09375q-0.375 0.078125 -0.78125 0.25l0 -0.71875q0.15625 -0.0625 0.328125 -0.109375q0.1875 -0.046875 0.390625 -0.09375q0.21875 -0.046875 0.4375 -0.0625q0.21875 -0.03125 0.453125 -0.03125q0.40625 0 0.734375 0.09375q0.34375 0.09375 0.5625 0.28125q0.234375 0.1875 0.359375 0.46875q0.125 0.28125 0.125 0.65625l0 3.15625l-0.703125 0zm-0.09375 -2.078125l-1.0 0q-0.296875 0 -0.515625 0.0625q-0.21875 0.046875 -0.359375 0.15625q-0.125 0.109375 -0.203125 0.265625q-0.0625 0.15625 -0.0625 0.34375q0 0.140625 0.046875 0.265625q0.046875 0.109375 0.125 0.203125q0.09375 0.09375 0.234375 0.15625q0.15625 0.046875 0.359375 0.046875q0.28125 0 0.625 -0.15625q0.359375 -0.171875 0.75 -0.53125l0 -0.8125zm5.4723816 -0.03125l-2.71875 0l0 -0.75l2.71875 0l0 0.75z" fill-rule="nonzero"/><path fill="#000000" d="m401.26483 154.70999q0 0.25 -0.078125 0.4375q-0.078125 0.1875 -0.21875 0.34375q-0.140625 0.140625 -0.328125 0.25q-0.1875 0.09375 -0.40625 0.171875q-0.203125 0.0625 -0.4375 0.09375q-0.21875 0.03125 -0.421875 0.03125q-0.46875 0 -0.859375 -0.046875q-0.390625 -0.03125 -0.765625 -0.125l0 -0.71875q0.40625 0.109375 0.796875 0.171875q0.390625 0.046875 0.78125 0.046875q0.578125 0 0.84375 -0.140625q0.28125 -0.15625 0.28125 -0.453125q0 -0.125 -0.046875 -0.21875q-0.046875 -0.09375 -0.15625 -0.1875q-0.109375 -0.09375 -0.359375 -0.1875q-0.234375 -0.09375 -0.640625 -0.21875q-0.3125 -0.09375 -0.578125 -0.203125q-0.265625 -0.109375 -0.453125 -0.265625q-0.1875 -0.171875 -0.296875 -0.375q-0.109375 -0.21875 -0.109375 -0.515625q0 -0.1875 0.078125 -0.40625q0.09375 -0.234375 0.3125 -0.421875q0.21875 -0.203125 0.578125 -0.328125q0.359375 -0.140625 0.90625 -0.140625q0.265625 0 0.59375 0.03125q0.328125 0.03125 0.6875 0.109375l0 0.703125q-0.375 -0.09375 -0.71875 -0.140625q-0.328125 -0.046875 -0.5625 -0.046875q-0.296875 0 -0.5 0.046875q-0.203125 0.046875 -0.328125 0.125q-0.125 0.078125 -0.1875 0.1875q-0.046875 0.109375 -0.046875 0.234375q0 0.125 0.046875 0.234375q0.046875 0.09375 0.171875 0.1875q0.125 0.09375 0.359375 0.1875q0.234375 0.09375 0.609375 0.203125q0.40625 0.109375 0.6875 0.25q0.28125 0.125 0.453125 0.28125q0.171875 0.15625 0.234375 0.359375q0.078125 0.203125 0.078125 0.453125zm5.191162 1.1875q-0.265625 0.0625 -0.546875 0.09375q-0.28125 0.03125 -0.578125 0.03125q-0.875 0 -1.296875 -0.390625q-0.421875 -0.390625 -0.421875 -1.1875l0 -2.390625l-1.28125 0l0 -0.671875l1.28125 0l0 -1.25l0.796875 -0.203125l0 1.453125l2.046875 0l0 0.671875l-2.046875 0l0 2.328125q0 0.484375 0.265625 0.734375q0.265625 0.234375 0.765625 0.234375q0.21875 0 0.46875 -0.03125q0.265625 -0.03125 0.546875 -0.109375l0 0.6875zm1.6442566 -4.515625l0.71875 0l0.015625 0.84375q0.40625 -0.484375 0.796875 -0.703125q0.40625 -0.21875 0.796875 -0.21875q0.71875 0 1.078125 0.46875q0.375 0.453125 0.34375 1.359375l-0.796875 0q0.015625 -0.609375 -0.1875 -0.875q-0.1875 -0.265625 -0.546875 -0.265625q-0.15625 0 -0.328125 0.0625q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.359375 0.328125q-0.1875 0.1875 -0.40625 0.46875l0 2.9375l-0.796875 0l0 -4.578125zm8.613037 4.578125l-0.71875 0l-0.015625 -0.734375q-0.203125 0.234375 -0.390625 0.390625q-0.1875 0.15625 -0.375 0.25q-0.171875 0.09375 -0.359375 0.125q-0.1875 0.046875 -0.390625 0.046875q-0.703125 0 -1.0625 -0.40625q-0.359375 -0.421875 -0.359375 -1.25l0 -3.0l0.78125 0l0 2.921875q0 1.0625 0.796875 1.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.296875 -0.140625q0.15625 -0.109375 0.328125 -0.296875q0.171875 -0.1875 0.390625 -0.46875l0 -3.03125l0.796875 0l0 4.578125zm5.0036316 -0.171875q-0.3125 0.125 -0.640625 0.171875q-0.3125 0.0625 -0.671875 0.0625q-1.078125 0 -1.671875 -0.578125q-0.578125 -0.59375 -0.578125 -1.71875q0 -0.546875 0.171875 -0.984375q0.171875 -0.453125 0.46875 -0.765625q0.3125 -0.3125 0.734375 -0.484375q0.421875 -0.171875 0.9375 -0.171875q0.34375 0 0.65625 0.0625q0.3125 0.046875 0.59375 0.15625l0 0.75q-0.296875 -0.15625 -0.609375 -0.21875q-0.296875 -0.078125 -0.625 -0.078125q-0.3125 0 -0.578125 0.125q-0.265625 0.109375 -0.484375 0.328125q-0.203125 0.21875 -0.328125 0.53125q-0.109375 0.3125 -0.109375 0.71875q0 0.828125 0.40625 1.25q0.40625 0.40625 1.109375 0.40625q0.328125 0 0.625 -0.078125q0.3125 -0.078125 0.59375 -0.21875l0 0.734375zm5.253662 0.109375q-0.265625 0.0625 -0.546875 0.09375q-0.28125 0.03125 -0.578125 0.03125q-0.875 0 -1.296875 -0.390625q-0.421875 -0.390625 -0.421875 -1.1875l0 -2.390625l-1.28125 0l0 -0.671875l1.28125 0l0 -1.25l0.796875 -0.203125l0 1.453125l2.046875 0l0 0.671875l-2.046875 0l0 2.328125q0 0.484375 0.265625 0.734375q0.265625 0.234375 0.765625 0.234375q0.21875 0 0.46875 -0.03125q0.265625 -0.03125 0.546875 -0.109375l0 0.6875zm5.1286316 0.0625l-0.71875 0l-0.015625 -0.734375q-0.203125 0.234375 -0.390625 0.390625q-0.1875 0.15625 -0.375 0.25q-0.171875 0.09375 -0.359375 0.125q-0.1875 0.046875 -0.390625 0.046875q-0.703125 0 -1.0625 -0.40625q-0.359375 -0.421875 -0.359375 -1.25l0 -3.0l0.78125 0l0 2.921875q0 1.0625 0.796875 1.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.296875 -0.140625q0.15625 -0.109375 0.328125 -0.296875q0.171875 -0.1875 0.390625 -0.46875l0 -3.03125l0.796875 0l0 4.578125zm1.6442871 -4.578125l0.71875 0l0.015625 0.84375q0.40625 -0.484375 0.796875 -0.703125q0.40625 -0.21875 0.796875 -0.21875q0.71875 0 1.078125 0.46875q0.375 0.453125 0.34375 1.359375l-0.796875 0q0.015625 -0.609375 -0.1875 -0.875q-0.1875 -0.265625 -0.546875 -0.265625q-0.15625 0 -0.328125 0.0625q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.359375 0.328125q-0.1875 0.1875 -0.40625 0.46875l0 2.9375l-0.796875 0l0 -4.578125zm8.800507 2.046875q0 0.171875 -0.015625 0.296875q0 0.109375 0 0.203125l-3.21875 0q0 0.703125 0.390625 1.078125q0.390625 0.375 1.140625 0.375q0.1875 0 0.390625 -0.015625q0.203125 -0.015625 0.390625 -0.046875q0.1875 -0.03125 0.359375 -0.0625q0.171875 -0.03125 0.3125 -0.078125l0 0.65625q-0.328125 0.09375 -0.734375 0.140625q-0.40625 0.0625 -0.84375 0.0625q-0.578125 0 -1.015625 -0.15625q-0.421875 -0.15625 -0.6875 -0.453125q-0.265625 -0.3125 -0.40625 -0.75q-0.125 -0.4375 -0.125 -1.0q0 -0.484375 0.140625 -0.90625q0.140625 -0.4375 0.40625 -0.75q0.265625 -0.328125 0.65625 -0.515625q0.390625 -0.203125 0.875 -0.203125q0.484375 0 0.84375 0.15625q0.375 0.140625 0.625 0.421875q0.25 0.28125 0.375 0.671875q0.140625 0.390625 0.140625 0.875zm-0.828125 -0.109375q0.015625 -0.3125 -0.0625 -0.5625q-0.0625 -0.25 -0.21875 -0.421875q-0.15625 -0.1875 -0.390625 -0.28125q-0.21875 -0.109375 -0.515625 -0.109375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.28125q-0.15625 0.1875 -0.25 0.4375q-0.09375 0.25 -0.125 0.5625l2.40625 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m368.0 640.0l0 -528.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="2.0" stroke-linejoin="round" stroke-linecap="butt" d="m368.0 640.0l0 -528.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m368.0 112.0l-80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="2.0" stroke-linejoin="round" stroke-linecap="butt" d="m368.0 112.0l-80.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m543.9992 336.0016l0 55.999207l-223.9992 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m543.9992 336.0016l0 55.999207l-223.9992 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m320.0 336.0008l336.8189 0.12597656" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m320.0 336.0008l336.8189 0.12597656" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m583.9973 336.0008l0 -40.000793l-263.772 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m583.9973 336.0008l0 -40.000793l-263.772 0" fill-rule="evenodd"/><path fill="#ffffff" d="m536.0 320.0l24.0 16.0l-24.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m536.0 320.0l24.0 16.0l-24.0 16.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m368.0 416.0l16.0 16.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m368.0 416.0l16.0 16.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m368.0 640.0l16.0 16.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m368.0 640.0l16.0 16.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m378.12234 496.0l93.88977 0l0 192.0l-93.88977 0z" fill-rule="evenodd"/><path fill="#000000" d="m387.68484 512.74q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm6.968231 -1.90625l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm8.311981 4.765625q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm7.265106 4.75l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390106 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.905731 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546356 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" d="m387.68484 551.74q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm6.968231 -1.90625l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm8.311981 4.765625q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm7.265106 4.75l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.374481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.436981 2.609375l-0.8125 0l-0.03125 -0.84375q-0.234375 0.265625 -0.453125 0.453125q-0.203125 0.171875 -0.421875 0.28125q-0.203125 0.109375 -0.421875 0.15625q-0.203125 0.046875 -0.421875 0.046875q-0.8125 0 -1.234375 -0.46875q-0.40625 -0.484375 -0.40625 -1.4375l0 -3.40625l0.90625 0l0 3.328125q0 1.203125 0.90625 1.203125q0.171875 0 0.328125 -0.046875q0.15625 -0.046875 0.328125 -0.171875q0.171875 -0.125 0.375 -0.328125q0.203125 -0.203125 0.453125 -0.53125l0 -3.453125l0.90625 0l0 5.21875zm5.874481 -0.078125q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm5.171356 2.21875l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.905731 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546356 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" d="m387.68484 603.74q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm6.968231 -1.90625l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm8.311981 4.765625q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm7.265106 4.75l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.374481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.655731 -0.28125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm5.921356 5.15625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.905731 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546356 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" d="m387.68484 642.74q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm6.968231 -1.90625l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm8.311981 4.765625q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm7.265106 4.75l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm4.186981 -2.140625l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.811981 2.296875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm5.858856 0q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.171356 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.905731 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546356 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#ffffff" d="m40.0 111.01824l16.0 -16.0l0 8.0l80.0 0l0 -8.0l16.0 16.0l-16.0 16.0l0 -8.0l-80.0 0l0 8.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m40.0 111.01824l16.0 -16.0l0 8.0l80.0 0l0 -8.0l16.0 16.0l-16.0 16.0l0 -8.0l-80.0 0l0 8.0z" fill-rule="evenodd"/><path fill="#c9daf8" d="m152.0 53.732285l136.25198 0l0 114.267715l-136.25198 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m152.0 53.732285l136.25198 0l0 114.267715l-136.25198 0z" fill-rule="evenodd"/><path fill="#000000" d="m210.31348 116.33552q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125zm8.8125 -2.375q0 0.71875 -0.296875 1.265625q-0.296875 0.546875 -0.828125 0.921875q-0.53125 0.359375 -1.28125 0.546875q-0.75 0.171875 -1.640625 0.171875q-0.40625 0 -0.8125 -0.03125q-0.40625 -0.03125 -0.78125 -0.078125q-0.359375 -0.046875 -0.6875 -0.109375q-0.328125 -0.0625 -0.59375 -0.140625l0 -1.34375q0.578125 0.21875 1.3125 0.34375q0.734375 0.125 1.65625 0.125q0.671875 0 1.140625 -0.09375q0.484375 -0.109375 0.78125 -0.3125q0.296875 -0.21875 0.4375 -0.515625q0.140625 -0.296875 0.140625 -0.671875q0 -0.421875 -0.234375 -0.703125q-0.234375 -0.296875 -0.609375 -0.53125q-0.375 -0.234375 -0.859375 -0.421875q-0.46875 -0.1875 -0.96875 -0.390625q-0.5 -0.203125 -0.984375 -0.4375q-0.484375 -0.25 -0.859375 -0.5625q-0.375 -0.328125 -0.609375 -0.765625q-0.21875 -0.4375 -0.21875 -1.046875q0 -0.515625 0.21875 -1.015625q0.21875 -0.515625 0.671875 -0.90625q0.46875 -0.40625 1.1875 -0.640625q0.71875 -0.25 1.71875 -0.25q0.265625 0 0.5625 0.03125q0.296875 0.015625 0.609375 0.0625q0.3125 0.046875 0.609375 0.109375q0.296875 0.046875 0.5625 0.109375l0 1.25q-0.609375 -0.171875 -1.21875 -0.265625q-0.59375 -0.09375 -1.15625 -0.09375q-1.1875 0 -1.75 0.40625q-0.5625 0.390625 -0.5625 1.0625q0 0.421875 0.21875 0.71875q0.234375 0.296875 0.609375 0.53125q0.375 0.234375 0.859375 0.421875q0.484375 0.1875 0.984375 0.390625q0.5 0.203125 0.96875 0.453125q0.484375 0.234375 0.859375 0.578125q0.375 0.328125 0.609375 0.78125q0.234375 0.4375 0.234375 1.046875zm9.21875 2.765625l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm10.28125 5.265625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m-16.005249 48.0l80.0 0l0 48.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m28.135376 54.32875l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm8.375 9.03125l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875zm7.828125 -3.625l-4.671875 0l0 -1.28125l4.671875 0l0 1.28125zm10.015625 0.0625q0 0.84375 -0.234375 1.53125q-0.234375 0.6875 -0.703125 1.171875q-0.453125 0.46875 -1.125 0.734375q-0.671875 0.265625 -1.53125 0.265625q-0.953125 0 -1.625 -0.25q-0.65625 -0.25 -1.078125 -0.703125q-0.421875 -0.46875 -0.609375 -1.109375q-0.1875 -0.640625 -0.1875 -1.421875l0 -6.875l1.390625 0l0 6.765625q0 0.59375 0.109375 1.046875q0.109375 0.453125 0.359375 0.765625q0.265625 0.296875 0.671875 0.453125q0.421875 0.15625 1.015625 0.15625q1.109375 0 1.625 -0.640625q0.53125 -0.640625 0.53125 -1.796875l0 -6.75l1.390625 0l0 6.65625zm8.546875 3.5625l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875z" fill-rule="nonzero"/><path fill="#000000" d="m19.151001 79.2975q0 0.734375 -0.28125 1.3125q-0.28125 0.5625 -0.8125 0.953125q-0.53125 0.390625 -1.28125 0.59375q-0.734375 0.203125 -1.65625 0.203125l-2.671875 0l0 -10.21875l2.921875 0q3.421875 0 3.421875 2.484375q0 0.828125 -0.40625 1.421875q-0.390625 0.59375 -1.28125 0.890625q0.421875 0.078125 0.78125 0.265625q0.375 0.1875 0.65625 0.484375q0.28125 0.296875 0.4375 0.703125q0.171875 0.40625 0.171875 0.90625zm-1.8125 -4.484375q0 -0.3125 -0.09375 -0.578125q-0.09375 -0.28125 -0.328125 -0.484375q-0.234375 -0.203125 -0.640625 -0.3125q-0.390625 -0.125 -1.0 -0.125l-1.4375 0l0 3.203125l1.390625 0q0.484375 0 0.859375 -0.09375q0.390625 -0.109375 0.671875 -0.3125q0.28125 -0.21875 0.421875 -0.53125q0.15625 -0.328125 0.15625 -0.765625zm0.34375 4.53125q0 -0.390625 -0.171875 -0.703125q-0.15625 -0.3125 -0.46875 -0.515625q-0.3125 -0.21875 -0.765625 -0.328125q-0.453125 -0.125 -1.015625 -0.125l-1.421875 0l0 3.515625l1.46875 0q1.203125 0 1.78125 -0.4375q0.59375 -0.453125 0.59375 -1.40625zm9.890625 3.015625l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm8.703125 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm13.828125 -6.90625l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm12.453125 0.015625l-4.328125 0l0 3.3125l4.109375 0l0 1.171875l-4.109375 0l0 4.546875l-1.421875 0l0 -10.21875l5.75 0l0 1.1875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m4.1889763 130.9816l59.811024 0l0 48.0l-59.811024 0z" fill-rule="evenodd"/><path fill="#000000" d="m36.390625 146.23221q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm5.140625 -9.8125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm13.671875 13.125015l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm3.921875 -9.92189l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375z" fill-rule="nonzero"/><path fill="#000000" d="m18.78125 154.29472l-5.359375 12.734375l-1.296875 0l5.359375 -12.734375l1.296875 0zm17.609375 10.9375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm5.140625 -9.8125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm13.671875 13.125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.078125 -7.1875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m688.0 392.0l0 -48.0l32.0 0l0 48.0z" fill-rule="evenodd"/><path fill="#000000" d="m709.89124 378.65625q0 -0.265625 0.109375 -0.5q0.09375 -0.234375 0.28125 -0.40625q0.171875 -0.1875 0.421875 -0.28125q0.234375 -0.109375 0.5 -0.109375q0.265625 0 0.5 0.109375q0.234375 0.09375 0.421875 0.28125q0.171875 0.171875 0.265625 0.40625q0.109375 0.234375 0.109375 0.5q0 0.28125 -0.109375 0.515625q-0.09375 0.234375 -0.265625 0.40625q-0.1875 0.171875 -0.421875 0.28125q-0.234375 0.09375 -0.5 0.09375q-0.265625 0 -0.5 -0.09375q-0.25 -0.109375 -0.421875 -0.28125q-0.1875 -0.171875 -0.28125 -0.40625q-0.109375 -0.234375 -0.109375 -0.515625zm0 -8.796875q0 -0.265625 0.109375 -0.5q0.09375 -0.234375 0.28125 -0.40625q0.171875 -0.1875 0.421875 -0.28125q0.234375 -0.109375 0.5 -0.109375q0.265625 0 0.5 0.109375q0.234375 0.09375 0.421875 0.28125q0.171875 0.171875 0.265625 0.40625q0.109375 0.234375 0.109375 0.5q0 0.28125 -0.109375 0.515625q-0.09375 0.234375 -0.265625 0.40625q-0.1875 0.171875 -0.421875 0.28125q-0.234375 0.09375 -0.5 0.09375q-0.265625 0 -0.5 -0.09375q-0.25 -0.109375 -0.421875 -0.28125q-0.1875 -0.171875 -0.28125 -0.40625q-0.109375 -0.234375 -0.109375 -0.515625zm0 -8.796875q0 -0.265625 0.109375 -0.5q0.09375 -0.234375 0.28125 -0.40625q0.171875 -0.1875 0.421875 -0.28125q0.234375 -0.109375 0.5 -0.109375q0.265625 0 0.5 0.109375q0.234375 0.09375 0.421875 0.28125q0.171875 0.171875 0.265625 0.40625q0.109375 0.234375 0.109375 0.5q0 0.28125 -0.109375 0.515625q-0.09375 0.234375 -0.265625 0.40625q-0.1875 0.171875 -0.421875 0.28125q-0.234375 0.09375 -0.5 0.09375q-0.265625 0 -0.5 -0.09375q-0.25 -0.109375 -0.421875 -0.28125q-0.1875 -0.171875 -0.28125 -0.40625q-0.109375 -0.234375 -0.109375 -0.515625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m688.0 616.0l0 -48.0l32.0 0l0 48.0z" fill-rule="evenodd"/><path fill="#000000" d="m709.89124 602.65625q0 -0.265625 0.109375 -0.5q0.09375 -0.234375 0.28125 -0.40625q0.171875 -0.1875 0.421875 -0.28125q0.234375 -0.109375 0.5 -0.109375q0.265625 0 0.5 0.109375q0.234375 0.09375 0.421875 0.28125q0.171875 0.171875 0.265625 0.40625q0.109375 0.234375 0.109375 0.5q0 0.28125 -0.109375 0.515625q-0.09375 0.234375 -0.265625 0.40625q-0.1875 0.171875 -0.421875 0.28125q-0.234375 0.09375 -0.5 0.09375q-0.265625 0 -0.5 -0.09375q-0.25 -0.109375 -0.421875 -0.28125q-0.1875 -0.171875 -0.28125 -0.40625q-0.109375 -0.234375 -0.109375 -0.515625zm0 -8.796875q0 -0.265625 0.109375 -0.5q0.09375 -0.234375 0.28125 -0.40625q0.171875 -0.1875 0.421875 -0.28125q0.234375 -0.109375 0.5 -0.109375q0.265625 0 0.5 0.109375q0.234375 0.09375 0.421875 0.28125q0.171875 0.171875 0.265625 0.40625q0.109375 0.234375 0.109375 0.5q0 0.28125 -0.109375 0.515625q-0.09375 0.234375 -0.265625 0.40625q-0.1875 0.171875 -0.421875 0.28125q-0.234375 0.09375 -0.5 0.09375q-0.265625 0 -0.5 -0.09375q-0.25 -0.109375 -0.421875 -0.28125q-0.1875 -0.171875 -0.28125 -0.40625q-0.109375 -0.234375 -0.109375 -0.515625zm0 -8.796875q0 -0.265625 0.109375 -0.5q0.09375 -0.234375 0.28125 -0.40625q0.171875 -0.1875 0.421875 -0.28125q0.234375 -0.109375 0.5 -0.109375q0.265625 0 0.5 0.109375q0.234375 0.09375 0.421875 0.28125q0.171875 0.171875 0.265625 0.40625q0.109375 0.234375 0.109375 0.5q0 0.28125 -0.109375 0.515625q-0.09375 0.234375 -0.265625 0.40625q-0.1875 0.171875 -0.421875 0.28125q-0.234375 0.09375 -0.5 0.09375q-0.265625 0 -0.5 -0.09375q-0.25 -0.109375 -0.421875 -0.28125q-0.1875 -0.171875 -0.28125 -0.40625q-0.109375 -0.234375 -0.109375 -0.515625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m392.0 488.0l0 -48.0l32.0 0l0 48.0z" fill-rule="evenodd"/><path fill="#000000" d="m413.89124 474.65625q0 -0.265625 0.109375 -0.5q0.09375 -0.234375 0.28125 -0.40625q0.171875 -0.1875 0.421875 -0.28125q0.234375 -0.109375 0.5 -0.109375q0.265625 0 0.5 0.109375q0.234375 0.09375 0.421875 0.28125q0.171875 0.171875 0.265625 0.40625q0.109375 0.234375 0.109375 0.5q0 0.28125 -0.109375 0.515625q-0.09375 0.234375 -0.265625 0.40625q-0.1875 0.171875 -0.421875 0.28125q-0.234375 0.09375 -0.5 0.09375q-0.265625 0 -0.5 -0.09375q-0.25 -0.109375 -0.421875 -0.28125q-0.1875 -0.171875 -0.28125 -0.40625q-0.109375 -0.234375 -0.109375 -0.515625zm0 -8.796875q0 -0.265625 0.109375 -0.5q0.09375 -0.234375 0.28125 -0.40625q0.171875 -0.1875 0.421875 -0.28125q0.234375 -0.109375 0.5 -0.109375q0.265625 0 0.5 0.109375q0.234375 0.09375 0.421875 0.28125q0.171875 0.171875 0.265625 0.40625q0.109375 0.234375 0.109375 0.5q0 0.28125 -0.109375 0.515625q-0.09375 0.234375 -0.265625 0.40625q-0.1875 0.171875 -0.421875 0.28125q-0.234375 0.09375 -0.5 0.09375q-0.265625 0 -0.5 -0.09375q-0.25 -0.109375 -0.421875 -0.28125q-0.1875 -0.171875 -0.28125 -0.40625q-0.109375 -0.234375 -0.109375 -0.515625zm0 -8.796875q0 -0.265625 0.109375 -0.5q0.09375 -0.234375 0.28125 -0.40625q0.171875 -0.1875 0.421875 -0.28125q0.234375 -0.109375 0.5 -0.109375q0.265625 0 0.5 0.109375q0.234375 0.09375 0.421875 0.28125q0.171875 0.171875 0.265625 0.40625q0.109375 0.234375 0.109375 0.5q0 0.28125 -0.109375 0.515625q-0.09375 0.234375 -0.265625 0.40625q-0.1875 0.171875 -0.421875 0.28125q-0.234375 0.09375 -0.5 0.09375q-0.265625 0 -0.5 -0.09375q-0.25 -0.109375 -0.421875 -0.28125q-0.1875 -0.171875 -0.28125 -0.40625q-0.109375 -0.234375 -0.109375 -0.515625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m392.0 712.0l0 -48.0l32.0 0l0 48.0z" fill-rule="evenodd"/><path fill="#000000" d="m413.89124 698.65625q0 -0.265625 0.109375 -0.5q0.09375 -0.234375 0.28125 -0.40625q0.171875 -0.1875 0.421875 -0.28125q0.234375 -0.109375 0.5 -0.109375q0.265625 0 0.5 0.109375q0.234375 0.09375 0.421875 0.28125q0.171875 0.171875 0.265625 0.40625q0.109375 0.234375 0.109375 0.5q0 0.28125 -0.109375 0.515625q-0.09375 0.234375 -0.265625 0.40625q-0.1875 0.171875 -0.421875 0.28125q-0.234375 0.09375 -0.5 0.09375q-0.265625 0 -0.5 -0.09375q-0.25 -0.109375 -0.421875 -0.28125q-0.1875 -0.171875 -0.28125 -0.40625q-0.109375 -0.234375 -0.109375 -0.515625zm0 -8.796875q0 -0.265625 0.109375 -0.5q0.09375 -0.234375 0.28125 -0.40625q0.171875 -0.1875 0.421875 -0.28125q0.234375 -0.109375 0.5 -0.109375q0.265625 0 0.5 0.109375q0.234375 0.09375 0.421875 0.28125q0.171875 0.171875 0.265625 0.40625q0.109375 0.234375 0.109375 0.5q0 0.28125 -0.109375 0.515625q-0.09375 0.234375 -0.265625 0.40625q-0.1875 0.171875 -0.421875 0.28125q-0.234375 0.09375 -0.5 0.09375q-0.265625 0 -0.5 -0.09375q-0.25 -0.109375 -0.421875 -0.28125q-0.1875 -0.171875 -0.28125 -0.40625q-0.109375 -0.234375 -0.109375 -0.515625zm0 -8.796875q0 -0.265625 0.109375 -0.5q0.09375 -0.234375 0.28125 -0.40625q0.171875 -0.1875 0.421875 -0.28125q0.234375 -0.109375 0.5 -0.109375q0.265625 0 0.5 0.109375q0.234375 0.09375 0.421875 0.28125q0.171875 0.171875 0.265625 0.40625q0.109375 0.234375 0.109375 0.5q0 0.28125 -0.109375 0.515625q-0.09375 0.234375 -0.265625 0.40625q-0.1875 0.171875 -0.421875 0.28125q-0.234375 0.09375 -0.5 0.09375q-0.265625 0 -0.5 -0.09375q-0.25 -0.109375 -0.421875 -0.28125q-0.1875 -0.171875 -0.28125 -0.40625q-0.109375 -0.234375 -0.109375 -0.515625z" fill-rule="nonzero"/><path fill="#d9d9d9" d="m488.0 408.0l96.0 0l0 48.0l-96.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 408.0l96.0 0l0 48.0l-96.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 408.0l96.0 0l0 48.0l-96.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m513.82263 422.74l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.8120117 2.296875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm5.8588257 0q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588867 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm15.561462 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.0150757 0.109375q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588867 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.4213257 -1.390625l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375z" fill-rule="nonzero"/><path fill="#000000" d="m497.33984 431.02124q0 0.421875 -0.15625 0.828125q-0.15625 0.40625 -0.484375 0.734375q-0.328125 0.3125 -0.84375 0.515625q-0.5 0.203125 -1.203125 0.203125l-0.84375 0l0 2.4375l-0.921875 0l0 -6.796875l1.90625 0q0.5 0 0.96875 0.109375q0.46875 0.109375 0.8125 0.359375q0.359375 0.25 0.5625 0.65625q0.203125 0.390625 0.203125 0.953125zm-0.953125 0.046875q0 -0.65625 -0.4375 -1.0q-0.421875 -0.359375 -1.203125 -0.359375l-0.9375 0l0 2.796875l0.859375 0q0.828125 0 1.265625 -0.359375q0.453125 -0.359375 0.453125 -1.078125zm6.8275757 2.296875q0 0.5625 -0.15625 1.03125q-0.15625 0.453125 -0.46875 0.78125q-0.3125 0.3125 -0.765625 0.484375q-0.4375 0.171875 -1.015625 0.171875q-0.625 0 -1.078125 -0.15625q-0.4375 -0.171875 -0.71875 -0.46875q-0.265625 -0.3125 -0.40625 -0.734375q-0.125 -0.4375 -0.125 -0.96875l0 -4.5625l0.9375 0l0 4.5q0 0.390625 0.0625 0.703125q0.078125 0.296875 0.25 0.5q0.171875 0.203125 0.4375 0.3125q0.28125 0.09375 0.671875 0.09375q0.75 0 1.09375 -0.421875q0.359375 -0.421875 0.359375 -1.203125l0 -4.484375l0.921875 0l0 4.421875zm5.5932617 -4.984375l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm6.1088257 2.640625q0 0.421875 -0.15625 0.828125q-0.15625 0.40625 -0.484375 0.734375q-0.328125 0.3125 -0.84375 0.515625q-0.5 0.203125 -1.203125 0.203125l-0.84375 0l0 2.4375l-0.921875 0l0 -6.796875l1.90625 0q0.5 0 0.96875 0.109375q0.46875 0.109375 0.8125 0.359375q0.359375 0.25 0.5625 0.65625q0.203125 0.390625 0.203125 0.953125zm-0.953125 0.046875q0 -0.65625 -0.4375 -1.0q-0.421875 -0.359375 -1.203125 -0.359375l-0.9375 0l0 2.796875l0.859375 0q0.828125 0 1.265625 -0.359375q0.453125 -0.359375 0.453125 -1.078125zm7.0151367 1.203125q0 0.484375 -0.078125 0.9375q-0.078125 0.4375 -0.25 0.828125q-0.15625 0.375 -0.421875 0.703125q-0.265625 0.3125 -0.65625 0.53125q-0.390625 0.21875 -0.90625 0.34375q-0.5 0.125 -1.140625 0.125l-1.46875 0l0 -6.796875l1.765625 0q1.578125 0 2.359375 0.828125q0.796875 0.8125 0.796875 2.5zm-0.984375 0.0625q0 -0.71875 -0.140625 -1.21875q-0.125 -0.5 -0.40625 -0.8125q-0.265625 -0.3125 -0.6875 -0.4375q-0.421875 -0.140625 -0.96875 -0.140625l-0.796875 0l0 5.21875l0.6875 0q2.3125 0 2.3125 -2.609375zm6.3900757 -3.953125l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm6.4057617 3.921875q0 0.90625 -0.21875 1.578125q-0.21875 0.65625 -0.59375 1.09375q-0.359375 0.4375 -0.859375 0.65625q-0.484375 0.203125 -1.03125 0.203125q-0.65625 0 -1.140625 -0.234375q-0.484375 -0.234375 -0.8125 -0.6875q-0.3125 -0.453125 -0.46875 -1.09375q-0.15625 -0.640625 -0.15625 -1.4375q0 -0.90625 0.203125 -1.578125q0.21875 -0.671875 0.578125 -1.09375q0.375 -0.4375 0.859375 -0.640625q0.5 -0.21875 1.046875 -0.21875q0.65625 0 1.140625 0.234375q0.484375 0.234375 0.796875 0.6875q0.328125 0.4375 0.484375 1.078125q0.171875 0.640625 0.171875 1.453125zm-0.96875 0.0625q0 -0.59375 -0.09375 -1.09375q-0.09375 -0.5 -0.296875 -0.859375q-0.203125 -0.359375 -0.53125 -0.546875q-0.3125 -0.203125 -0.765625 -0.203125q-0.421875 0 -0.75 0.21875q-0.3125 0.203125 -0.515625 0.5625q-0.203125 0.359375 -0.296875 0.84375q-0.09375 0.484375 -0.09375 1.03125q0 0.609375 0.09375 1.109375q0.09375 0.484375 0.28125 0.84375q0.203125 0.359375 0.515625 0.5625q0.328125 0.1875 0.765625 0.1875q0.4375 0 0.75 -0.203125q0.328125 -0.21875 0.53125 -0.578125q0.203125 -0.359375 0.296875 -0.84375q0.109375 -0.484375 0.109375 -1.03125zm6.7338257 -0.09375q0 0.484375 -0.078125 0.9375q-0.078125 0.4375 -0.25 0.828125q-0.15625 0.375 -0.421875 0.703125q-0.265625 0.3125 -0.65625 0.53125q-0.390625 0.21875 -0.90625 0.34375q-0.5 0.125 -1.140625 0.125l-1.46875 0l0 -6.796875l1.765625 0q1.578125 0 2.359375 0.828125q0.796875 0.8125 0.796875 2.5zm-0.984375 0.0625q0 -0.71875 -0.140625 -1.21875q-0.125 -0.5 -0.40625 -0.8125q-0.265625 -0.3125 -0.6875 -0.4375q-0.421875 -0.140625 -0.96875 -0.140625l-0.796875 0l0 5.21875l0.6875 0q2.3125 0 2.3125 -2.609375zm2.5932617 4.46875q0.265625 0.015625 0.515625 -0.046875q0.25 -0.046875 0.4375 -0.171875q0.203125 -0.109375 0.3125 -0.28125q0.109375 -0.15625 0.109375 -0.375q0 -0.21875 -0.078125 -0.34375q-0.0625 -0.140625 -0.15625 -0.25q-0.09375 -0.125 -0.171875 -0.25q-0.078125 -0.125 -0.078125 -0.34375q0 -0.109375 0.03125 -0.234375q0.046875 -0.125 0.125 -0.21875q0.09375 -0.09375 0.21875 -0.15625q0.140625 -0.0625 0.328125 -0.0625q0.171875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.21875q0.125 0.15625 0.203125 0.390625q0.078125 0.234375 0.078125 0.5625q0 0.421875 -0.15625 0.8125q-0.15625 0.40625 -0.484375 0.71875q-0.3125 0.3125 -0.78125 0.484375q-0.46875 0.1875 -1.09375 0.1875l0 -0.71875zm15.936462 -1.0625l-1.171875 0l-2.546875 -3.328125l0 3.328125l-0.921875 0l0 -6.796875l0.921875 0l0 3.15625l2.5 -3.15625l1.09375 0l-2.6875 3.234375l2.8125 3.5625zm5.3119507 0l-3.859375 0l0 -6.796875l3.859375 0l0 0.78125l-2.9375 0l0 2.109375l2.828125 0l0 0.78125l-2.828125 0l0 2.328125l2.9375 0l0 0.796875zm5.8588867 0l-3.859375 0l0 -6.796875l3.859375 0l0 0.78125l-2.9375 0l0 2.109375l2.828125 0l0 0.78125l-2.828125 0l0 2.328125l2.9375 0l0 0.796875zm6.2338257 -4.71875q0 0.421875 -0.15625 0.828125q-0.15625 0.40625 -0.484375 0.734375q-0.328125 0.3125 -0.84375 0.515625q-0.5 0.203125 -1.203125 0.203125l-0.84375 0l0 2.4375l-0.921875 0l0 -6.796875l1.90625 0q0.5 0 0.96875 0.109375q0.46875 0.109375 0.8125 0.359375q0.359375 0.25 0.5625 0.65625q0.203125 0.390625 0.203125 0.953125zm-0.953125 0.046875q0 -0.65625 -0.4375 -1.0q-0.421875 -0.359375 -1.203125 -0.359375l-0.9375 0l0 2.796875l0.859375 0q0.828125 0 1.265625 -0.359375q0.453125 -0.359375 0.453125 -1.078125zm2.7651367 5.734375q0.265625 0.015625 0.515625 -0.046875q0.25 -0.046875 0.4375 -0.171875q0.203125 -0.109375 0.3125 -0.28125q0.109375 -0.15625 0.109375 -0.375q0 -0.21875 -0.078125 -0.34375q-0.0625 -0.140625 -0.15625 -0.25q-0.09375 -0.125 -0.171875 -0.25q-0.078125 -0.125 -0.078125 -0.34375q0 -0.109375 0.03125 -0.234375q0.046875 -0.125 0.125 -0.21875q0.09375 -0.09375 0.21875 -0.15625q0.140625 -0.0625 0.328125 -0.0625q0.171875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.21875q0.125 0.15625 0.203125 0.390625q0.078125 0.234375 0.078125 0.5625q0 0.421875 -0.15625 0.8125q-0.15625 0.40625 -0.484375 0.71875q-0.3125 0.3125 -0.78125 0.484375q-0.46875 0.1875 -1.09375 0.1875l0 -0.71875z" fill-rule="nonzero"/><path fill="#000000" d="m500.38617 442.72437l-1.578125 0l0 -0.78125l4.078125 0l0 0.78125l-1.578125 0l0 5.21875l1.578125 0l0 0.796875l-4.078125 0l0 -0.796875l1.578125 0l0 -5.21875zm8.640137 6.015625l-1.21875 0l-1.96875 -4.234375l-0.578125 -1.375l0 3.4375l0 2.171875l-0.859375 0l0 -6.796875l1.1875 0l1.890625 4.015625l0.671875 1.546875l0 -3.640625l0 -1.921875l0.875 0l0 6.796875zm6.4525757 -6.796875l-2.3125 6.796875l-1.25 0l-2.265625 -6.796875l1.046875 0l1.46875 4.578125l0.421875 1.34375l0.421875 -1.34375l1.46875 -4.578125l1.0 0zm4.9213867 6.796875l-3.859375 0l0 -6.796875l3.859375 0l0 0.78125l-2.9375 0l0 2.109375l2.828125 0l0 0.78125l-2.828125 0l0 2.328125l2.9375 0l0 0.796875zm6.4213257 0l-1.046875 0l-1.015625 -2.171875q-0.109375 -0.25 -0.234375 -0.40625q-0.125 -0.171875 -0.265625 -0.265625q-0.125 -0.09375 -0.296875 -0.140625q-0.15625 -0.046875 -0.359375 -0.046875l-0.4375 0l0 3.03125l-0.921875 0l0 -6.796875l1.8125 0q0.59375 0 1.015625 0.125q0.4375 0.125 0.703125 0.359375q0.28125 0.234375 0.40625 0.578125q0.125 0.328125 0.125 0.71875q0 0.328125 -0.09375 0.609375q-0.09375 0.28125 -0.28125 0.515625q-0.1875 0.234375 -0.46875 0.40625q-0.265625 0.15625 -0.609375 0.25q0.28125 0.09375 0.484375 0.34375q0.203125 0.234375 0.40625 0.65625l1.078125 2.234375zm-1.484375 -4.9375q0 -0.546875 -0.34375 -0.8125q-0.34375 -0.265625 -0.953125 -0.265625l-0.875 0l0 2.25l0.75 0q0.328125 0 0.578125 -0.078125q0.265625 -0.078125 0.453125 -0.21875q0.1875 -0.15625 0.28125 -0.375q0.109375 -0.21875 0.109375 -0.5zm7.2807617 -1.078125l-2.015625 0l0 6.015625l-0.921875 0l0 -6.015625l-2.015625 0l0 -0.78125l4.953125 0l0 0.78125zm1.6869507 7.078125q0.265625 0.015625 0.515625 -0.046875q0.25 -0.046875 0.4375 -0.171875q0.203125 -0.109375 0.3125 -0.28125q0.109375 -0.15625 0.109375 -0.375q0 -0.21875 -0.078125 -0.34375q-0.0625 -0.140625 -0.15625 -0.25q-0.09375 -0.125 -0.171875 -0.25q-0.078125 -0.125 -0.078125 -0.34375q0 -0.109375 0.03125 -0.234375q0.046875 -0.125 0.125 -0.21875q0.09375 -0.09375 0.21875 -0.15625q0.140625 -0.0625 0.328125 -0.0625q0.171875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.21875q0.125 0.15625 0.203125 0.390625q0.078125 0.234375 0.078125 0.5625q0 0.421875 -0.15625 0.8125q-0.15625 0.40625 -0.484375 0.71875q-0.3125 0.3125 -0.78125 0.484375q-0.46875 0.1875 -1.09375 0.1875l0 -0.71875zm15.967712 -4.53125q0 0.484375 -0.078125 0.9375q-0.078125 0.4375 -0.25 0.828125q-0.15625 0.375 -0.421875 0.703125q-0.265625 0.3125 -0.65625 0.53125q-0.390625 0.21875 -0.90625 0.34375q-0.5 0.125 -1.140625 0.125l-1.46875 0l0 -6.796875l1.765625 0q1.578125 0 2.359375 0.828125q0.796875 0.8125 0.796875 2.5zm-0.984375 0.0625q0 -0.71875 -0.140625 -1.21875q-0.125 -0.5 -0.40625 -0.8125q-0.265625 -0.3125 -0.6875 -0.4375q-0.421875 -0.140625 -0.96875 -0.140625l-0.796875 0l0 5.21875l0.6875 0q2.3125 0 2.3125 -2.609375zm6.8276367 3.40625l-1.046875 0l-1.015625 -2.171875q-0.109375 -0.25 -0.234375 -0.40625q-0.125 -0.171875 -0.265625 -0.265625q-0.125 -0.09375 -0.296875 -0.140625q-0.15625 -0.046875 -0.359375 -0.046875l-0.4375 0l0 3.03125l-0.921875 0l0 -6.796875l1.8125 0q0.59375 0 1.015625 0.125q0.4375 0.125 0.703125 0.359375q0.28125 0.234375 0.40625 0.578125q0.125 0.328125 0.125 0.71875q0 0.328125 -0.09375 0.609375q-0.09375 0.28125 -0.28125 0.515625q-0.1875 0.234375 -0.46875 0.40625q-0.265625 0.15625 -0.609375 0.25q0.28125 0.09375 0.484375 0.34375q0.203125 0.234375 0.40625 0.65625l1.078125 2.234375zm-1.484375 -4.9375q0 -0.546875 -0.34375 -0.8125q-0.34375 -0.265625 -0.953125 -0.265625l-0.875 0l0 2.25l0.75 0q0.328125 0 0.578125 -0.078125q0.265625 -0.078125 0.453125 -0.21875q0.1875 -0.15625 0.28125 -0.375q0.109375 -0.21875 0.109375 -0.5zm4.3432007 -1.078125l-1.578125 0l0 -0.78125l4.078125 0l0 0.78125l-1.578125 0l0 5.21875l1.578125 0l0 0.796875l-4.078125 0l0 -0.796875l1.578125 0l0 -5.21875zm9.233887 -0.78125l-2.3125 6.796875l-1.25 0l-2.265625 -6.796875l1.046875 0l1.46875 4.578125l0.421875 1.34375l0.421875 -1.34375l1.46875 -4.578125l1.0 0zm4.9213257 6.796875l-3.859375 0l0 -6.796875l3.859375 0l0 0.78125l-2.9375 0l0 2.109375l2.828125 0l0 0.78125l-2.828125 0l0 2.328125l2.9375 0l0 0.796875z" fill-rule="nonzero"/></g></svg>
\ No newline at end of file
diff --git a/hw/ip/padctrl/doc/reg_padctrl.py b/hw/ip/padctrl/doc/reg_padctrl.py
new file mode 100755
index 0000000..f13f070
--- /dev/null
+++ b/hw/ip/padctrl/doc/reg_padctrl.py
@@ -0,0 +1,52 @@
+#!/usr/bin/env python3
+# Copyright lowRISC contributors.
+# Licensed under the Apache License, Version 2.0, see LICENSE for details.
+# SPDX-License-Identifier: Apache-2.0
+r"""Convert mako template to hjson register description
+"""
+import argparse
+import sys
+from io import StringIO
+
+from mako.template import Template
+
+
+def main():
+    parser = argparse.ArgumentParser(prog="reg_padctrl")
+    parser.add_argument('input',
+                        nargs='?',
+                        metavar='file',
+                        type=argparse.FileType('r'),
+                        default=sys.stdin,
+                        help='input template file')
+    parser.add_argument('--n_dio_pads',
+                        type=int,
+                        help='Number of dedicated IO pads',
+                        default = 4)
+    parser.add_argument('--n_mio_pads',
+                        type=int,
+                        help='Number of muxed IO pads',
+                        default = 16)
+    parser.add_argument('--attr_dw',
+                        type=int,
+                        help='Pad attribute data width',
+                        default = 6)
+
+    args = parser.parse_args()
+
+    # Determine output: if stdin then stdout if not then ??
+    out = StringIO()
+
+    reg_tpl = Template(args.input.read())
+    out.write(
+        reg_tpl.render(n_dio_pads=args.n_dio_pads,
+                       n_mio_pads=args.n_mio_pads,
+                       attr_dw=args.attr_dw))
+
+    print(out.getvalue())
+
+    out.close()
+
+
+if __name__ == "__main__":
+    main()
diff --git a/hw/ip/padctrl/dv/env/padctrl_reg_block.sv b/hw/ip/padctrl/dv/env/padctrl_reg_block.sv
new file mode 100644
index 0000000..172df2c
--- /dev/null
+++ b/hw/ip/padctrl/dv/env/padctrl_reg_block.sv
@@ -0,0 +1,465 @@
+// Copyright lowRISC contributors.
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+//
+// UVM Registers auto-generated by `reggen` containing data structure
+// Do Not Edit directly
+
+// Forward declare all register/memory/block classes
+typedef class padctrl_reg_regen;
+typedef class padctrl_reg_dio_pads;
+typedef class padctrl_reg_mio_pads0;
+typedef class padctrl_reg_mio_pads1;
+typedef class padctrl_reg_mio_pads2;
+typedef class padctrl_reg_mio_pads3;
+typedef class padctrl_reg_block;
+
+// Block: padctrl
+// Class: padctrl_reg_regen
+class padctrl_reg_regen extends dv_base_reg;
+  // fields
+  rand dv_base_reg_field regen;
+
+  `uvm_object_utils(padctrl_reg_regen)
+
+  function new(string       name = "padctrl_reg_regen",
+               int unsigned n_bits = 32,
+               int          has_coverage = UVM_NO_COVERAGE);
+    super.new(name, n_bits, has_coverage);
+  endfunction : new
+
+  virtual function void build();
+    // create fields
+    regen = dv_base_reg_field::type_id::create("regen");
+    regen.configure(
+      .parent(this),
+      .size(1),
+      .lsb_pos(0),
+      .access("W0C"),
+      .volatile(1),
+      .reset(1),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+  endfunction : build
+
+endclass : padctrl_reg_regen
+
+// Class: padctrl_reg_dio_pads
+class padctrl_reg_dio_pads extends dv_base_reg;
+  // fields
+  rand dv_base_reg_field attr0;
+  rand dv_base_reg_field attr1;
+  rand dv_base_reg_field attr2;
+  rand dv_base_reg_field attr3;
+
+  `uvm_object_utils(padctrl_reg_dio_pads)
+
+  function new(string       name = "padctrl_reg_dio_pads",
+               int unsigned n_bits = 32,
+               int          has_coverage = UVM_NO_COVERAGE);
+    super.new(name, n_bits, has_coverage);
+  endfunction : new
+
+  virtual function void build();
+    // create fields
+    attr0 = dv_base_reg_field::type_id::create("attr0");
+    attr0.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(0),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr1 = dv_base_reg_field::type_id::create("attr1");
+    attr1.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(6),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr2 = dv_base_reg_field::type_id::create("attr2");
+    attr2.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(12),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr3 = dv_base_reg_field::type_id::create("attr3");
+    attr3.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(18),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+  endfunction : build
+
+endclass : padctrl_reg_dio_pads
+
+// Class: padctrl_reg_mio_pads0
+class padctrl_reg_mio_pads0 extends dv_base_reg;
+  // fields
+  rand dv_base_reg_field attr0;
+  rand dv_base_reg_field attr1;
+  rand dv_base_reg_field attr2;
+  rand dv_base_reg_field attr3;
+  rand dv_base_reg_field attr4;
+
+  `uvm_object_utils(padctrl_reg_mio_pads0)
+
+  function new(string       name = "padctrl_reg_mio_pads0",
+               int unsigned n_bits = 32,
+               int          has_coverage = UVM_NO_COVERAGE);
+    super.new(name, n_bits, has_coverage);
+  endfunction : new
+
+  virtual function void build();
+    // create fields
+    attr0 = dv_base_reg_field::type_id::create("attr0");
+    attr0.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(0),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr1 = dv_base_reg_field::type_id::create("attr1");
+    attr1.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(6),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr2 = dv_base_reg_field::type_id::create("attr2");
+    attr2.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(12),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr3 = dv_base_reg_field::type_id::create("attr3");
+    attr3.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(18),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr4 = dv_base_reg_field::type_id::create("attr4");
+    attr4.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(24),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+  endfunction : build
+
+endclass : padctrl_reg_mio_pads0
+
+// Class: padctrl_reg_mio_pads1
+class padctrl_reg_mio_pads1 extends dv_base_reg;
+  // fields
+  rand dv_base_reg_field attr5;
+  rand dv_base_reg_field attr6;
+  rand dv_base_reg_field attr7;
+  rand dv_base_reg_field attr8;
+  rand dv_base_reg_field attr9;
+
+  `uvm_object_utils(padctrl_reg_mio_pads1)
+
+  function new(string       name = "padctrl_reg_mio_pads1",
+               int unsigned n_bits = 32,
+               int          has_coverage = UVM_NO_COVERAGE);
+    super.new(name, n_bits, has_coverage);
+  endfunction : new
+
+  virtual function void build();
+    // create fields
+    attr5 = dv_base_reg_field::type_id::create("attr5");
+    attr5.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(0),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr6 = dv_base_reg_field::type_id::create("attr6");
+    attr6.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(6),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr7 = dv_base_reg_field::type_id::create("attr7");
+    attr7.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(12),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr8 = dv_base_reg_field::type_id::create("attr8");
+    attr8.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(18),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr9 = dv_base_reg_field::type_id::create("attr9");
+    attr9.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(24),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+  endfunction : build
+
+endclass : padctrl_reg_mio_pads1
+
+// Class: padctrl_reg_mio_pads2
+class padctrl_reg_mio_pads2 extends dv_base_reg;
+  // fields
+  rand dv_base_reg_field attr10;
+  rand dv_base_reg_field attr11;
+  rand dv_base_reg_field attr12;
+  rand dv_base_reg_field attr13;
+  rand dv_base_reg_field attr14;
+
+  `uvm_object_utils(padctrl_reg_mio_pads2)
+
+  function new(string       name = "padctrl_reg_mio_pads2",
+               int unsigned n_bits = 32,
+               int          has_coverage = UVM_NO_COVERAGE);
+    super.new(name, n_bits, has_coverage);
+  endfunction : new
+
+  virtual function void build();
+    // create fields
+    attr10 = dv_base_reg_field::type_id::create("attr10");
+    attr10.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(0),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr11 = dv_base_reg_field::type_id::create("attr11");
+    attr11.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(6),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr12 = dv_base_reg_field::type_id::create("attr12");
+    attr12.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(12),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr13 = dv_base_reg_field::type_id::create("attr13");
+    attr13.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(18),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr14 = dv_base_reg_field::type_id::create("attr14");
+    attr14.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(24),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+  endfunction : build
+
+endclass : padctrl_reg_mio_pads2
+
+// Class: padctrl_reg_mio_pads3
+class padctrl_reg_mio_pads3 extends dv_base_reg;
+  // fields
+  rand dv_base_reg_field attr15;
+  rand dv_base_reg_field attr16;
+  rand dv_base_reg_field attr17;
+
+  `uvm_object_utils(padctrl_reg_mio_pads3)
+
+  function new(string       name = "padctrl_reg_mio_pads3",
+               int unsigned n_bits = 32,
+               int          has_coverage = UVM_NO_COVERAGE);
+    super.new(name, n_bits, has_coverage);
+  endfunction : new
+
+  virtual function void build();
+    // create fields
+    attr15 = dv_base_reg_field::type_id::create("attr15");
+    attr15.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(0),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr16 = dv_base_reg_field::type_id::create("attr16");
+    attr16.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(6),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+    attr17 = dv_base_reg_field::type_id::create("attr17");
+    attr17.configure(
+      .parent(this),
+      .size(6),
+      .lsb_pos(12),
+      .access("RW"),
+      .volatile(0),
+      .reset(0),
+      .has_reset(1),
+      .is_rand(1),
+      .individually_accessible(1));
+  endfunction : build
+
+endclass : padctrl_reg_mio_pads3
+
+// Class: padctrl_reg_block
+class padctrl_reg_block extends dv_base_reg_block;
+  // registers
+  rand padctrl_reg_regen regen;
+  rand padctrl_reg_dio_pads dio_pads;
+  rand padctrl_reg_mio_pads0 mio_pads0;
+  rand padctrl_reg_mio_pads1 mio_pads1;
+  rand padctrl_reg_mio_pads2 mio_pads2;
+  rand padctrl_reg_mio_pads3 mio_pads3;
+
+  `uvm_object_utils(padctrl_reg_block)
+
+  function new(string name = "padctrl_reg_block",
+               int    has_coverage = UVM_NO_COVERAGE);
+    super.new(name, has_coverage);
+  endfunction : new
+
+  virtual function void build(uvm_reg_addr_t base_addr);
+    // create default map
+    this.default_map = create_map(.name("default_map"),
+                                  .base_addr(base_addr),
+                                  .n_bytes(4),
+                                  .endian(UVM_LITTLE_ENDIAN));
+
+    // create registers
+    regen = padctrl_reg_regen::type_id::create("regen");
+    regen.configure(.blk_parent(this));
+    regen.build();
+    default_map.add_reg(.rg(regen),
+                        .offset(32'h0),
+                        .rights("RW"));
+    dio_pads = padctrl_reg_dio_pads::type_id::create("dio_pads");
+    dio_pads.configure(.blk_parent(this));
+    dio_pads.build();
+    default_map.add_reg(.rg(dio_pads),
+                        .offset(32'h4),
+                        .rights("RW"));
+    mio_pads0 = padctrl_reg_mio_pads0::type_id::create("mio_pads0");
+    mio_pads0.configure(.blk_parent(this));
+    mio_pads0.build();
+    default_map.add_reg(.rg(mio_pads0),
+                        .offset(32'h8),
+                        .rights("RW"));
+    mio_pads1 = padctrl_reg_mio_pads1::type_id::create("mio_pads1");
+    mio_pads1.configure(.blk_parent(this));
+    mio_pads1.build();
+    default_map.add_reg(.rg(mio_pads1),
+                        .offset(32'hc),
+                        .rights("RW"));
+    mio_pads2 = padctrl_reg_mio_pads2::type_id::create("mio_pads2");
+    mio_pads2.configure(.blk_parent(this));
+    mio_pads2.build();
+    default_map.add_reg(.rg(mio_pads2),
+                        .offset(32'h10),
+                        .rights("RW"));
+    mio_pads3 = padctrl_reg_mio_pads3::type_id::create("mio_pads3");
+    mio_pads3.configure(.blk_parent(this));
+    mio_pads3.build();
+    default_map.add_reg(.rg(mio_pads3),
+                        .offset(32'h14),
+                        .rights("RW"));
+  endfunction : build
+
+endclass : padctrl_reg_block
diff --git a/hw/ip/padctrl/padctrl.core b/hw/ip/padctrl/padctrl.core
new file mode 100644
index 0000000..ef7f112
--- /dev/null
+++ b/hw/ip/padctrl/padctrl.core
@@ -0,0 +1,27 @@
+CAPI=2:
+# Copyright lowRISC contributors.
+# Licensed under the Apache License, Version 2.0, see LICENSE for details.
+# SPDX-License-Identifier: Apache-2.0
+name: "lowrisc:ip:padctrl:0.1"
+description: "Pad Control IP"
+
+filesets:
+  files_rtl:
+    depend:
+      - lowrisc:ip:tlul
+      - lowrisc:prim:all
+    files:
+      - rtl/padctrl_reg_pkg.sv
+      - rtl/padctrl_reg_top.sv
+      - rtl/padring.sv
+      - rtl/padctrl.sv
+    file_type: systemVerilogSource
+
+
+targets:
+  default: &default_target
+    filesets:
+      - files_rtl
+    toplevel: padctrl padring
+
+
diff --git a/hw/ip/padctrl/rtl/padctrl.sv b/hw/ip/padctrl/rtl/padctrl.sv
new file mode 100644
index 0000000..f7e9451
--- /dev/null
+++ b/hw/ip/padctrl/rtl/padctrl.sv
@@ -0,0 +1,50 @@
+// Copyright lowRISC contributors.
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+//
+// This it the padctrl portion that has to be placed into the toplevel.
+// It basically just wraps the regfile and outputs the configuration bits
+// to be consumed on the chiplevel.
+//
+
+module padctrl (
+  input                                       clk_i,
+  input                                       rst_ni,
+  // Bus Interface (device)
+  input  tlul_pkg::tl_h2d_t                   tl_i,
+  output tlul_pkg::tl_d2h_t                   tl_o,
+  // pad attributes to chip level instance
+  output logic[padctrl_reg_pkg::NMioPads-1:0]
+              [padctrl_reg_pkg::AttrDw-1:0]   mio_attr_o,
+  output logic[padctrl_reg_pkg::NDioPads-1:0]
+              [padctrl_reg_pkg::AttrDw-1:0]   dio_attr_o
+);
+
+  //////////////////////////////////////////////////////
+  // Regfile
+  //////////////////////////////////////////////////////
+
+  padctrl_reg_pkg::padctrl_reg2hw_t reg2hw;
+
+  padctrl_reg_top i_reg_top (
+    .clk_i  ,
+    .rst_ni ,
+    .tl_i   ,
+    .tl_o   ,
+    .reg2hw ,
+    .devmode_i(1'b1)
+  );
+
+  //////////////////////////////////////////////////////
+  // Connect attributes
+  //////////////////////////////////////////////////////
+
+  for (genvar k = 0; k < padctrl_reg_pkg::NMioPads; k++) begin : gen_mio_attr
+    assign mio_attr_o[k] = reg2hw.mio_pads[k];
+  end
+
+  for (genvar k = 0; k < padctrl_reg_pkg::NDioPads; k++) begin : gen_dio_attr
+    assign dio_attr_o[k] = reg2hw.dio_pads[k];
+  end
+
+endmodule : padctrl
diff --git a/hw/ip/padctrl/rtl/padctrl_reg_pkg.sv b/hw/ip/padctrl/rtl/padctrl_reg_pkg.sv
new file mode 100644
index 0000000..bc14ebc
--- /dev/null
+++ b/hw/ip/padctrl/rtl/padctrl_reg_pkg.sv
@@ -0,0 +1,69 @@
+// Copyright lowRISC contributors.
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+//
+// Register Package auto-generated by `reggen` containing data structure
+
+package padctrl_reg_pkg;
+
+  // Param list
+  localparam int NDioPads = 4;
+  localparam int NMioPads = 18;
+  localparam int AttrDw = 6;
+
+/////////////////////////////////////////////////////////////////////
+// Typedefs for multiregs
+/////////////////////////////////////////////////////////////////////
+
+typedef struct packed {
+  logic [5:0] q;
+} padctrl_reg2hw_dio_pads_mreg_t;
+typedef struct packed {
+  logic [5:0] q;
+} padctrl_reg2hw_mio_pads_mreg_t;
+
+
+/////////////////////////////////////////////////////////////////////
+// Register to internal design logic
+/////////////////////////////////////////////////////////////////////
+
+typedef struct packed {
+  padctrl_reg2hw_dio_pads_mreg_t [3:0] dio_pads; // [131:108]
+  padctrl_reg2hw_mio_pads_mreg_t [17:0] mio_pads; // [107:0]
+} padctrl_reg2hw_t;
+
+/////////////////////////////////////////////////////////////////////
+// Internal design logic to register
+/////////////////////////////////////////////////////////////////////
+
+
+  // Register Address
+  parameter PADCTRL_REGEN_OFFSET = 5'h 0;
+  parameter PADCTRL_DIO_PADS_OFFSET = 5'h 4;
+  parameter PADCTRL_MIO_PADS0_OFFSET = 5'h 8;
+  parameter PADCTRL_MIO_PADS1_OFFSET = 5'h c;
+  parameter PADCTRL_MIO_PADS2_OFFSET = 5'h 10;
+  parameter PADCTRL_MIO_PADS3_OFFSET = 5'h 14;
+
+
+  // Register Index
+  typedef enum int {
+    PADCTRL_REGEN,
+    PADCTRL_DIO_PADS,
+    PADCTRL_MIO_PADS0,
+    PADCTRL_MIO_PADS1,
+    PADCTRL_MIO_PADS2,
+    PADCTRL_MIO_PADS3
+  } padctrl_id_e;
+
+  // Register width information to check illegal writes
+  localparam logic [3:0] PADCTRL_PERMIT [6] = '{
+    4'b 0001, // index[0] PADCTRL_REGEN
+    4'b 1111, // index[1] PADCTRL_DIO_PADS
+    4'b 1111, // index[2] PADCTRL_MIO_PADS0
+    4'b 1111, // index[3] PADCTRL_MIO_PADS1
+    4'b 1111, // index[4] PADCTRL_MIO_PADS2
+    4'b 1111  // index[5] PADCTRL_MIO_PADS3
+  };
+endpackage
+
diff --git a/hw/ip/padctrl/rtl/padctrl_reg_top.sv b/hw/ip/padctrl/rtl/padctrl_reg_top.sv
new file mode 100644
index 0000000..d6b00cf
--- /dev/null
+++ b/hw/ip/padctrl/rtl/padctrl_reg_top.sv
@@ -0,0 +1,916 @@
+// Copyright lowRISC contributors.
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+//
+// Register Top module auto-generated by `reggen`
+
+module padctrl_reg_top (
+  input clk_i,
+  input rst_ni,
+
+  // Below Regster interface can be changed
+  input  tlul_pkg::tl_h2d_t tl_i,
+  output tlul_pkg::tl_d2h_t tl_o,
+  // To HW
+  output padctrl_reg_pkg::padctrl_reg2hw_t reg2hw, // Write
+
+  // Config
+  input devmode_i // If 1, explicit error return for unmapped register access
+);
+
+  import padctrl_reg_pkg::* ;
+
+  localparam AW = 5;
+  localparam DW = 32;
+  localparam DBW = DW/8;                    // Byte Width
+
+  // register signals
+  logic           reg_we;
+  logic           reg_re;
+  logic [AW-1:0]  reg_addr;
+  logic [DW-1:0]  reg_wdata;
+  logic [DBW-1:0] reg_be;
+  logic [DW-1:0]  reg_rdata;
+  logic           reg_error;
+
+  logic          addrmiss, wr_err;
+
+  logic [DW-1:0] reg_rdata_next;
+
+  tlul_pkg::tl_h2d_t tl_reg_h2d;
+  tlul_pkg::tl_d2h_t tl_reg_d2h;
+
+  assign tl_reg_h2d = tl_i;
+  assign tl_o       = tl_reg_d2h;
+
+  tlul_adapter_reg #(
+    .RegAw(AW),
+    .RegDw(DW)
+  ) u_reg_if (
+    .clk_i,
+    .rst_ni,
+
+    .tl_i (tl_reg_h2d),
+    .tl_o (tl_reg_d2h),
+
+    .we_o    (reg_we),
+    .re_o    (reg_re),
+    .addr_o  (reg_addr),
+    .wdata_o (reg_wdata),
+    .be_o    (reg_be),
+    .rdata_i (reg_rdata),
+    .error_i (reg_error)
+  );
+
+  assign reg_rdata = reg_rdata_next ;
+  assign reg_error = (devmode_i & addrmiss) | wr_err ;
+
+  // Define SW related signals
+  // Format: <reg>_<field>_{wd|we|qs}
+  //        or <reg>_{wd|we|qs} if field == 1 or 0
+  logic regen_qs;
+  logic regen_wd;
+  logic regen_we;
+  logic [5:0] dio_pads_attr0_qs;
+  logic [5:0] dio_pads_attr0_wd;
+  logic dio_pads_attr0_we;
+  logic [5:0] dio_pads_attr1_qs;
+  logic [5:0] dio_pads_attr1_wd;
+  logic dio_pads_attr1_we;
+  logic [5:0] dio_pads_attr2_qs;
+  logic [5:0] dio_pads_attr2_wd;
+  logic dio_pads_attr2_we;
+  logic [5:0] dio_pads_attr3_qs;
+  logic [5:0] dio_pads_attr3_wd;
+  logic dio_pads_attr3_we;
+  logic [5:0] mio_pads0_attr0_qs;
+  logic [5:0] mio_pads0_attr0_wd;
+  logic mio_pads0_attr0_we;
+  logic [5:0] mio_pads0_attr1_qs;
+  logic [5:0] mio_pads0_attr1_wd;
+  logic mio_pads0_attr1_we;
+  logic [5:0] mio_pads0_attr2_qs;
+  logic [5:0] mio_pads0_attr2_wd;
+  logic mio_pads0_attr2_we;
+  logic [5:0] mio_pads0_attr3_qs;
+  logic [5:0] mio_pads0_attr3_wd;
+  logic mio_pads0_attr3_we;
+  logic [5:0] mio_pads0_attr4_qs;
+  logic [5:0] mio_pads0_attr4_wd;
+  logic mio_pads0_attr4_we;
+  logic [5:0] mio_pads1_attr5_qs;
+  logic [5:0] mio_pads1_attr5_wd;
+  logic mio_pads1_attr5_we;
+  logic [5:0] mio_pads1_attr6_qs;
+  logic [5:0] mio_pads1_attr6_wd;
+  logic mio_pads1_attr6_we;
+  logic [5:0] mio_pads1_attr7_qs;
+  logic [5:0] mio_pads1_attr7_wd;
+  logic mio_pads1_attr7_we;
+  logic [5:0] mio_pads1_attr8_qs;
+  logic [5:0] mio_pads1_attr8_wd;
+  logic mio_pads1_attr8_we;
+  logic [5:0] mio_pads1_attr9_qs;
+  logic [5:0] mio_pads1_attr9_wd;
+  logic mio_pads1_attr9_we;
+  logic [5:0] mio_pads2_attr10_qs;
+  logic [5:0] mio_pads2_attr10_wd;
+  logic mio_pads2_attr10_we;
+  logic [5:0] mio_pads2_attr11_qs;
+  logic [5:0] mio_pads2_attr11_wd;
+  logic mio_pads2_attr11_we;
+  logic [5:0] mio_pads2_attr12_qs;
+  logic [5:0] mio_pads2_attr12_wd;
+  logic mio_pads2_attr12_we;
+  logic [5:0] mio_pads2_attr13_qs;
+  logic [5:0] mio_pads2_attr13_wd;
+  logic mio_pads2_attr13_we;
+  logic [5:0] mio_pads2_attr14_qs;
+  logic [5:0] mio_pads2_attr14_wd;
+  logic mio_pads2_attr14_we;
+  logic [5:0] mio_pads3_attr15_qs;
+  logic [5:0] mio_pads3_attr15_wd;
+  logic mio_pads3_attr15_we;
+  logic [5:0] mio_pads3_attr16_qs;
+  logic [5:0] mio_pads3_attr16_wd;
+  logic mio_pads3_attr16_we;
+  logic [5:0] mio_pads3_attr17_qs;
+  logic [5:0] mio_pads3_attr17_wd;
+  logic mio_pads3_attr17_we;
+
+  // Register instances
+  // R[regen]: V(False)
+
+  prim_subreg #(
+    .DW      (1),
+    .SWACCESS("W0C"),
+    .RESVAL  (1'h1)
+  ) u_regen (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface
+    .we     (regen_we),
+    .wd     (regen_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (),
+
+    // to register interface (read)
+    .qs     (regen_qs)
+  );
+
+
+
+  // Subregister 0 of Multireg dio_pads
+  // R[dio_pads]: V(False)
+
+  // F[attr0]: 5:0
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_dio_pads_attr0 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (dio_pads_attr0_we & regen_qs),
+    .wd     (dio_pads_attr0_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.dio_pads[0].q ),
+
+    // to register interface (read)
+    .qs     (dio_pads_attr0_qs)
+  );
+
+
+  // F[attr1]: 11:6
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_dio_pads_attr1 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (dio_pads_attr1_we & regen_qs),
+    .wd     (dio_pads_attr1_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.dio_pads[1].q ),
+
+    // to register interface (read)
+    .qs     (dio_pads_attr1_qs)
+  );
+
+
+  // F[attr2]: 17:12
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_dio_pads_attr2 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (dio_pads_attr2_we & regen_qs),
+    .wd     (dio_pads_attr2_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.dio_pads[2].q ),
+
+    // to register interface (read)
+    .qs     (dio_pads_attr2_qs)
+  );
+
+
+  // F[attr3]: 23:18
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_dio_pads_attr3 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (dio_pads_attr3_we & regen_qs),
+    .wd     (dio_pads_attr3_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.dio_pads[3].q ),
+
+    // to register interface (read)
+    .qs     (dio_pads_attr3_qs)
+  );
+
+
+
+
+  // Subregister 0 of Multireg mio_pads
+  // R[mio_pads0]: V(False)
+
+  // F[attr0]: 5:0
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads0_attr0 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads0_attr0_we & regen_qs),
+    .wd     (mio_pads0_attr0_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[0].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads0_attr0_qs)
+  );
+
+
+  // F[attr1]: 11:6
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads0_attr1 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads0_attr1_we & regen_qs),
+    .wd     (mio_pads0_attr1_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[1].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads0_attr1_qs)
+  );
+
+
+  // F[attr2]: 17:12
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads0_attr2 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads0_attr2_we & regen_qs),
+    .wd     (mio_pads0_attr2_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[2].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads0_attr2_qs)
+  );
+
+
+  // F[attr3]: 23:18
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads0_attr3 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads0_attr3_we & regen_qs),
+    .wd     (mio_pads0_attr3_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[3].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads0_attr3_qs)
+  );
+
+
+  // F[attr4]: 29:24
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads0_attr4 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads0_attr4_we & regen_qs),
+    .wd     (mio_pads0_attr4_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[4].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads0_attr4_qs)
+  );
+
+
+  // Subregister 5 of Multireg mio_pads
+  // R[mio_pads1]: V(False)
+
+  // F[attr5]: 5:0
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads1_attr5 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads1_attr5_we & regen_qs),
+    .wd     (mio_pads1_attr5_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[5].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads1_attr5_qs)
+  );
+
+
+  // F[attr6]: 11:6
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads1_attr6 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads1_attr6_we & regen_qs),
+    .wd     (mio_pads1_attr6_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[6].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads1_attr6_qs)
+  );
+
+
+  // F[attr7]: 17:12
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads1_attr7 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads1_attr7_we & regen_qs),
+    .wd     (mio_pads1_attr7_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[7].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads1_attr7_qs)
+  );
+
+
+  // F[attr8]: 23:18
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads1_attr8 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads1_attr8_we & regen_qs),
+    .wd     (mio_pads1_attr8_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[8].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads1_attr8_qs)
+  );
+
+
+  // F[attr9]: 29:24
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads1_attr9 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads1_attr9_we & regen_qs),
+    .wd     (mio_pads1_attr9_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[9].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads1_attr9_qs)
+  );
+
+
+  // Subregister 10 of Multireg mio_pads
+  // R[mio_pads2]: V(False)
+
+  // F[attr10]: 5:0
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads2_attr10 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads2_attr10_we & regen_qs),
+    .wd     (mio_pads2_attr10_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[10].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads2_attr10_qs)
+  );
+
+
+  // F[attr11]: 11:6
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads2_attr11 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads2_attr11_we & regen_qs),
+    .wd     (mio_pads2_attr11_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[11].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads2_attr11_qs)
+  );
+
+
+  // F[attr12]: 17:12
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads2_attr12 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads2_attr12_we & regen_qs),
+    .wd     (mio_pads2_attr12_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[12].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads2_attr12_qs)
+  );
+
+
+  // F[attr13]: 23:18
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads2_attr13 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads2_attr13_we & regen_qs),
+    .wd     (mio_pads2_attr13_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[13].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads2_attr13_qs)
+  );
+
+
+  // F[attr14]: 29:24
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads2_attr14 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads2_attr14_we & regen_qs),
+    .wd     (mio_pads2_attr14_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[14].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads2_attr14_qs)
+  );
+
+
+  // Subregister 15 of Multireg mio_pads
+  // R[mio_pads3]: V(False)
+
+  // F[attr15]: 5:0
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads3_attr15 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads3_attr15_we & regen_qs),
+    .wd     (mio_pads3_attr15_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[15].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads3_attr15_qs)
+  );
+
+
+  // F[attr16]: 11:6
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads3_attr16 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads3_attr16_we & regen_qs),
+    .wd     (mio_pads3_attr16_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[16].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads3_attr16_qs)
+  );
+
+
+  // F[attr17]: 17:12
+  prim_subreg #(
+    .DW      (6),
+    .SWACCESS("RW"),
+    .RESVAL  (6'h0)
+  ) u_mio_pads3_attr17 (
+    .clk_i   (clk_i    ),
+    .rst_ni  (rst_ni  ),
+
+    // from register interface (qualified with register enable)
+    .we     (mio_pads3_attr17_we & regen_qs),
+    .wd     (mio_pads3_attr17_wd),
+
+    // from internal hardware
+    .de     (1'b0),
+    .d      ('0  ),
+
+    // to internal hardware
+    .qe     (),
+    .q      (reg2hw.mio_pads[17].q ),
+
+    // to register interface (read)
+    .qs     (mio_pads3_attr17_qs)
+  );
+
+
+
+
+
+  logic [5:0] addr_hit;
+  always_comb begin
+    addr_hit = '0;
+    addr_hit[0] = (reg_addr == PADCTRL_REGEN_OFFSET);
+    addr_hit[1] = (reg_addr == PADCTRL_DIO_PADS_OFFSET);
+    addr_hit[2] = (reg_addr == PADCTRL_MIO_PADS0_OFFSET);
+    addr_hit[3] = (reg_addr == PADCTRL_MIO_PADS1_OFFSET);
+    addr_hit[4] = (reg_addr == PADCTRL_MIO_PADS2_OFFSET);
+    addr_hit[5] = (reg_addr == PADCTRL_MIO_PADS3_OFFSET);
+  end
+
+  assign addrmiss = (reg_re || reg_we) ? ~|addr_hit : 1'b0 ;
+
+  // Check sub-word write is permitted
+  always_comb begin
+    wr_err = 1'b0;
+    if (addr_hit[0] && reg_we && (PADCTRL_PERMIT[0] != (PADCTRL_PERMIT[0] & reg_be))) wr_err = 1'b1 ;
+    if (addr_hit[1] && reg_we && (PADCTRL_PERMIT[1] != (PADCTRL_PERMIT[1] & reg_be))) wr_err = 1'b1 ;
+    if (addr_hit[2] && reg_we && (PADCTRL_PERMIT[2] != (PADCTRL_PERMIT[2] & reg_be))) wr_err = 1'b1 ;
+    if (addr_hit[3] && reg_we && (PADCTRL_PERMIT[3] != (PADCTRL_PERMIT[3] & reg_be))) wr_err = 1'b1 ;
+    if (addr_hit[4] && reg_we && (PADCTRL_PERMIT[4] != (PADCTRL_PERMIT[4] & reg_be))) wr_err = 1'b1 ;
+    if (addr_hit[5] && reg_we && (PADCTRL_PERMIT[5] != (PADCTRL_PERMIT[5] & reg_be))) wr_err = 1'b1 ;
+  end
+
+  assign regen_we = addr_hit[0] & reg_we & ~wr_err;
+  assign regen_wd = reg_wdata[0];
+
+  assign dio_pads_attr0_we = addr_hit[1] & reg_we & ~wr_err;
+  assign dio_pads_attr0_wd = reg_wdata[5:0];
+
+  assign dio_pads_attr1_we = addr_hit[1] & reg_we & ~wr_err;
+  assign dio_pads_attr1_wd = reg_wdata[11:6];
+
+  assign dio_pads_attr2_we = addr_hit[1] & reg_we & ~wr_err;
+  assign dio_pads_attr2_wd = reg_wdata[17:12];
+
+  assign dio_pads_attr3_we = addr_hit[1] & reg_we & ~wr_err;
+  assign dio_pads_attr3_wd = reg_wdata[23:18];
+
+  assign mio_pads0_attr0_we = addr_hit[2] & reg_we & ~wr_err;
+  assign mio_pads0_attr0_wd = reg_wdata[5:0];
+
+  assign mio_pads0_attr1_we = addr_hit[2] & reg_we & ~wr_err;
+  assign mio_pads0_attr1_wd = reg_wdata[11:6];
+
+  assign mio_pads0_attr2_we = addr_hit[2] & reg_we & ~wr_err;
+  assign mio_pads0_attr2_wd = reg_wdata[17:12];
+
+  assign mio_pads0_attr3_we = addr_hit[2] & reg_we & ~wr_err;
+  assign mio_pads0_attr3_wd = reg_wdata[23:18];
+
+  assign mio_pads0_attr4_we = addr_hit[2] & reg_we & ~wr_err;
+  assign mio_pads0_attr4_wd = reg_wdata[29:24];
+
+  assign mio_pads1_attr5_we = addr_hit[3] & reg_we & ~wr_err;
+  assign mio_pads1_attr5_wd = reg_wdata[5:0];
+
+  assign mio_pads1_attr6_we = addr_hit[3] & reg_we & ~wr_err;
+  assign mio_pads1_attr6_wd = reg_wdata[11:6];
+
+  assign mio_pads1_attr7_we = addr_hit[3] & reg_we & ~wr_err;
+  assign mio_pads1_attr7_wd = reg_wdata[17:12];
+
+  assign mio_pads1_attr8_we = addr_hit[3] & reg_we & ~wr_err;
+  assign mio_pads1_attr8_wd = reg_wdata[23:18];
+
+  assign mio_pads1_attr9_we = addr_hit[3] & reg_we & ~wr_err;
+  assign mio_pads1_attr9_wd = reg_wdata[29:24];
+
+  assign mio_pads2_attr10_we = addr_hit[4] & reg_we & ~wr_err;
+  assign mio_pads2_attr10_wd = reg_wdata[5:0];
+
+  assign mio_pads2_attr11_we = addr_hit[4] & reg_we & ~wr_err;
+  assign mio_pads2_attr11_wd = reg_wdata[11:6];
+
+  assign mio_pads2_attr12_we = addr_hit[4] & reg_we & ~wr_err;
+  assign mio_pads2_attr12_wd = reg_wdata[17:12];
+
+  assign mio_pads2_attr13_we = addr_hit[4] & reg_we & ~wr_err;
+  assign mio_pads2_attr13_wd = reg_wdata[23:18];
+
+  assign mio_pads2_attr14_we = addr_hit[4] & reg_we & ~wr_err;
+  assign mio_pads2_attr14_wd = reg_wdata[29:24];
+
+  assign mio_pads3_attr15_we = addr_hit[5] & reg_we & ~wr_err;
+  assign mio_pads3_attr15_wd = reg_wdata[5:0];
+
+  assign mio_pads3_attr16_we = addr_hit[5] & reg_we & ~wr_err;
+  assign mio_pads3_attr16_wd = reg_wdata[11:6];
+
+  assign mio_pads3_attr17_we = addr_hit[5] & reg_we & ~wr_err;
+  assign mio_pads3_attr17_wd = reg_wdata[17:12];
+
+  // Read data return
+  always_comb begin
+    reg_rdata_next = '0;
+    unique case (1'b1)
+      addr_hit[0]: begin
+        reg_rdata_next[0] = regen_qs;
+      end
+
+      addr_hit[1]: begin
+        reg_rdata_next[5:0] = dio_pads_attr0_qs;
+        reg_rdata_next[11:6] = dio_pads_attr1_qs;
+        reg_rdata_next[17:12] = dio_pads_attr2_qs;
+        reg_rdata_next[23:18] = dio_pads_attr3_qs;
+      end
+
+      addr_hit[2]: begin
+        reg_rdata_next[5:0] = mio_pads0_attr0_qs;
+        reg_rdata_next[11:6] = mio_pads0_attr1_qs;
+        reg_rdata_next[17:12] = mio_pads0_attr2_qs;
+        reg_rdata_next[23:18] = mio_pads0_attr3_qs;
+        reg_rdata_next[29:24] = mio_pads0_attr4_qs;
+      end
+
+      addr_hit[3]: begin
+        reg_rdata_next[5:0] = mio_pads1_attr5_qs;
+        reg_rdata_next[11:6] = mio_pads1_attr6_qs;
+        reg_rdata_next[17:12] = mio_pads1_attr7_qs;
+        reg_rdata_next[23:18] = mio_pads1_attr8_qs;
+        reg_rdata_next[29:24] = mio_pads1_attr9_qs;
+      end
+
+      addr_hit[4]: begin
+        reg_rdata_next[5:0] = mio_pads2_attr10_qs;
+        reg_rdata_next[11:6] = mio_pads2_attr11_qs;
+        reg_rdata_next[17:12] = mio_pads2_attr12_qs;
+        reg_rdata_next[23:18] = mio_pads2_attr13_qs;
+        reg_rdata_next[29:24] = mio_pads2_attr14_qs;
+      end
+
+      addr_hit[5]: begin
+        reg_rdata_next[5:0] = mio_pads3_attr15_qs;
+        reg_rdata_next[11:6] = mio_pads3_attr16_qs;
+        reg_rdata_next[17:12] = mio_pads3_attr17_qs;
+      end
+
+      default: begin
+        reg_rdata_next = '1;
+      end
+    endcase
+  end
+
+  // Assertions for Register Interface
+  `ASSERT_PULSE(wePulse, reg_we, clk_i, !rst_ni)
+  `ASSERT_PULSE(rePulse, reg_re, clk_i, !rst_ni)
+
+  `ASSERT(reAfterRv, $rose(reg_re || reg_we) |=> tl_o.d_valid, clk_i, !rst_ni)
+
+  `ASSERT(en2addrHit, (reg_we || reg_re) |-> $onehot0(addr_hit), clk_i, !rst_ni)
+
+  `ASSERT(reqParity, tl_reg_h2d.a_valid |-> tl_reg_h2d.a_user.parity_en == 1'b0, clk_i, !rst_ni)
+
+endmodule
diff --git a/hw/ip/padctrl/rtl/padring.sv b/hw/ip/padctrl/rtl/padring.sv
new file mode 100644
index 0000000..212f2c2
--- /dev/null
+++ b/hw/ip/padctrl/rtl/padring.sv
@@ -0,0 +1,96 @@
+// Copyright lowRISC contributors.
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+//
+// This it the padctrl portion that has to be instantiated on the chip level.
+// The module instantiates the technology dependent pads, and connects them
+// to the MIOs/DIOs and pad attributes coming from the padctrl block.
+//
+module padring #(
+  parameter Impl = "generic" // this determines the pad implementation
+) (
+  // pad input
+  inout wire                                   clk_i,
+  inout wire                                   rst_ni,
+  // to clocking/reset infrastructure
+  output logic                                 clk_o,
+  output logic                                 rst_no,
+  // pads
+  inout wire   [padctrl_reg_pkg::NMioPads-1:0] mio_io,
+  inout wire   [padctrl_reg_pkg::NDioPads-1:0] dio_io,
+  // muxed IO signals coming from pinmux
+  input        [padctrl_reg_pkg::NMioPads-1:0] mio_out_i,
+  input        [padctrl_reg_pkg::NMioPads-1:0] mio_oe_i,
+  output logic [padctrl_reg_pkg::NMioPads-1:0] mio_in_o,
+  // dedicated IO signals coming from peripherals
+  input        [padctrl_reg_pkg::NDioPads-1:0] dio_out_i,
+  input        [padctrl_reg_pkg::NDioPads-1:0] dio_oe_i,
+  output logic [padctrl_reg_pkg::NDioPads-1:0] dio_in_o,
+  // pad attributes from top level instance
+  input        [padctrl_reg_pkg::NMioPads-1:0]
+               [padctrl_reg_pkg::AttrDw-1:0]   mio_attr_i,
+  input        [padctrl_reg_pkg::NDioPads-1:0]
+               [padctrl_reg_pkg::AttrDw-1:0]   dio_attr_i
+);
+
+  //////////////////////////////////////////////////////
+  // Infrastructure
+  //////////////////////////////////////////////////////
+
+  prim_pad_wrapper #(
+    .Impl(Impl),
+    .AttrDw(padctrl_reg_pkg::AttrDw)
+  ) i_clk_pad (
+    .inout_io ( clk_i ),
+    .in_o     ( clk_o ),
+    .out_i    ( 1'b0  ),
+    .oe_i     ( 1'b0  ),
+    .attr_i   (   '0  )
+  );
+
+  prim_pad_wrapper #(
+    .Impl(Impl),
+    .AttrDw(padctrl_reg_pkg::AttrDw)
+  ) i_rst_pad (
+    .inout_io ( rst_ni ),
+    .in_o     ( rst_no ),
+    .out_i    ( 1'b0  ),
+    .oe_i     ( 1'b0  ),
+    .attr_i   (   '0  )
+  );
+
+  //////////////////////////////////////////////////////
+  // MIO Pads
+  //////////////////////////////////////////////////////
+
+  for (genvar k = 0; k < padctrl_reg_pkg::NMioPads; k++) begin : gen_mio_pads
+    prim_pad_wrapper #(
+      .Impl(Impl),
+      .AttrDw(padctrl_reg_pkg::AttrDw)
+    ) i_mio_pad (
+      .inout_io ( mio_io[k]     ),
+      .in_o     ( mio_in_o[k]   ),
+      .out_i    ( mio_out_i[k]  ),
+      .oe_i     ( mio_oe_i[k]   ),
+      .attr_i   ( mio_attr_i[k] )
+    );
+  end
+
+  //////////////////////////////////////////////////////
+  // DIO Pads
+  //////////////////////////////////////////////////////
+
+  for (genvar k = 0; k < padctrl_reg_pkg::NDioPads; k++) begin : gen_dio_pads
+    prim_pad_wrapper #(
+      .Impl(Impl),
+      .AttrDw(padctrl_reg_pkg::AttrDw)
+    ) i_dio_pad (
+      .inout_io ( dio_io[k]     ),
+      .in_o     ( dio_in_o[k]   ),
+      .out_i    ( dio_out_i[k]  ),
+      .oe_i     ( dio_oe_i[k]   ),
+      .attr_i   ( dio_attr_i[k] )
+    );
+  end
+
+endmodule : padring
diff --git a/hw/ip/padctrl/sw/padctrl_regs.h b/hw/ip/padctrl/sw/padctrl_regs.h
new file mode 100644
index 0000000..b991474
--- /dev/null
+++ b/hw/ip/padctrl/sw/padctrl_regs.h
@@ -0,0 +1,77 @@
+// Generated register defines for PADCTRL
+
+// Copyright information found in source file:
+// Copyright lowRISC contributors.
+
+// Licensing information found in source file:
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+
+#ifndef _PADCTRL_REG_DEFS_
+#define _PADCTRL_REG_DEFS_
+
+// Register write enable for all control registers.
+#define PADCTRL_REGEN(id) (PADCTRL##id##_BASE_ADDR + 0x0)
+#define PADCTRL_REGEN 0
+
+// Dedicated pad attributes.
+#define PADCTRL_DIO_PADS(id) (PADCTRL##id##_BASE_ADDR + 0x4)
+#define PADCTRL_DIO_PADS_ATTR0_MASK 0x3f
+#define PADCTRL_DIO_PADS_ATTR0_OFFSET 0
+#define PADCTRL_DIO_PADS_ATTR1_MASK 0x3f
+#define PADCTRL_DIO_PADS_ATTR1_OFFSET 6
+#define PADCTRL_DIO_PADS_ATTR2_MASK 0x3f
+#define PADCTRL_DIO_PADS_ATTR2_OFFSET 12
+#define PADCTRL_DIO_PADS_ATTR3_MASK 0x3f
+#define PADCTRL_DIO_PADS_ATTR3_OFFSET 18
+
+// Muxed pad attributes.
+#define PADCTRL_MIO_PADS0(id) (PADCTRL##id##_BASE_ADDR + 0x8)
+#define PADCTRL_MIO_PADS0_ATTR0_MASK 0x3f
+#define PADCTRL_MIO_PADS0_ATTR0_OFFSET 0
+#define PADCTRL_MIO_PADS0_ATTR1_MASK 0x3f
+#define PADCTRL_MIO_PADS0_ATTR1_OFFSET 6
+#define PADCTRL_MIO_PADS0_ATTR2_MASK 0x3f
+#define PADCTRL_MIO_PADS0_ATTR2_OFFSET 12
+#define PADCTRL_MIO_PADS0_ATTR3_MASK 0x3f
+#define PADCTRL_MIO_PADS0_ATTR3_OFFSET 18
+#define PADCTRL_MIO_PADS0_ATTR4_MASK 0x3f
+#define PADCTRL_MIO_PADS0_ATTR4_OFFSET 24
+
+// Muxed pad attributes.
+#define PADCTRL_MIO_PADS1(id) (PADCTRL##id##_BASE_ADDR + 0xc)
+#define PADCTRL_MIO_PADS1_ATTR5_MASK 0x3f
+#define PADCTRL_MIO_PADS1_ATTR5_OFFSET 0
+#define PADCTRL_MIO_PADS1_ATTR6_MASK 0x3f
+#define PADCTRL_MIO_PADS1_ATTR6_OFFSET 6
+#define PADCTRL_MIO_PADS1_ATTR7_MASK 0x3f
+#define PADCTRL_MIO_PADS1_ATTR7_OFFSET 12
+#define PADCTRL_MIO_PADS1_ATTR8_MASK 0x3f
+#define PADCTRL_MIO_PADS1_ATTR8_OFFSET 18
+#define PADCTRL_MIO_PADS1_ATTR9_MASK 0x3f
+#define PADCTRL_MIO_PADS1_ATTR9_OFFSET 24
+
+// Muxed pad attributes.
+#define PADCTRL_MIO_PADS2(id) (PADCTRL##id##_BASE_ADDR + 0x10)
+#define PADCTRL_MIO_PADS2_ATTR10_MASK 0x3f
+#define PADCTRL_MIO_PADS2_ATTR10_OFFSET 0
+#define PADCTRL_MIO_PADS2_ATTR11_MASK 0x3f
+#define PADCTRL_MIO_PADS2_ATTR11_OFFSET 6
+#define PADCTRL_MIO_PADS2_ATTR12_MASK 0x3f
+#define PADCTRL_MIO_PADS2_ATTR12_OFFSET 12
+#define PADCTRL_MIO_PADS2_ATTR13_MASK 0x3f
+#define PADCTRL_MIO_PADS2_ATTR13_OFFSET 18
+#define PADCTRL_MIO_PADS2_ATTR14_MASK 0x3f
+#define PADCTRL_MIO_PADS2_ATTR14_OFFSET 24
+
+// Muxed pad attributes.
+#define PADCTRL_MIO_PADS3(id) (PADCTRL##id##_BASE_ADDR + 0x14)
+#define PADCTRL_MIO_PADS3_ATTR15_MASK 0x3f
+#define PADCTRL_MIO_PADS3_ATTR15_OFFSET 0
+#define PADCTRL_MIO_PADS3_ATTR16_MASK 0x3f
+#define PADCTRL_MIO_PADS3_ATTR16_OFFSET 6
+#define PADCTRL_MIO_PADS3_ATTR17_MASK 0x3f
+#define PADCTRL_MIO_PADS3_ATTR17_OFFSET 12
+
+#endif  // _PADCTRL_REG_DEFS_
+// End generated register defines for PADCTRL
diff --git a/hw/ip/prim/abstract/prim_pad_wrapper.sv b/hw/ip/prim/abstract/prim_pad_wrapper.sv
index 04ca0df..b8dc6e8 100644
--- a/hw/ip/prim/abstract/prim_pad_wrapper.sv
+++ b/hw/ip/prim/abstract/prim_pad_wrapper.sv
@@ -8,7 +8,7 @@
 // prim_pad_wrapper using the generic implementation
 module prim_pad_wrapper #(
   parameter              Impl   = "generic",
-  parameter int unsigned AttrDw = 7
+  parameter int unsigned AttrDw = 6
 ) (
   inout  wire        inout_io, // bidirectional pad
   output logic       in_o,     // input data
diff --git a/hw/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv b/hw/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv
index 4262da8..fa1f890 100644
--- a/hw/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv
+++ b/hw/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv
@@ -6,7 +6,7 @@
 
 
 module prim_generic_pad_wrapper #(
-  parameter int unsigned AttrDw = 7
+  parameter int unsigned AttrDw = 6
 ) (
   inout wire         inout_io, // bidirectional pad
   output logic       in_o,     // input data
@@ -18,9 +18,9 @@
 
   // get pad attributes
   logic kp, pu, pd, od, inv;
-  typedef enum logic {STRONG = 1'b0, WEAK = 1'b1} drv_e;
+  typedef enum logic {STRONG_DRIVE = 1'b0, WEAK_DRIVE = 1'b1} drv_e;
   drv_e drv;
-  assign {drv, kp, pu, pd, od, inv} = attr_i[6:0];
+  assign {drv, kp, pu, pd, od, inv} = attr_i[5:0];
 
   // input inversion
   assign in_o     = inv ^ inout_io;
@@ -35,8 +35,8 @@
   assign inout_io = (oe) ? out : 1'bz;
 `else
   // different driver types
-  assign (strong0, strong1) inout_io = (oe && drv == STRONG) ? out : 1'bz;
-  assign (pull0, pull1)     inout_io = (oe && drv == WEAK)   ? out : 1'bz;
+  assign (strong0, strong1) inout_io = (oe && drv == STRONG_DRIVE) ? out : 1'bz;
+  assign (pull0, pull1)     inout_io = (oe && drv == WEAK_DRIVE)   ? out : 1'bz;
   // pullup / pulldown termination
   assign (highz0, weak1)    inout_io = pu;
   assign (weak0, highz1)    inout_io = ~pd;
diff --git a/hw/lint/lint_all b/hw/lint/lint_all
index 95f6d54..91ab69e 100755
--- a/hw/lint/lint_all
+++ b/hw/lint/lint_all
@@ -8,7 +8,9 @@
 #-------------------------------------------------------------------------
 declare -a blocks=(
   "alert_handler"
-  "pinmux"  
+  "pinmux"
+  "padctrl"
+  "padring"
   "gpio"
   "rv_core_ibex"
   "rv_dm"