[aes,dv] Using Key Sideload with parameters
This commit includes minor changes where we use key sideload agent
to use the parameters.
Signed-off-by: Canberk Topal <ctopal@lowrisc.org>
diff --git a/hw/ip/aes/dv/env/aes_env.sv b/hw/ip/aes/dv/env/aes_env.sv
index 2944b1f..b3d6af5 100644
--- a/hw/ip/aes/dv/env/aes_env.sv
+++ b/hw/ip/aes/dv/env/aes_env.sv
@@ -17,9 +17,10 @@
function void build_phase(uvm_phase phase);
super.build_phase(phase);
- keymgr_sideload_agent = key_sideload_agent::type_id::create("keymgr_sideload_agent", this);
- uvm_config_db#(key_sideload_agent_cfg)::set(this, "keymgr_sideload_agent*",
- "cfg", cfg.keymgr_sideload_agent_cfg);
+ keymgr_sideload_agent = key_sideload_agent#(keymgr_pkg::hw_key_req_t)::type_id::create(
+ "keymgr_sideload_agent", this);
+ uvm_config_db#(key_sideload_agent_cfg#(keymgr_pkg::hw_key_req_t))::set(
+ this, "keymgr_sideload_agent*", "cfg", cfg.keymgr_sideload_agent_cfg);
if (!uvm_config_db#(virtual pins_if #($bits(lc_ctrl_pkg::lc_tx_t) +1 ))::
get(this, "", "lc_escalate_vif", cfg.lc_escalate_vif)) begin
`uvm_fatal(`gfn, "failed to get lc_escalate_vif from uvm_config_db")
diff --git a/hw/ip/aes/dv/env/aes_env_cfg.sv b/hw/ip/aes/dv/env/aes_env_cfg.sv
index 20a5140..49cba5b 100644
--- a/hw/ip/aes/dv/env/aes_env_cfg.sv
+++ b/hw/ip/aes/dv/env/aes_env_cfg.sv
@@ -196,7 +196,7 @@
virtual function void initialize(bit [TL_AW-1:0] csr_base_addr = '1);
list_of_alerts = aes_env_pkg::LIST_OF_ALERTS;
- keymgr_sideload_agent_cfg = key_sideload_agent_cfg::type_id
+ keymgr_sideload_agent_cfg = key_sideload_agent_cfg#(keymgr_pkg::hw_key_req_t)::type_id
::create("keymgr_sideload_agent_cfg");
keymgr_sideload_agent_cfg.start_default_seq = 0;
num_edn = 1;
diff --git a/hw/ip/aes/dv/env/seq_lib/aes_base_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_base_vseq.sv
index 32cee04..efa60fe 100644
--- a/hw/ip/aes/dv/env/seq_lib/aes_base_vseq.sv
+++ b/hw/ip/aes/dv/env/seq_lib/aes_base_vseq.sv
@@ -346,7 +346,7 @@
// enable sideload sequence
// and get it to generate a key a random times
task start_sideload_seq();
- sideload_seq = key_sideload_set_seq::type_id::create("sideload_seq");
+ sideload_seq = key_sideload_set_seq#(keymgr_pkg::hw_key_req_t)::type_id::create("sideload_seq");
`DV_CHECK_RANDOMIZE_FATAL(sideload_seq)
sideload_seq.start(p_sequencer.key_sideload_sequencer_h);
forever begin
@@ -358,7 +358,7 @@
endtask
task req_sideload_key();
- req_key_seq = key_sideload_set_seq::type_id::create("req_key_seq");
+ req_key_seq = key_sideload_set_seq#(keymgr_pkg::hw_key_req_t)::type_id::create("req_key_seq");
`DV_CHECK_RANDOMIZE_WITH_FATAL(req_key_seq, sideload_key.valid == 1;)
req_key_seq.start(p_sequencer.key_sideload_sequencer_h);
while (!key_used) begin