[usbdev] Fix 1us timing reference

Correct off-by-one error in microsecond timer
Fix minor typographical errors in comments

Signed-off-by: Adrian Lees <a.lees@lowrisc.org>
diff --git a/hw/ip/usbdev/rtl/usb_consts_pkg.sv b/hw/ip/usbdev/rtl/usb_consts_pkg.sv
index 26e178a..fcb9313 100644
--- a/hw/ip/usbdev/rtl/usb_consts_pkg.sv
+++ b/hw/ip/usbdev/rtl/usb_consts_pkg.sv
@@ -49,7 +49,7 @@
     SetupSynchFrame       = 8'd12
   } usb_setup_request_e;
 
-  // USB Desctiptor Types (USB2.0 Table 9-5)
+  // USB Descriptor Types (USB2.0 Table 9-5)
   typedef enum logic [7:0] {
     DscrTypeDevice        = 8'd1,
     DscrTypeConfiguration = 8'd2,
diff --git a/hw/ip/usbdev/rtl/usb_fs_rx.sv b/hw/ip/usbdev/rtl/usb_fs_rx.sv
index bbd9b0c..35b095e 100644
--- a/hw/ip/usbdev/rtl/usb_fs_rx.sv
+++ b/hw/ip/usbdev/rtl/usb_fs_rx.sv
@@ -446,7 +446,7 @@
   // save and check pid //
   ////////////////////////
 
-  // shift in the entire 8-bit pid with an additional 9th bit used as a sentinal.
+  // shift in the entire 8-bit pid with an additional 9th bit used as a sentinel.
 
   logic [8:0] full_pid_q, full_pid_d;
   logic pid_valid, pid_complete;
diff --git a/hw/ip/usbdev/rtl/usb_fs_tx.sv b/hw/ip/usbdev/rtl/usb_fs_tx.sv
index 439ecd8..fa28ea1 100644
--- a/hw/ip/usbdev/rtl/usb_fs_tx.sv
+++ b/hw/ip/usbdev/rtl/usb_fs_tx.sv
@@ -238,7 +238,7 @@
 
     // Logic closely coupled to the FSM
     if (pkt_start_i) begin
-      // We need to have a inter-packed delay between
+      // We need to have an inter-packet delay between
       // 2 and 6.5 bit times (see USB 2.0 spec / 7.1.18.1)
       // The latency in the rest of the system is approximately (measured)
       // 3.68 bit-times, so we only introduce 1 bit-time here
diff --git a/hw/ip/usbdev/rtl/usbdev.sv b/hw/ip/usbdev/rtl/usbdev.sv
index b676d3d..a4a71dc 100644
--- a/hw/ip/usbdev/rtl/usbdev.sv
+++ b/hw/ip/usbdev/rtl/usbdev.sv
@@ -187,7 +187,7 @@
   logic [5:0]   ns_cnt;
   logic         us_tick;
 
-  assign us_tick = (ns_cnt == 6'd48);
+  assign us_tick = (ns_cnt == 6'd47);
   always_ff @(posedge clk_i or negedge rst_n) begin
     if (!rst_n) begin
       ns_cnt <= '0;
@@ -402,7 +402,7 @@
         clear_rdybit[out_endpoint] = 1'b1;
         update_pend[out_endpoint]  = 1'b1;
       end else if (in_ep_xact_end & in_endpoint_val) begin
-        // Clear when a IN transmission was sucessful
+        // Clear when an IN transmission was successful
         clear_rdybit[in_endpoint] = 1'b1;
       end
     end
@@ -699,7 +699,7 @@
     reg2hw.alert_test.qe
   };
 
-  // TDODO: stub alerts
+  // TODO: stub alerts
   localparam logic [NumAlerts-1:0] AlertIsFatal = {1'b1};
   for (genvar i = 0; i < NumAlerts; i++) begin : gen_alert_tx
     prim_alert_sender #(
diff --git a/hw/ip/usbdev/rtl/usbdev_linkstate.sv b/hw/ip/usbdev/rtl/usbdev_linkstate.sv
index deb3c79..58b3616 100644
--- a/hw/ip/usbdev/rtl/usbdev_linkstate.sv
+++ b/hw/ip/usbdev/rtl/usbdev_linkstate.sv
@@ -238,7 +238,7 @@
   /////////////////////
   // Reset detection //
   /////////////////////
-  //  Here we clean up the SE0 signal and generate a signle ev_reset at
+  //  Here we clean up the SE0 signal and generate a single ev_reset at
   //  the end of a valid reset
 
   always_comb begin : proc_rst_fsm