[hmac] remove alert from hmac

Hmac alert is a temp connect to test alert functionality. Hmac does not
intend to connect to any alerts. Since now we have real alerts connected
from other modules, we can safely remove hmac alerts from design, dv,
and top-level.

Signed-off-by: Cindy Chen <chencindy@google.com>
diff --git a/hw/ip/hmac/data/hmac.hjson b/hw/ip/hmac/data/hmac.hjson
index d3cb0b2..ca34304 100644
--- a/hw/ip/hmac/data/hmac.hjson
+++ b/hw/ip/hmac/data/hmac.hjson
@@ -25,11 +25,6 @@
       width:   "1"
     }
   ],
-  alert_list: [
-    { name: "msg_push_sha_disabled",
-      desc: "Dummy alert which is triggered upon writing a message when SHA is disabled",
-    }
-  ],
   param_list: [
     { name:    "NumWords",
       type:    "int",
diff --git a/hw/ip/hmac/dv/env/hmac_env_cfg.sv b/hw/ip/hmac/dv/env/hmac_env_cfg.sv
index 3e3fd91..520a0cb 100644
--- a/hw/ip/hmac/dv/env/hmac_env_cfg.sv
+++ b/hw/ip/hmac/dv/env/hmac_env_cfg.sv
@@ -8,7 +8,6 @@
   `uvm_object_new
 
   virtual function void initialize(bit [TL_AW-1:0] csr_base_addr = '1);
-    list_of_alerts = {"msg_push_sha_disabled"};
     super.initialize(csr_base_addr);
     // set num_interrupts & num_alerts which will be used to create coverage and more
     num_interrupts = ral.intr_state.get_n_used_bits();
diff --git a/hw/ip/hmac/dv/env/hmac_env_pkg.sv b/hw/ip/hmac/dv/env/hmac_env_pkg.sv
index 608b534..65c8bce 100644
--- a/hw/ip/hmac/dv/env/hmac_env_pkg.sv
+++ b/hw/ip/hmac/dv/env/hmac_env_pkg.sv
@@ -9,7 +9,6 @@
   import dv_utils_pkg::*;
   import csr_utils_pkg::*;
   import tl_agent_pkg::*;
-  import alert_esc_agent_pkg::*;
   import cryptoc_dpi_pkg::*;
   import dv_base_reg_pkg::*;
   import cip_base_pkg::*;
diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_sanity_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_sanity_vseq.sv
index 0018000..a7d0b06 100644
--- a/hw/ip/hmac/dv/env/seq_lib/hmac_sanity_vseq.sv
+++ b/hw/ip/hmac/dv/env/seq_lib/hmac_sanity_vseq.sv
@@ -55,7 +55,6 @@
   endtask
 
   task body();
-    run_alert_rsp_seq_nonblocking();
     for (int i = 1; i <= num_trans; i++) begin
       bit [7:0] msg_q[$];
       `DV_CHECK_RANDOMIZE_FATAL(this)
diff --git a/hw/ip/hmac/dv/tb/tb.sv b/hw/ip/hmac/dv/tb/tb.sv
index 29ff688..943356a 100644
--- a/hw/ip/hmac/dv/tb/tb.sv
+++ b/hw/ip/hmac/dv/tb/tb.sv
@@ -7,7 +7,6 @@
   import uvm_pkg::*;
   import dv_utils_pkg::*;
   import tl_agent_pkg::*;
-  import alert_esc_agent_pkg::*;
   import hmac_env_pkg::*;
   import hmac_test_pkg::*;
 
@@ -23,15 +22,11 @@
   wire intr_fifo_empty;
   wire intr_hmac_err;
 
-  // parameters
-  string list_of_alerts[] = {"msg_push_sha_disabled"};
-
   // interfaces
   clk_rst_if clk_rst_if(.clk(clk), .rst_n(rst_n));
   pins_if #(NUM_MAX_INTERRUPTS) intr_if(.pins(interrupts));
   pins_if #(1) devmode_if(devmode);
   tl_if tl_if(.clk(clk), .rst_n(rst_n));
-  alert_esc_if alert_if_msg_push_sha_disabled(.clk(clk), .rst_n(rst_n));
 
   // dut
   hmac dut (
@@ -43,10 +38,7 @@
 
     .intr_hmac_done_o   ( intr_hmac_done ),
     .intr_fifo_empty_o  ( intr_fifo_empty ),
-    .intr_hmac_err_o    ( intr_hmac_err  ),
-
-    .alert_rx_i         ( alert_if_msg_push_sha_disabled.alert_rx ),
-    .alert_tx_o         ( alert_if_msg_push_sha_disabled.alert_tx )
+    .intr_hmac_err_o    ( intr_hmac_err  )
   );
 
   assign interrupts[HmacDone]         = intr_hmac_done;
@@ -60,8 +52,6 @@
     uvm_config_db#(intr_vif)::set(null, "*.env", "intr_vif", intr_if);
     uvm_config_db#(devmode_vif)::set(null, "*.env", "devmode_vif", devmode_if);
     uvm_config_db#(virtual tl_if)::set(null, "*.env.m_tl_agent*", "vif", tl_if);
-    uvm_config_db#(virtual alert_esc_if)::set(null, "*.env.m_alert_agent_msg_push_sha_disabled",
-        "vif", alert_if_msg_push_sha_disabled);
     $timeformat(-12, 0, " ps", 12);
     run_test();
   end
diff --git a/hw/ip/hmac/rtl/hmac.sv b/hw/ip/hmac/rtl/hmac.sv
index 93faa22..91de2ee 100644
--- a/hw/ip/hmac/rtl/hmac.sv
+++ b/hw/ip/hmac/rtl/hmac.sv
@@ -7,12 +7,9 @@
 `include "prim_assert.sv"
 
 module hmac
-  import prim_alert_pkg::*;
   import hmac_pkg::*;
   import hmac_reg_pkg::*;
-#(
-  parameter logic [NumAlerts-1:0] AlertAsyncOn = {NumAlerts{1'b1}}
-) (
+(
   input clk_i,
   input rst_ni,
 
@@ -23,11 +20,7 @@
   output logic intr_fifo_empty_o,
   output logic intr_hmac_err_o,
 
-  output logic idle_o,
-
-  // alerts
-  input  alert_rx_t [NumAlerts-1:0] alert_rx_i,
-  output alert_tx_t [NumAlerts-1:0] alert_tx_o
+  output logic idle_o
 );
 
 
@@ -506,27 +499,6 @@
   end
 
   /////////////////////
-  // Hardware Alerts //
-  /////////////////////
-
-  // TODO: add CSR with REGWEN to test alert via SW
-  logic [NumAlerts-1:0] alerts;
-  assign alerts = {msg_push_sha_disabled};
-
-  for (genvar j = 0; j < NumAlerts; j++) begin : gen_alert_tx
-    prim_alert_sender #(
-      .AsyncOn(AlertAsyncOn[j])
-    ) i_prim_alert_sender (
-      .clk_i      ( clk_i         ),
-      .rst_ni     ( rst_ni        ),
-      .alert_i    ( alerts[j]     ),
-      .alert_rx_i ( alert_rx_i[j] ),
-      .alert_tx_o ( alert_tx_o[j] )
-    );
-  end : gen_alert_tx
-
-
-  /////////////////////
   // Idle output     //
   /////////////////////
   // TBD this should be connected later
@@ -587,9 +559,6 @@
   `ASSERT_KNOWN(TlODValidKnown, tl_o.d_valid)
   `ASSERT_KNOWN(TlOAReadyKnown, tl_o.a_ready)
 
-  // Alert outputs
-  `ASSERT_KNOWN(AlertTxOKnown, alert_tx_o)
-
 `endif // SYNTHESIS
 `endif // VERILATOR
 
diff --git a/hw/top_earlgrey/data/top_earlgrey.hjson b/hw/top_earlgrey/data/top_earlgrey.hjson
index ab87f52..de65971 100644
--- a/hw/top_earlgrey/data/top_earlgrey.hjson
+++ b/hw/top_earlgrey/data/top_earlgrey.hjson
@@ -495,7 +495,7 @@
   // ===== ALERT HANDLER ======================================================
   // list all modules that expose alerts
   // first item goes to LSB of the alert source
-  alert_module: [ "aes", "hmac", "otbn", "sensor_ctrl", "keymgr", "otp_ctrl" ]
+  alert_module: [ "aes", "otbn", "sensor_ctrl", "keymgr", "otp_ctrl" ]
 
   // generated list of alerts:
   alert: [