[dv] Minor update on mem_model
1. mask width should just depend on data width
2. Added necessary dependency
Signed-off-by: Weicai Yang <weicai@google.com>
diff --git a/hw/dv/sv/mem_model/mem_model.core b/hw/dv/sv/mem_model/mem_model.core
index 7804201..5eadedc 100644
--- a/hw/dv/sv/mem_model/mem_model.core
+++ b/hw/dv/sv/mem_model/mem_model.core
@@ -9,6 +9,7 @@
files_dv:
depend:
- lowrisc:opentitan:bus_params_pkg
+ - lowrisc:dv:dv_macros
files:
- mem_model_pkg.sv
- mem_model.sv: {is_include_file: true}
diff --git a/hw/dv/sv/mem_model/mem_model.sv b/hw/dv/sv/mem_model/mem_model.sv
index 1d0c286..c66e654 100644
--- a/hw/dv/sv/mem_model/mem_model.sv
+++ b/hw/dv/sv/mem_model/mem_model.sv
@@ -3,8 +3,9 @@
// SPDX-License-Identifier: Apache-2.0
class mem_model #(int AddrWidth = bus_params_pkg::BUS_AW,
- int DataWidth = bus_params_pkg::BUS_DW,
- int MaskWidth = bus_params_pkg::BUS_DBW) extends uvm_object;
+ int DataWidth = bus_params_pkg::BUS_DW) extends uvm_object;
+
+ localparam int MaskWidth = DataWidth / 8;
typedef bit [AddrWidth-1:0] mem_addr_t;
typedef bit [DataWidth-1:0] mem_data_t;
diff --git a/hw/dv/sv/mem_model/mem_model_pkg.sv b/hw/dv/sv/mem_model/mem_model_pkg.sv
index f95274a..5e3653c 100644
--- a/hw/dv/sv/mem_model/mem_model_pkg.sv
+++ b/hw/dv/sv/mem_model/mem_model_pkg.sv
@@ -7,6 +7,7 @@
import uvm_pkg::*;
`include "uvm_macros.svh"
+ `include "dv_macros.svh"
`include "mem_model.sv"
endpackage