Start of public OpenTitan development history

Code contributors:
Alex Bradbury <asb@lowrisc.org>
Cindy Chen <chencindy@google.com>
Eunchan Kim <eunchan@google.com>
Gaurang Chitroda <gaurangg@google.com>
Mark Hayter <mark.hayter@gmail.com>
Michael Schaffner <msf@google.com>
Miguel Osorio <miguelosorio@google.com>
Nils Graf <nilsg@google.com>
Philipp Wagner <phw@lowrisc.org>
Pirmin Vogel <vogelpi@lowrisc.org>
Ram Babu Penugonda <rampenugonda@google.com>
Scott Johnson <scottdj@google.com>
Shail Kushwah <kushwahs@google.com>
Srikrishna Iyer <sriyer@google.com>
Steve Nelson <Steve.Nelson@wdc.com>
Tao Liu <taliu@google.com>
Timothy Chen <timothytim@google.com>
Tobias Wölfel <tobias.woelfel@mailbox.org>
Weicai Yang <weicai@google.com>
diff --git a/doc/rm/c_cpp_coding_style.md b/doc/rm/c_cpp_coding_style.md
new file mode 100644
index 0000000..783b9a1
--- /dev/null
+++ b/doc/rm/c_cpp_coding_style.md
@@ -0,0 +1,142 @@
+{{% lowrisc-doc-hdr C and C++ Coding Style Guide }}
+
+## Basics
+
+### Summary
+
+C and C++ are widely used languages for (embedded) software.
+
+Our C and C++ style guide follows the [Google C++ Style Guide](https://google.github.io/styleguide/cppguide.html), with some exceptions and clarifications.
+
+As with all style guides the intention is to:
+*   promote consistency across projects
+*   promote best practices
+*   increase code sharing and re-use
+
+{{% toc 3 }}
+
+### Terminology Conventions
+
+Unless otherwise noted, the following terminology conventions apply to this
+style guide:
+
+*   The word ***must*** indicates a mandatory requirement.
+    Similarly, ***do not*** indicates a prohibition.
+    Imperative and declarative statements correspond to ***must***.
+*   The word ***recommended*** indicates that a certain course of action is preferred or is most suitable.
+    Similarly, ***not recommended*** indicates that a course of action is unsuitable, but not prohibited.
+    There may be reasons to use other options, but the implications and reasons for doing so must be fully understood.
+*   The word ***may*** indicates a course of action is permitted and optional.
+*   The word ***can*** indicates a course of action is possible given material, physical, or causal constraints.
+
+## Shared C and C++ Style Guide
+
+We use the [Google C++ Style Guide](https://google.github.io/styleguide/cppguide.html) for both C and C++ code.
+The following exceptions and additions to this style guide apply to both C and C++ code.
+
+### Pointers
+
+***When declaring pointer types, the asterisk (`*`) should be placed next to the variable name, not the type.***
+
+Example:
+
+```c
+int *ptr;
+```
+
+### Formatting of loops and conditionals
+
+***Single-statement blocks are not allowed. All conditions and loops must use braces.***
+
+Example:
+```c
+if (foo) {
+  do_something();
+}
+```
+
+### Comments
+
+***Comments should be `// C99-style` for consistency with C++.***
+
+***Variables mentioned in comments should be delimited with pipe (`|`) characters.***
+
+Example:
+
+```c
+// |ptr| can never be NULL for reasons.
+```
+
+### TODO Comments
+***TODO comments should be in the format `TODO: message`.***
+
+***TODO comments which require more explanation should reference an issue.***
+
+It is recommended to use fully-qualified issue numbers or URLs when referencing issues or pull requests.
+
+Example:
+
+```c
+// TODO: This algorithm should be rewritten to be more efficient.
+// (Bug lowrisc/reponame#27)
+```
+
+
+### Public function (API) documentation
+
+***It is recommended to document public functions, classes, methods, and data structures in the header file with a Doxygen-style comment.***
+
+The first line of the comment is the summary, followed by a new line, and an optional longer description.
+Input arguments and return arguments can be documented with `@param` and `@return` if they are not self-explanatory from the name.
+
+Example:
+
+```c
+/**
+ * Do something amazing
+ *
+ * Create a rainbow and place a unicorn at the bottom of it. @p arg1 pots of
+ * gold will be positioned on the east end of the rainbow.
+ *
+ * @param pots_of_gold Number of gold pots to place next to the rainbow
+ * @param unicorns Number of unicorns to position on the rainbow
+ * @return 0 if the function was successful, -1 otherwise
+ */
+int create_rainbow(int pots_of_gold, int unicorns);
+```
+
+
+## C Style Guide
+
+The [Google C++ Style Guide](https://google.github.io/styleguide/cppguide.html) targets C++, but it can also be used for C code with minor adjustments.
+Consequently, C++-specific rules don't apply.
+In addition to the shared C and C++ style guide rules outlined before, the following C-specific rules apply.
+
+### Function, enum, struct and typedef naming
+
+***Names of functions, `enum`s, `struct`s, and `typedef`s must be `lower_snake_case`.***
+
+This rule deviates from the Google C++ style guide to align closer with a typical way of writing C code.
+
+### Preprocessor Macros
+
+Macros are often necessary and reasonable coding practice C (as opposed to C++) projects.
+In contrast to the recommendation in the Google C++ style guide, exporting macros as part of the public API is allowed in C code.
+A typical use case is a header with register definitions.
+
+## Code Lint
+
+The clang-format tool can check for adherence to this style guide.
+The repository contains a `.clang-format` file which configures clang-format according to the rules outlined in this style guide.
+
+You can run clang-format on you changes by calling `git clang-format`.
+
+```sh
+cd $REPO_TOP
+# make changes to the code ...
+git add your_modified_file.c
+# format the staged changes
+git clang-format
+```
+
+To reformat the whole tree the script `util/run-clang-format.sh` can be used.
diff --git a/doc/rm/comportability_diagram_alert_hw.svg b/doc/rm/comportability_diagram_alert_hw.svg
new file mode 100644
index 0000000..3207da6
--- /dev/null
+++ b/doc/rm/comportability_diagram_alert_hw.svg
@@ -0,0 +1 @@
+<svg version="1.1" viewBox="0.0 0.0 964.3490813648294 266.6404199475066" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l964.34906 0l0 266.6404l-964.34906 0l0 -266.6404z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l964.34906 0l0 266.6404l-964.34906 0z" fill-rule="evenodd"/><path fill="#d9ead3" d="m512.0 -8.0l480.0 0l0 232.0l-480.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m512.0 -8.0l480.0 0l0 232.0l-480.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m529.8125 210.92l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm11.366699 -9.140625l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm10.585388 12.875l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm7.335388 -3.734375l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm7.679199 -7.40625l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm14.866699 6.5q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.554138 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.257263 9.015625q-0.53125 0.140625 -1.109375 0.1875q-0.578125 0.0625 -1.171875 0.0625q-1.71875 0 -2.5625 -0.78125q-0.84375 -0.78125 -0.84375 -2.390625l0 -4.765625l-2.5625 0l0 -1.328125l2.5625 0l0 -2.515625l1.578125 -0.40625l0 2.921875l4.109375 0l0 1.328125l-4.109375 0l0 4.640625q0 0.984375 0.515625 1.46875q0.53125 0.484375 1.546875 0.484375q0.4375 0 0.953125 -0.0625q0.53125 -0.0625 1.09375 -0.21875l0 1.375zm11.679199 3.859375l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm8.819824 -3.734375l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm8.772888 0l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm4.554138 -4.984375l1.421875 0l0.0625 1.46875q0.390625 -0.46875 0.765625 -0.78125q0.375 -0.3125 0.734375 -0.5q0.359375 -0.203125 0.734375 -0.28125q0.375 -0.078125 0.78125 -0.078125q1.40625 0 2.125 0.84375q0.734375 0.828125 0.734375 2.5l0 5.96875l-1.59375 0l0 -5.84375q0 -1.078125 -0.40625 -1.578125q-0.390625 -0.515625 -1.1875 -0.515625q-0.28125 0 -0.5625 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.34375 0.359375 -0.78125 0.90625l0 6.0625l-1.59375 0l0 -9.140625zm9.804199 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm12.226074 -7.078125l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm14.866638 6.5q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.554138 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m752.0 24.0l224.0 0l0 64.0l-224.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m767.2969 61.86l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.25 -11.046875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm13.84375 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm9.5 3.578125l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm11.125 7.734375l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.578125 -3.3125q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm2.796875 -7.734375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.296875 4.40625q0.21875 0.265625 0.328125 0.640625q0.125 0.359375 0.125 0.78125q0 0.609375 -0.21875 1.109375q-0.21875 0.5 -0.625 0.859375q-0.40625 0.359375 -0.984375 0.5625q-0.5625 0.203125 -1.234375 0.203125q-0.5 0 -0.9375 -0.109375q-0.421875 -0.109375 -0.671875 -0.265625q-0.140625 0.21875 -0.25 0.421875q-0.09375 0.1875 -0.09375 0.4375q0 0.296875 0.28125 0.5q0.28125 0.1875 0.75 0.203125l2.0625 0.078125q0.59375 0.015625 1.078125 0.15625q0.5 0.125 0.859375 0.375q0.359375 0.25 0.546875 0.625q0.203125 0.359375 0.203125 0.84375q0 0.53125 -0.234375 1.0q-0.21875 0.46875 -0.6875 0.8125q-0.46875 0.359375 -1.203125 0.5625q-0.71875 0.21875 -1.71875 0.21875q-0.953125 0 -1.625 -0.15625q-0.671875 -0.140625 -1.109375 -0.421875q-0.421875 -0.265625 -0.625 -0.640625q-0.1875 -0.359375 -0.1875 -0.8125q0 -0.5625 0.265625 -1.0q0.265625 -0.4375 0.828125 -0.828125q-0.203125 -0.09375 -0.359375 -0.234375q-0.140625 -0.140625 -0.234375 -0.3125q-0.09375 -0.171875 -0.140625 -0.359375q-0.046875 -0.1875 -0.046875 -0.359375q0 -0.515625 0.234375 -0.9375q0.234375 -0.421875 0.5625 -0.796875q-0.140625 -0.171875 -0.265625 -0.34375q-0.109375 -0.171875 -0.1875 -0.375q-0.078125 -0.203125 -0.125 -0.421875q-0.046875 -0.234375 -0.046875 -0.53125q0 -0.609375 0.21875 -1.109375q0.234375 -0.515625 0.625 -0.875q0.40625 -0.359375 0.96875 -0.546875q0.578125 -0.203125 1.265625 -0.203125q0.28125 0 0.546875 0.046875q0.265625 0.03125 0.46875 0.09375l2.84375 0l0 1.109375l-1.25 0zm-4.71875 7.875q0 0.546875 0.578125 0.796875q0.578125 0.265625 1.609375 0.265625q0.640625 0 1.078125 -0.125q0.453125 -0.109375 0.71875 -0.3125q0.265625 -0.1875 0.375 -0.4375q0.125 -0.234375 0.125 -0.5q0 -0.46875 -0.390625 -0.703125q-0.390625 -0.234375 -1.1875 -0.265625l-2.046875 -0.078125q-0.265625 0.171875 -0.4375 0.34375q-0.15625 0.171875 -0.25 0.328125q-0.09375 0.171875 -0.140625 0.34375q-0.03125 0.171875 -0.03125 0.34375zm0.40625 -6.421875q0 0.375 0.125 0.6875q0.125 0.3125 0.34375 0.53125q0.234375 0.21875 0.546875 0.34375q0.3125 0.109375 0.6875 0.109375q0.40625 0 0.71875 -0.125q0.3125 -0.140625 0.53125 -0.375q0.21875 -0.234375 0.328125 -0.53125q0.109375 -0.3125 0.109375 -0.640625q0 -0.375 -0.125 -0.6875q-0.125 -0.3125 -0.359375 -0.53125q-0.21875 -0.21875 -0.53125 -0.34375q-0.296875 -0.125 -0.671875 -0.125q-0.40625 0 -0.734375 0.140625q-0.3125 0.140625 -0.53125 0.375q-0.203125 0.234375 -0.328125 0.546875q-0.109375 0.296875 -0.109375 0.625zm13.109375 -1.453125q0.21875 0.265625 0.328125 0.640625q0.125 0.359375 0.125 0.78125q0 0.609375 -0.21875 1.109375q-0.21875 0.5 -0.625 0.859375q-0.40625 0.359375 -0.984375 0.5625q-0.5625 0.203125 -1.234375 0.203125q-0.5 0 -0.9375 -0.109375q-0.421875 -0.109375 -0.671875 -0.265625q-0.140625 0.21875 -0.25 0.421875q-0.09375 0.1875 -0.09375 0.4375q0 0.296875 0.28125 0.5q0.28125 0.1875 0.75 0.203125l2.0625 0.078125q0.59375 0.015625 1.078125 0.15625q0.5 0.125 0.859375 0.375q0.359375 0.25 0.546875 0.625q0.203125 0.359375 0.203125 0.84375q0 0.53125 -0.234375 1.0q-0.21875 0.46875 -0.6875 0.8125q-0.46875 0.359375 -1.203125 0.5625q-0.71875 0.21875 -1.71875 0.21875q-0.953125 0 -1.625 -0.15625q-0.671875 -0.140625 -1.109375 -0.421875q-0.421875 -0.265625 -0.625 -0.640625q-0.1875 -0.359375 -0.1875 -0.8125q0 -0.5625 0.265625 -1.0q0.265625 -0.4375 0.828125 -0.828125q-0.203125 -0.09375 -0.359375 -0.234375q-0.140625 -0.140625 -0.234375 -0.3125q-0.09375 -0.171875 -0.140625 -0.359375q-0.046875 -0.1875 -0.046875 -0.359375q0 -0.515625 0.234375 -0.9375q0.234375 -0.421875 0.5625 -0.796875q-0.140625 -0.171875 -0.265625 -0.34375q-0.109375 -0.171875 -0.1875 -0.375q-0.078125 -0.203125 -0.125 -0.421875q-0.046875 -0.234375 -0.046875 -0.53125q0 -0.609375 0.21875 -1.109375q0.234375 -0.515625 0.625 -0.875q0.40625 -0.359375 0.96875 -0.546875q0.578125 -0.203125 1.265625 -0.203125q0.28125 0 0.546875 0.046875q0.265625 0.03125 0.46875 0.09375l2.84375 0l0 1.109375l-1.25 0zm-4.71875 7.875q0 0.546875 0.578125 0.796875q0.578125 0.265625 1.609375 0.265625q0.640625 0 1.078125 -0.125q0.453125 -0.109375 0.71875 -0.3125q0.265625 -0.1875 0.375 -0.4375q0.125 -0.234375 0.125 -0.5q0 -0.46875 -0.390625 -0.703125q-0.390625 -0.234375 -1.1875 -0.265625l-2.046875 -0.078125q-0.265625 0.171875 -0.4375 0.34375q-0.15625 0.171875 -0.25 0.328125q-0.09375 0.171875 -0.140625 0.34375q-0.03125 0.171875 -0.03125 0.34375zm0.40625 -6.421875q0 0.375 0.125 0.6875q0.125 0.3125 0.34375 0.53125q0.234375 0.21875 0.546875 0.34375q0.3125 0.109375 0.6875 0.109375q0.40625 0 0.71875 -0.125q0.3125 -0.140625 0.53125 -0.375q0.21875 -0.234375 0.328125 -0.53125q0.109375 -0.3125 0.109375 -0.640625q0 -0.375 -0.125 -0.6875q-0.125 -0.3125 -0.359375 -0.53125q-0.21875 -0.21875 -0.53125 -0.34375q-0.296875 -0.125 -0.671875 -0.125q-0.40625 0 -0.734375 0.140625q-0.3125 0.140625 -0.53125 0.375q-0.203125 0.234375 -0.328125 0.546875q-0.109375 0.296875 -0.109375 0.625zm14.078125 0.9375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.1875 0.765625q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m752.0 88.0l240.0 0l0 64.0l-240.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m767.2969 125.86l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.25 -11.046875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm13.84375 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm9.5 3.578125l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm11.125 7.734375l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm3.921875 -9.921875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.640625 3.296875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm9.09375 -4.234375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.234375 -2.203125q0.21875 0.265625 0.328125 0.640625q0.125 0.359375 0.125 0.78125q0 0.609375 -0.21875 1.109375q-0.21875 0.5 -0.625 0.859375q-0.40625 0.359375 -0.984375 0.5625q-0.5625 0.203125 -1.234375 0.203125q-0.5 0 -0.9375 -0.109375q-0.421875 -0.109375 -0.671875 -0.265625q-0.140625 0.21875 -0.25 0.421875q-0.09375 0.1875 -0.09375 0.4375q0 0.296875 0.28125 0.5q0.28125 0.1875 0.75 0.203125l2.0625 0.078125q0.59375 0.015625 1.078125 0.15625q0.5 0.125 0.859375 0.375q0.359375 0.25 0.546875 0.625q0.203125 0.359375 0.203125 0.84375q0 0.53125 -0.234375 1.0q-0.21875 0.46875 -0.6875 0.8125q-0.46875 0.359375 -1.203125 0.5625q-0.71875 0.21875 -1.71875 0.21875q-0.953125 0 -1.625 -0.15625q-0.671875 -0.140625 -1.109375 -0.421875q-0.421875 -0.265625 -0.625 -0.640625q-0.1875 -0.359375 -0.1875 -0.8125q0 -0.5625 0.265625 -1.0q0.265625 -0.4375 0.828125 -0.828125q-0.203125 -0.09375 -0.359375 -0.234375q-0.140625 -0.140625 -0.234375 -0.3125q-0.09375 -0.171875 -0.140625 -0.359375q-0.046875 -0.1875 -0.046875 -0.359375q0 -0.515625 0.234375 -0.9375q0.234375 -0.421875 0.5625 -0.796875q-0.140625 -0.171875 -0.265625 -0.34375q-0.109375 -0.171875 -0.1875 -0.375q-0.078125 -0.203125 -0.125 -0.421875q-0.046875 -0.234375 -0.046875 -0.53125q0 -0.609375 0.21875 -1.109375q0.234375 -0.515625 0.625 -0.875q0.40625 -0.359375 0.96875 -0.546875q0.578125 -0.203125 1.265625 -0.203125q0.28125 0 0.546875 0.046875q0.265625 0.03125 0.46875 0.09375l2.84375 0l0 1.109375l-1.25 0zm-4.71875 7.875q0 0.546875 0.578125 0.796875q0.578125 0.265625 1.609375 0.265625q0.640625 0 1.078125 -0.125q0.453125 -0.109375 0.71875 -0.3125q0.265625 -0.1875 0.375 -0.4375q0.125 -0.234375 0.125 -0.5q0 -0.46875 -0.390625 -0.703125q-0.390625 -0.234375 -1.1875 -0.265625l-2.046875 -0.078125q-0.265625 0.171875 -0.4375 0.34375q-0.15625 0.171875 -0.25 0.328125q-0.09375 0.171875 -0.140625 0.34375q-0.03125 0.171875 -0.03125 0.34375zm0.40625 -6.421875q0 0.375 0.125 0.6875q0.125 0.3125 0.34375 0.53125q0.234375 0.21875 0.546875 0.34375q0.3125 0.109375 0.6875 0.109375q0.40625 0 0.71875 -0.125q0.3125 -0.140625 0.53125 -0.375q0.21875 -0.234375 0.328125 -0.53125q0.109375 -0.3125 0.109375 -0.640625q0 -0.375 -0.125 -0.6875q-0.125 -0.3125 -0.359375 -0.53125q-0.21875 -0.21875 -0.53125 -0.34375q-0.296875 -0.125 -0.671875 -0.125q-0.40625 0 -0.734375 0.140625q-0.3125 0.140625 -0.53125 0.375q-0.203125 0.234375 -0.328125 0.546875q-0.109375 0.296875 -0.109375 0.625zm14.421875 -4.5q-1.078125 -0.21875 -1.84375 -0.21875q-1.84375 0 -1.84375 1.921875l0 1.375l3.4375 0l0 1.125l-3.4375 0l0 5.578125l-1.375 0l0 -5.578125l-2.53125 0l0 -1.125l2.53125 0l0 -1.296875q0 -3.140625 3.265625 -3.140625q0.8125 0 1.796875 0.1875l0 1.171875zm-8.21875 1.9375l0 0zm15.09375 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -3.140625l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm8.3125 1.21875l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125z" fill-rule="nonzero"/><path fill="#d9ead3" d="m-8.0 -8.0l384.0 0l0 232.0l-384.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m-8.0 -8.0l384.0 0l0 232.0l-384.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m273.7083 206.18562q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.788544 -0.390625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm13.007294 1.3125l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm14.413544 8.25q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.413544 4.671875l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm10.632294 -5.0625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm15.757294 9.140625l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm7.6791687 -7.40625l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m24.0 40.0l136.0 0l0 64.0l-136.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m40.875 73.51625q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm2.84375 -3.3125l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.25 -11.046875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm13.84375 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm9.5 3.578125l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0z" fill-rule="nonzero"/><path fill="#c9daf8" d="m168.0 32.0l176.0 0l0 80.0l-176.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m168.0 32.0l176.0 0l0 80.0l-176.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m193.94531 71.14125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm12.34375 7.078125q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm9.796875 -7.046875l-5.359375 12.734375l-1.296875 0l5.359375 -12.734375l1.296875 0zm7.53125 11.046875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m128.0 72.0l40.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 72.0l36.57292 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m164.5729 72.0l-1.1245728 1.1245804l3.0897675 -1.1245804l-3.0897675 -1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m344.0 56.0l200.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m344.0 56.0l196.57294 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m540.57294 56.0l-1.1246338 1.1245842l3.0897827 -1.1245842l-3.0897827 -1.1245842z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m344.0 88.0l200.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m344.0 88.0l196.57294 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m540.57294 88.0l-1.1246338 1.1245804l3.0897827 -1.1245804l-3.0897827 -1.1245804z" fill-rule="evenodd"/><path fill="#c9daf8" d="m544.0 32.0l176.0 0l0 104.0l-176.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m544.0 32.0l176.0 0l0 104.0l-176.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m561.14844 83.14125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm12.34375 7.078125q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm9.796875 -7.046875l-5.359375 12.734375l-1.296875 0l5.359375 -12.734375l1.296875 0zm7.53125 11.046875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m376.0 40.0l136.0 0l0 64.0l-136.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m391.29688 77.86l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.25 -11.046875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm13.84375 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm9.5 3.578125l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm11.125 7.734375l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.25 -11.046875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.625 3.859375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m376.0 8.0l136.0 0l0 64.0l-136.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m391.29688 45.86l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.25 -11.046875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm13.84375 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm9.5 3.578125l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm11.125 7.734375l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.953125 -7.265625q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.3125 0.015625q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m720.0 56.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m720.0 56.0l28.572937 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m748.57294 56.0l-1.1246338 1.1245842l3.0897827 -1.1245842l-3.0897827 -1.1245842z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m720.0 88.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m720.0 88.0l28.572937 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m748.57294 88.0l-1.1246338 1.1245804l3.0897827 -1.1245804l-3.0897827 -1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m752.0 56.0l240.0 0l0 64.0l-240.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m767.2969 93.86l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.25 -11.046875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm13.84375 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm9.5 3.578125l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm11.125 7.734375l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.5625 -3.203125l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm9.1875 -4.0625q0 0.96875 -0.265625 1.75q-0.265625 0.765625 -0.765625 1.296875q-0.5 0.53125 -1.21875 0.828125q-0.703125 0.28125 -1.59375 0.28125q-0.6875 0 -1.421875 -0.125q-0.71875 -0.140625 -1.4375 -0.4375l0 -10.578125l1.359375 0l0 3.046875l-0.0625 1.453125q0.59375 -0.796875 1.25 -1.109375q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.40625 0.15625 0.8125 0.25q0.421875 0.078125 0.796875 0.078125q0.46875 0 0.890625 -0.140625q0.421875 -0.15625 0.75 -0.5q0.328125 -0.34375 0.515625 -0.921875q0.1875 -0.578125 0.1875 -1.421875zm10.453125 -5.78125q-1.078125 -0.21875 -1.84375 -0.21875q-1.84375 0 -1.84375 1.921875l0 1.375l3.4375 0l0 1.125l-3.4375 0l0 5.578125l-1.375 0l0 -5.578125l-2.53125 0l0 -1.125l2.53125 0l0 -1.296875q0 -3.140625 3.265625 -3.140625q0.8125 0 1.796875 0.1875l0 1.171875zm-8.21875 1.9375l0 0zm15.09375 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -3.140625l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm8.3125 1.21875l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m720.0 120.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m720.0 120.0l28.572937 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m748.57294 120.0l-1.1246338 1.1245804l3.0897827 -1.1245804l-3.0897827 -1.1245804z" fill-rule="evenodd"/></g></svg>
\ No newline at end of file
diff --git a/doc/rm/comportability_diagram_intr_hw.svg b/doc/rm/comportability_diagram_intr_hw.svg
new file mode 100644
index 0000000..919f169
--- /dev/null
+++ b/doc/rm/comportability_diagram_intr_hw.svg
@@ -0,0 +1 @@
+<svg version="1.1" viewBox="0.0 0.0 1100.0 621.0" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l1100.0 0l0 621.0l-1100.0 0l0 -621.0z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l1100.0 0l0 621.0l-1100.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m664.00525 152.0l103.99213 0l0 447.9807l-31.997375 0.0032958984" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m664.00525 152.0l103.99213 0l0 447.9807l-31.997375 0.0032958984" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m664.0 344.0l87.99475 0l0 239.9928l-15.994751 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m664.0 344.0l87.99475 0l0 239.9928l-15.994751 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m840.0 408.0l48.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m840.0 408.0l48.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m888.0 392.0l104.0 0l0 32.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m904.875 409.51624q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm2.84375 -3.3125l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.21875 -12.984375q-1.078125 -0.21875 -1.84375 -0.21875q-1.84375 0 -1.84375 1.921875l0 1.375l3.4375 0l0 1.125l-3.4375 0l0 5.578125l-1.375 0l0 -5.578125l-2.53125 0l0 -1.125l2.53125 0l0 -1.296875q0 -3.140625 3.265625 -3.140625q0.8125 0 1.796875 0.1875l0 1.171875zm-8.21875 1.9375l0 0zm16.875 3.859375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm10.1875 -0.0625q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m736.0 512.912l127.99597 0l0 -88.91199l-34.90796 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m736.0 512.912l127.99597 0l0 -88.91199l-34.90796 0" fill-rule="evenodd"/><path fill="#c9daf8" d="m96.0 16.0l568.0 0l0 552.0l-568.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m96.0 16.0l568.0 0l0 552.0l-568.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m343.4203 42.92l-1.828125 0l-1.78125 -3.8125q-0.203125 -0.4375 -0.421875 -0.71875q-0.203125 -0.28125 -0.453125 -0.453125q-0.234375 -0.171875 -0.515625 -0.234375q-0.28125 -0.078125 -0.640625 -0.078125l-0.765625 0l0 5.296875l-1.625 0l0 -11.90625l3.1875 0q1.046875 0 1.78125 0.234375q0.75 0.21875 1.21875 0.640625q0.484375 0.40625 0.703125 0.984375q0.234375 0.578125 0.234375 1.28125q0 0.546875 -0.171875 1.046875q-0.15625 0.5 -0.484375 0.90625q-0.3125 0.40625 -0.796875 0.703125q-0.484375 0.28125 -1.09375 0.4375q0.5 0.171875 0.84375 0.609375q0.359375 0.421875 0.71875 1.140625l1.890625 3.921875zm-2.59375 -8.640625q0 -0.953125 -0.609375 -1.421875q-0.59375 -0.484375 -1.671875 -0.484375l-1.53125 0l0 3.9375l1.3125 0q0.578125 0 1.03125 -0.125q0.453125 -0.140625 0.78125 -0.390625q0.328125 -0.265625 0.5 -0.640625q0.1875 -0.375 0.1875 -0.875zm11.866699 8.640625l-6.765625 0l0 -11.90625l6.765625 0l0 1.359375l-5.140625 0l0 3.703125l4.953125 0l0 1.359375l-4.953125 0l0 4.09375l5.140625 0l0 1.390625zm10.835388 -9.859375q-0.671875 -0.359375 -1.375 -0.546875q-0.703125 -0.203125 -1.53125 -0.203125q-0.953125 0 -1.6875 0.34375q-0.734375 0.328125 -1.25 0.953125q-0.5 0.609375 -0.765625 1.46875q-0.265625 0.859375 -0.265625 1.90625q0 1.09375 0.21875 1.96875q0.21875 0.859375 0.671875 1.46875q0.46875 0.59375 1.1875 0.921875q0.734375 0.3125 1.75 0.3125q0.171875 0 0.375 -0.015625q0.203125 -0.015625 0.390625 -0.046875q0.203125 -0.03125 0.390625 -0.078125q0.1875 -0.046875 0.328125 -0.109375l0 -3.78125l-2.421875 0l0 -1.34375l4.03125 0l0 6.078125q-0.375 0.1875 -0.796875 0.328125q-0.40625 0.125 -0.84375 0.21875q-0.421875 0.09375 -0.859375 0.125q-0.421875 0.046875 -0.828125 0.046875q-1.21875 0 -2.203125 -0.375q-0.96875 -0.375 -1.65625 -1.125q-0.6875 -0.765625 -1.0625 -1.875q-0.375 -1.125 -0.375 -2.609375q0 -1.453125 0.40625 -2.609375q0.421875 -1.171875 1.15625 -1.96875q0.75 -0.8125 1.78125 -1.234375q1.046875 -0.421875 2.3125 -0.421875q0.796875 0 1.515625 0.140625q0.734375 0.140625 1.40625 0.4375l0 1.625zm5.397949 -0.6875l-2.75 0l0 -1.359375l7.125 0l0 1.359375l-2.734375 0l0 9.15625l2.734375 0l0 1.390625l-7.125 0l0 -1.390625l2.75 0l0 -9.15625zm15.022888 7.328125q0 0.84375 -0.34375 1.484375q-0.34375 0.625 -0.96875 1.0625q-0.609375 0.421875 -1.484375 0.625q-0.875 0.203125 -1.921875 0.203125q-0.46875 0 -0.9375 -0.03125q-0.46875 -0.03125 -0.90625 -0.09375q-0.421875 -0.046875 -0.8125 -0.109375q-0.375 -0.078125 -0.6875 -0.171875l0 -1.5625q0.671875 0.25 1.515625 0.40625q0.859375 0.140625 1.953125 0.140625q0.78125 0 1.328125 -0.125q0.5625 -0.125 0.90625 -0.359375q0.34375 -0.25 0.5 -0.59375q0.171875 -0.34375 0.171875 -0.796875q0 -0.46875 -0.28125 -0.8125q-0.265625 -0.34375 -0.703125 -0.609375q-0.4375 -0.28125 -1.0 -0.5q-0.546875 -0.21875 -1.140625 -0.453125q-0.578125 -0.234375 -1.140625 -0.515625q-0.5625 -0.28125 -1.0 -0.65625q-0.4375 -0.375 -0.703125 -0.890625q-0.265625 -0.515625 -0.265625 -1.21875q0 -0.609375 0.25 -1.1875q0.265625 -0.59375 0.796875 -1.046875q0.53125 -0.46875 1.375 -0.75q0.84375 -0.28125 2.015625 -0.28125q0.296875 0 0.640625 0.03125q0.359375 0.015625 0.71875 0.0625q0.359375 0.046875 0.703125 0.125q0.359375 0.0625 0.65625 0.125l0 1.46875q-0.703125 -0.203125 -1.40625 -0.3125q-0.6875 -0.109375 -1.34375 -0.109375q-1.40625 0 -2.0625 0.46875q-0.65625 0.46875 -0.65625 1.25q0 0.484375 0.265625 0.828125q0.28125 0.34375 0.71875 0.625q0.4375 0.265625 1.0 0.5q0.5625 0.21875 1.140625 0.453125q0.578125 0.234375 1.140625 0.515625q0.5625 0.28125 1.0 0.671875q0.4375 0.390625 0.703125 0.90625q0.265625 0.515625 0.265625 1.234375zm10.647949 -7.296875l-3.515625 0l0 10.515625l-1.640625 0l0 -10.515625l-3.53125 0l0 -1.390625l8.6875 0l0 1.390625zm9.382263 10.515625l-6.765625 0l0 -11.90625l6.765625 0l0 1.359375l-5.140625 0l0 3.703125l4.953125 0l0 1.359375l-4.953125 0l0 4.09375l5.140625 0l0 1.390625zm11.241699 0l-1.828125 0l-1.78125 -3.8125q-0.203125 -0.4375 -0.421875 -0.71875q-0.203125 -0.28125 -0.453125 -0.453125q-0.234375 -0.171875 -0.515625 -0.234375q-0.28125 -0.078125 -0.640625 -0.078125l-0.765625 0l0 5.296875l-1.625 0l0 -11.90625l3.1875 0q1.046875 0 1.78125 0.234375q0.75 0.21875 1.21875 0.640625q0.484375 0.40625 0.703125 0.984375q0.234375 0.578125 0.234375 1.28125q0 0.546875 -0.171875 1.046875q-0.15625 0.5 -0.484375 0.90625q-0.3125 0.40625 -0.796875 0.703125q-0.484375 0.28125 -1.09375 0.4375q0.5 0.171875 0.84375 0.609375q0.359375 0.421875 0.71875 1.140625l1.890625 3.921875zm-2.59375 -8.640625q0 -0.953125 -0.609375 -1.421875q-0.59375 -0.484375 -1.671875 -0.484375l-1.53125 0l0 3.9375l1.3125 0q0.578125 0 1.03125 -0.125q0.453125 -0.140625 0.78125 -0.390625q0.328125 -0.265625 0.5 -0.640625q0.1875 -0.375 0.1875 -0.875zm12.351013 5.421875q0 0.84375 -0.34375 1.484375q-0.34375 0.625 -0.96875 1.0625q-0.609375 0.421875 -1.484375 0.625q-0.875 0.203125 -1.921875 0.203125q-0.46875 0 -0.9375 -0.03125q-0.46875 -0.03125 -0.90625 -0.09375q-0.421875 -0.046875 -0.8125 -0.109375q-0.375 -0.078125 -0.6875 -0.171875l0 -1.5625q0.671875 0.25 1.515625 0.40625q0.859375 0.140625 1.953125 0.140625q0.78125 0 1.328125 -0.125q0.5625 -0.125 0.90625 -0.359375q0.34375 -0.25 0.5 -0.59375q0.171875 -0.34375 0.171875 -0.796875q0 -0.46875 -0.28125 -0.8125q-0.265625 -0.34375 -0.703125 -0.609375q-0.4375 -0.28125 -1.0 -0.5q-0.546875 -0.21875 -1.140625 -0.453125q-0.578125 -0.234375 -1.140625 -0.515625q-0.5625 -0.28125 -1.0 -0.65625q-0.4375 -0.375 -0.703125 -0.890625q-0.265625 -0.515625 -0.265625 -1.21875q0 -0.609375 0.25 -1.1875q0.265625 -0.59375 0.796875 -1.046875q0.53125 -0.46875 1.375 -0.75q0.84375 -0.28125 2.015625 -0.28125q0.296875 0 0.640625 0.03125q0.359375 0.015625 0.71875 0.0625q0.359375 0.046875 0.703125 0.125q0.359375 0.0625 0.65625 0.125l0 1.46875q-0.703125 -0.203125 -1.40625 -0.3125q-0.6875 -0.109375 -1.34375 -0.109375q-1.40625 0 -2.0625 0.46875q-0.65625 0.46875 -0.65625 1.25q0 0.484375 0.265625 0.828125q0.28125 0.34375 0.71875 0.625q0.4375 0.265625 1.0 0.5q0.5625 0.21875 1.140625 0.453125q0.578125 0.234375 1.140625 0.515625q0.5625 0.28125 1.0 0.671875q0.4375 0.390625 0.703125 0.90625q0.265625 0.515625 0.265625 1.234375z" fill-rule="nonzero"/><path fill="#000000" d="m523.469 162.48625l-1.9375 0l0 -0.9375l3.078125 0l0 5.59375l1.953125 0l0 0.9375l-5.234375 0l0 -0.9375l2.140625 0l0 -4.65625zm0.390625 -3.6875q0.203125 0 0.359375 0.078125q0.15625 0.0625 0.28125 0.1875q0.125 0.125 0.1875 0.28125q0.078125 0.15625 0.078125 0.359375q0 0.171875 -0.078125 0.34375q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.203125q-0.15625 0.0625 -0.359375 0.0625q-0.1875 0 -0.34375 -0.0625q-0.15625 -0.078125 -0.28125 -0.203125q-0.125 -0.125 -0.203125 -0.28125q-0.0625 -0.171875 -0.0625 -0.34375q0 -0.203125 0.0625 -0.359375q0.078125 -0.15625 0.203125 -0.28125q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm4.718506 2.75l1.0 0l0.046875 1.046875q0.28125 -0.328125 0.546875 -0.546875q0.28125 -0.234375 0.53125 -0.375q0.25 -0.140625 0.515625 -0.1875q0.28125 -0.0625 0.5625 -0.0625q1.015625 0 1.53125 0.609375q0.515625 0.59375 0.515625 1.78125l0 4.265625l-1.140625 0l0 -4.171875q0 -0.765625 -0.28125 -1.125q-0.28125 -0.375 -0.859375 -0.375q-0.203125 0 -0.40625 0.0625q-0.1875 0.0625 -0.40625 0.21875q-0.21875 0.140625 -0.46875 0.40625q-0.25 0.265625 -0.5625 0.65625l0 4.328125l-1.125 0l0 -6.53125zm12.593506 6.4375q-0.390625 0.09375 -0.796875 0.140625q-0.40625 0.046875 -0.84375 0.046875q-1.21875 0 -1.828125 -0.546875q-0.609375 -0.5625 -0.609375 -1.71875l0 -3.40625l-1.828125 0l0 -0.953125l1.828125 0l0 -1.796875l1.140625 -0.296875l0 2.09375l2.9375 0l0 0.953125l-2.9375 0l0 3.3125q0 0.703125 0.375 1.0625q0.375 0.34375 1.09375 0.34375q0.3125 0 0.6875 -0.046875q0.375 -0.0625 0.78125 -0.15625l0 0.96875z" fill-rule="nonzero"/><path fill="#000000" d="m543.62445 160.8925l1.140625 0l0.03125 1.328125q0.640625 -0.765625 1.25 -1.109375q0.625 -0.34375 1.25 -0.34375q1.125 0 1.703125 0.71875q0.578125 0.71875 0.53125 2.15625l-1.265625 0q0.03125 -0.953125 -0.265625 -1.375q-0.296875 -0.421875 -0.875 -0.421875q-0.25 0 -0.515625 0.09375q-0.25 0.078125 -0.515625 0.28125q-0.265625 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.640625 0.75l0 4.609375l-1.265625 0l0 -7.1875zm14.683044 10.125l-8.0625 0l0 -1.03125l8.0625 0l0 1.03125zm7.2143555 -6.90625q0 0.265625 -0.015625 0.453125q0 0.171875 -0.015625 0.328125l-5.046875 0q0 1.09375 0.609375 1.6875q0.625 0.59375 1.78125 0.59375q0.3125 0 0.625 -0.015625q0.3125 -0.03125 0.609375 -0.078125q0.296875 -0.046875 0.5625 -0.09375q0.265625 -0.0625 0.5 -0.125l0 1.03125q-0.515625 0.140625 -1.15625 0.21875q-0.640625 0.09375 -1.328125 0.09375q-0.921875 0 -1.59375 -0.25q-0.65625 -0.25 -1.078125 -0.71875q-0.421875 -0.484375 -0.625 -1.171875q-0.203125 -0.6875 -0.203125 -1.5625q0 -0.765625 0.21875 -1.4375q0.21875 -0.671875 0.625 -1.1875q0.421875 -0.515625 1.03125 -0.8125q0.609375 -0.296875 1.375 -0.296875q0.765625 0 1.34375 0.234375q0.578125 0.234375 0.96875 0.671875q0.40625 0.4375 0.609375 1.0625q0.203125 0.609375 0.203125 1.375zm-1.296875 -0.1875q0.015625 -0.46875 -0.109375 -0.859375q-0.109375 -0.40625 -0.34375 -0.6875q-0.234375 -0.296875 -0.59375 -0.453125q-0.359375 -0.15625 -0.828125 -0.15625q-0.40625 0 -0.75 0.15625q-0.328125 0.15625 -0.578125 0.4375q-0.25 0.28125 -0.40625 0.6875q-0.140625 0.40625 -0.171875 0.875l3.78125 0zm3.2768555 -3.03125l1.109375 0l0.046875 1.15625q0.328125 -0.359375 0.609375 -0.609375q0.296875 -0.25 0.578125 -0.390625q0.28125 -0.15625 0.578125 -0.21875q0.296875 -0.0625 0.609375 -0.0625q1.109375 0 1.671875 0.65625q0.578125 0.65625 0.578125 1.96875l0 4.6875l-1.25 0l0 -4.59375q0 -0.84375 -0.3125 -1.234375q-0.3125 -0.40625 -0.9375 -0.40625q-0.234375 0 -0.453125 0.0625q-0.21875 0.0625 -0.453125 0.234375q-0.234375 0.171875 -0.515625 0.453125q-0.265625 0.28125 -0.609375 0.71875l0 4.765625l-1.25 0l0 -7.1875zm12.683044 7.1875l-0.03125 -0.96875q-0.59375 0.578125 -1.203125 0.84375q-0.59375 0.25 -1.265625 0.25q-0.609375 0 -1.046875 -0.15625q-0.4375 -0.15625 -0.71875 -0.421875q-0.28125 -0.28125 -0.421875 -0.65625q-0.125 -0.375 -0.125 -0.8125q0 -1.078125 0.796875 -1.6875q0.8125 -0.609375 2.390625 -0.609375l1.484375 0l0 -0.640625q0 -0.625 -0.40625 -1.0q-0.40625 -0.390625 -1.25 -0.390625q-0.609375 0 -1.203125 0.140625q-0.578125 0.125 -1.21875 0.375l0 -1.125q0.25 -0.078125 0.53125 -0.15625q0.296875 -0.09375 0.609375 -0.15625q0.328125 -0.0625 0.671875 -0.09375q0.359375 -0.046875 0.71875 -0.046875q0.640625 0 1.15625 0.140625q0.53125 0.140625 0.890625 0.4375q0.359375 0.296875 0.546875 0.75q0.203125 0.4375 0.203125 1.03125l0 4.953125l-1.109375 0zm-0.140625 -3.265625l-1.578125 0q-0.46875 0 -0.8125 0.09375q-0.328125 0.09375 -0.546875 0.265625q-0.21875 0.171875 -0.328125 0.40625q-0.09375 0.234375 -0.09375 0.546875q0 0.203125 0.0625 0.390625q0.0625 0.1875 0.203125 0.34375q0.15625 0.140625 0.375 0.234375q0.234375 0.078125 0.5625 0.078125q0.4375 0 0.984375 -0.265625q0.5625 -0.265625 1.171875 -0.828125l0 -1.265625zm9.714294 -0.453125q0 0.890625 -0.25 1.59375q-0.234375 0.703125 -0.703125 1.203125q-0.453125 0.484375 -1.109375 0.75q-0.640625 0.25 -1.453125 0.25q-0.640625 0 -1.3125 -0.109375q-0.65625 -0.125 -1.3125 -0.390625l0 -9.6875l1.25 0l0 2.78125l-0.0625 1.328125q0.546875 -0.71875 1.15625 -1.015625q0.609375 -0.296875 1.3125 -0.296875q0.609375 0 1.078125 0.265625q0.46875 0.25 0.78125 0.71875q0.3125 0.46875 0.46875 1.140625q0.15625 0.65625 0.15625 1.46875zm-1.265625 0.046875q0 -0.5625 -0.09375 -1.03125q-0.078125 -0.46875 -0.265625 -0.796875q-0.171875 -0.34375 -0.453125 -0.53125q-0.265625 -0.1875 -0.65625 -0.1875q-0.234375 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.265625 0.171875 -0.5625 0.46875q-0.28125 0.28125 -0.609375 0.703125l0 3.484375q0.359375 0.140625 0.734375 0.234375q0.390625 0.078125 0.734375 0.078125q0.421875 0 0.8125 -0.125q0.390625 -0.140625 0.6875 -0.453125q0.296875 -0.328125 0.46875 -0.859375q0.1875 -0.53125 0.1875 -1.3125zm5.6362305 -5.421875l-2.125 0l0 -1.015625l3.390625 0l0 9.078125l2.125 0l0 1.03125l-5.75 0l0 -1.03125l2.359375 0l0 -8.0625zm11.683105 5.125q0 0.265625 -0.015625 0.453125q0 0.171875 -0.015625 0.328125l-5.046875 0q0 1.09375 0.609375 1.6875q0.625 0.59375 1.78125 0.59375q0.3125 0 0.625 -0.015625q0.3125 -0.03125 0.609375 -0.078125q0.296875 -0.046875 0.5625 -0.09375q0.265625 -0.0625 0.5 -0.125l0 1.03125q-0.515625 0.140625 -1.15625 0.21875q-0.640625 0.09375 -1.328125 0.09375q-0.921875 0 -1.59375 -0.25q-0.65625 -0.25 -1.078125 -0.71875q-0.421875 -0.484375 -0.625 -1.171875q-0.203125 -0.6875 -0.203125 -1.5625q0 -0.765625 0.21875 -1.4375q0.21875 -0.671875 0.625 -1.1875q0.421875 -0.515625 1.03125 -0.8125q0.609375 -0.296875 1.375 -0.296875q0.765625 0 1.34375 0.234375q0.578125 0.234375 0.96875 0.671875q0.40625 0.4375 0.609375 1.0625q0.203125 0.609375 0.203125 1.375zm-1.296875 -0.1875q0.015625 -0.46875 -0.109375 -0.859375q-0.109375 -0.40625 -0.34375 -0.6875q-0.234375 -0.296875 -0.59375 -0.453125q-0.359375 -0.15625 -0.828125 -0.15625q-0.40625 0 -0.75 0.15625q-0.328125 0.15625 -0.578125 0.4375q-0.25 0.28125 -0.40625 0.6875q-0.140625 0.40625 -0.171875 0.875l3.78125 0zm6.1049194 1.90625q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.15625 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.234375 -0.09375 0.453125q-0.09375 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.203125 -0.09375 -0.375 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.453125q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.375 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm11.604919 -6.703125q-0.96875 -0.203125 -1.6875 -0.203125q-1.671875 0 -1.671875 1.75l0 1.265625l3.140625 0l0 1.03125l-3.140625 0l0 5.109375l-1.265625 0l0 -5.109375l-2.3125 0l0 -1.03125l2.3125 0l0 -1.1875q0 -2.875 2.984375 -2.875q0.75 0 1.640625 0.171875l0 1.078125zm-7.515625 1.765625l0 0zm15.464355 3.53125q0 0.84375 -0.25 1.546875q-0.234375 0.6875 -0.671875 1.1875q-0.4375 0.5 -1.078125 0.78125q-0.640625 0.265625 -1.453125 0.265625q-0.765625 0 -1.390625 -0.234375q-0.609375 -0.234375 -1.03125 -0.703125q-0.421875 -0.46875 -0.65625 -1.15625q-0.21875 -0.6875 -0.21875 -1.578125q0 -0.84375 0.234375 -1.53125q0.234375 -0.6875 0.671875 -1.1875q0.453125 -0.5 1.09375 -0.765625q0.640625 -0.28125 1.4375 -0.28125q0.78125 0 1.390625 0.25q0.609375 0.234375 1.03125 0.703125q0.4375 0.453125 0.65625 1.140625q0.234375 0.6875 0.234375 1.5625zm-1.28125 0.0625q0 -0.671875 -0.15625 -1.15625q-0.140625 -0.5 -0.421875 -0.828125q-0.265625 -0.34375 -0.65625 -0.5q-0.375 -0.171875 -0.859375 -0.171875q-0.5625 0 -0.96875 0.21875q-0.390625 0.21875 -0.640625 0.578125q-0.25 0.359375 -0.375 0.84375q-0.109375 0.484375 -0.109375 1.015625q0 0.671875 0.140625 1.171875q0.140625 0.5 0.40625 0.828125q0.28125 0.328125 0.671875 0.5q0.390625 0.171875 0.875 0.171875q0.5625 0 0.953125 -0.21875q0.390625 -0.21875 0.640625 -0.578125q0.265625 -0.375 0.375 -0.859375q0.125 -0.484375 0.125 -1.015625zm9.339355 -0.0625q0 0.84375 -0.25 1.546875q-0.234375 0.6875 -0.671875 1.1875q-0.4375 0.5 -1.078125 0.78125q-0.640625 0.265625 -1.453125 0.265625q-0.765625 0 -1.390625 -0.234375q-0.609375 -0.234375 -1.03125 -0.703125q-0.421875 -0.46875 -0.65625 -1.15625q-0.21875 -0.6875 -0.21875 -1.578125q0 -0.84375 0.234375 -1.53125q0.234375 -0.6875 0.671875 -1.1875q0.453125 -0.5 1.09375 -0.765625q0.640625 -0.28125 1.4375 -0.28125q0.78125 0 1.390625 0.25q0.609375 0.234375 1.03125 0.703125q0.4375 0.453125 0.65625 1.140625q0.234375 0.6875 0.234375 1.5625zm-1.28125 0.0625q0 -0.671875 -0.15625 -1.15625q-0.140625 -0.5 -0.421875 -0.828125q-0.265625 -0.34375 -0.65625 -0.5q-0.375 -0.171875 -0.859375 -0.171875q-0.5625 0 -0.96875 0.21875q-0.390625 0.21875 -0.640625 0.578125q-0.25 0.359375 -0.375 0.84375q-0.109375 0.484375 -0.109375 1.015625q0 0.671875 0.140625 1.171875q0.140625 0.5 0.40625 0.828125q0.28125 0.328125 0.671875 0.5q0.390625 0.171875 0.875 0.171875q0.5625 0 0.953125 -0.21875q0.390625 -0.21875 0.640625 -0.578125q0.265625 -0.375 0.375 -0.859375q0.125 -0.484375 0.125 -1.015625zm5.9017944 1.34375q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.15625 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.234375 -0.09375 0.453125q-0.09375 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.203125 -0.09375 -0.375 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.453125q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.375 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm4.8705444 -1.1875q0 -0.78125 0.203125 -1.46875q0.203125 -0.703125 0.625 -1.21875q0.4375 -0.53125 1.09375 -0.828125q0.671875 -0.3125 1.59375 -0.3125q0.359375 0 0.71875 0.0625q0.359375 0.046875 0.765625 0.171875l1.09375 -0.28125l0 10.25l-1.25 0l0 -2.71875l0.0625 -1.53125q-1.015625 1.4375 -2.421875 1.4375q-0.625 0 -1.09375 -0.25q-0.46875 -0.265625 -0.78125 -0.734375q-0.296875 -0.46875 -0.453125 -1.125q-0.15625 -0.65625 -0.15625 -1.453125zm1.265625 -0.078125q0 0.59375 0.09375 1.078125q0.09375 0.484375 0.265625 0.8125q0.1875 0.328125 0.46875 0.515625q0.28125 0.171875 0.640625 0.171875q0.484375 0 1.0 -0.421875q0.53125 -0.421875 1.109375 -1.25l0 -3.34375q-0.28125 -0.125 -0.65625 -0.203125q-0.359375 -0.09375 -0.765625 -0.09375q-1.046875 0 -1.609375 0.703125q-0.546875 0.6875 -0.546875 2.03125z" fill-rule="nonzero"/><path fill="#000000" d="m529.66455 351.92374l-2.125 0l0 -1.03125l3.390625 0l0 6.15625l2.125 0l0 1.03125l-5.75 0l0 -1.03125l2.359375 0l0 -5.125zm0.4375 -4.046875q0.203125 0 0.375 0.078125q0.1875 0.078125 0.3125 0.21875q0.140625 0.125 0.21875 0.3125q0.078125 0.171875 0.078125 0.375q0 0.203125 -0.078125 0.390625q-0.078125 0.171875 -0.21875 0.3125q-0.125 0.125 -0.3125 0.203125q-0.171875 0.078125 -0.375 0.078125q-0.203125 0 -0.390625 -0.078125q-0.171875 -0.078125 -0.3125 -0.203125q-0.125 -0.140625 -0.203125 -0.3125q-0.078125 -0.1875 -0.078125 -0.390625q0 -0.203125 0.078125 -0.375q0.078125 -0.1875 0.203125 -0.3125q0.140625 -0.140625 0.3125 -0.21875q0.1875 -0.078125 0.390625 -0.078125zm5.1674805 3.015625l1.109375 0l0.046875 1.15625q0.328125 -0.359375 0.609375 -0.609375q0.296875 -0.25 0.578125 -0.390625q0.28125 -0.15625 0.578125 -0.21875q0.296875 -0.0625 0.609375 -0.0625q1.109375 0 1.671875 0.65625q0.578125 0.65625 0.578125 1.96875l0 4.6875l-1.25 0l0 -4.59375q0 -0.84375 -0.3125 -1.234375q-0.3125 -0.40625 -0.9375 -0.40625q-0.234375 0 -0.453125 0.0625q-0.21875 0.0625 -0.453125 0.234375q-0.234375 0.171875 -0.515625 0.453125q-0.265625 0.28125 -0.609375 0.71875l0 4.765625l-1.25 0l0 -7.1875zm13.854919 7.09375q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm2.5580444 -7.09375l1.140625 0l0.03125 1.328125q0.640625 -0.765625 1.25 -1.109375q0.625 -0.34375 1.25 -0.34375q1.125 0 1.703125 0.71875q0.578125 0.71875 0.53125 2.15625l-1.265625 0q0.03125 -0.953125 -0.265625 -1.375q-0.296875 -0.421875 -0.875 -0.421875q-0.25 0 -0.515625 0.09375q-0.25 0.078125 -0.515625 0.28125q-0.265625 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.640625 0.75l0 4.609375l-1.265625 0l0 -7.1875zm14.683105 10.125l-8.0625 0l0 -1.03125l8.0625 0l0 1.03125zm6.8237305 -4.890625q0 0.375 -0.125 0.671875q-0.125 0.296875 -0.34375 0.53125q-0.21875 0.234375 -0.515625 0.40625q-0.296875 0.15625 -0.640625 0.265625q-0.328125 0.109375 -0.6875 0.15625q-0.34375 0.046875 -0.671875 0.046875q-0.734375 0 -1.34375 -0.0625q-0.609375 -0.0625 -1.203125 -0.203125l0 -1.140625q0.640625 0.171875 1.25 0.265625q0.625 0.09375 1.25 0.09375q0.890625 0 1.3125 -0.234375q0.4375 -0.25 0.4375 -0.703125q0 -0.1875 -0.078125 -0.34375q-0.0625 -0.15625 -0.25 -0.296875q-0.171875 -0.140625 -0.546875 -0.28125q-0.375 -0.15625 -1.015625 -0.359375q-0.5 -0.140625 -0.90625 -0.3125q-0.40625 -0.1875 -0.71875 -0.4375q-0.296875 -0.25 -0.46875 -0.578125q-0.171875 -0.34375 -0.171875 -0.8125q0 -0.296875 0.140625 -0.65625q0.140625 -0.359375 0.46875 -0.65625q0.34375 -0.3125 0.921875 -0.515625q0.578125 -0.203125 1.421875 -0.203125q0.421875 0 0.9375 0.046875q0.515625 0.046875 1.078125 0.15625l0 1.109375q-0.578125 -0.140625 -1.109375 -0.203125q-0.53125 -0.078125 -0.90625 -0.078125q-0.46875 0 -0.796875 0.078125q-0.3125 0.0625 -0.515625 0.203125q-0.1875 0.125 -0.28125 0.296875q-0.078125 0.15625 -0.078125 0.34375q0 0.203125 0.0625 0.359375q0.078125 0.15625 0.28125 0.3125q0.21875 0.140625 0.578125 0.28125q0.359375 0.140625 0.953125 0.3125q0.640625 0.1875 1.0625 0.390625q0.4375 0.203125 0.703125 0.46875q0.28125 0.25 0.390625 0.5625q0.125 0.3125 0.125 0.71875zm8.167419 1.859375q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm6.8861694 0.09375l-0.03125 -0.96875q-0.59375 0.578125 -1.203125 0.84375q-0.59375 0.25 -1.265625 0.25q-0.609375 0 -1.046875 -0.15625q-0.4375 -0.15625 -0.71875 -0.421875q-0.28125 -0.28125 -0.421875 -0.65625q-0.125 -0.375 -0.125 -0.8125q0 -1.078125 0.796875 -1.6875q0.8125 -0.609375 2.390625 -0.609375l1.484375 0l0 -0.640625q0 -0.625 -0.40625 -1.0q-0.40625 -0.390625 -1.25 -0.390625q-0.609375 0 -1.203125 0.140625q-0.578125 0.125 -1.21875 0.375l0 -1.125q0.25 -0.078125 0.53125 -0.15625q0.296875 -0.09375 0.609375 -0.15625q0.328125 -0.0625 0.671875 -0.09375q0.359375 -0.046875 0.71875 -0.046875q0.640625 0 1.15625 0.140625q0.53125 0.140625 0.890625 0.4375q0.359375 0.296875 0.546875 0.75q0.203125 0.4375 0.203125 1.03125l0 4.953125l-1.109375 0zm-0.140625 -3.265625l-1.578125 0q-0.46875 0 -0.8125 0.09375q-0.328125 0.09375 -0.546875 0.265625q-0.21875 0.171875 -0.328125 0.40625q-0.09375 0.234375 -0.09375 0.546875q0 0.203125 0.0625 0.390625q0.0625 0.1875 0.203125 0.34375q0.15625 0.140625 0.375 0.234375q0.234375 0.078125 0.5625 0.078125q0.4375 0 0.984375 -0.265625q0.5625 -0.265625 1.171875 -0.828125l0 -1.265625zm9.370605 3.171875q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm8.339355 -3.875q0 0.265625 -0.015625 0.453125q0 0.171875 -0.015625 0.328125l-5.046875 0q0 1.09375 0.609375 1.6875q0.625 0.59375 1.78125 0.59375q0.3125 0 0.625 -0.015625q0.3125 -0.03125 0.609375 -0.078125q0.296875 -0.046875 0.5625 -0.09375q0.265625 -0.0625 0.5 -0.125l0 1.03125q-0.515625 0.140625 -1.15625 0.21875q-0.640625 0.09375 -1.328125 0.09375q-0.921875 0 -1.59375 -0.25q-0.65625 -0.25 -1.078125 -0.71875q-0.421875 -0.484375 -0.625 -1.171875q-0.203125 -0.6875 -0.203125 -1.5625q0 -0.765625 0.21875 -1.4375q0.21875 -0.671875 0.625 -1.1875q0.421875 -0.515625 1.03125 -0.8125q0.609375 -0.296875 1.375 -0.296875q0.765625 0 1.34375 0.234375q0.578125 0.234375 0.96875 0.671875q0.40625 0.4375 0.609375 1.0625q0.203125 0.609375 0.203125 1.375zm-1.296875 -0.1875q0.015625 -0.46875 -0.109375 -0.859375q-0.109375 -0.40625 -0.34375 -0.6875q-0.234375 -0.296875 -0.59375 -0.453125q-0.359375 -0.15625 -0.828125 -0.15625q-0.40625 0 -0.75 0.15625q-0.328125 0.15625 -0.578125 0.4375q-0.25 0.28125 -0.40625 0.6875q-0.140625 0.40625 -0.171875 0.875l3.78125 0zm6.1049194 1.90625q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.15625 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.234375 -0.09375 0.453125q-0.09375 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.203125 -0.09375 -0.375 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.453125q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.375 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm11.604919 -6.703125q-0.96875 -0.203125 -1.6875 -0.203125q-1.671875 0 -1.671875 1.75l0 1.265625l3.140625 0l0 1.03125l-3.140625 0l0 5.109375l-1.265625 0l0 -5.109375l-2.3125 0l0 -1.03125l2.3125 0l0 -1.1875q0 -2.875 2.984375 -2.875q0.75 0 1.640625 0.171875l0 1.078125zm-7.515625 1.765625l0 0zm15.464355 3.53125q0 0.84375 -0.25 1.546875q-0.234375 0.6875 -0.671875 1.1875q-0.4375 0.5 -1.078125 0.78125q-0.640625 0.265625 -1.453125 0.265625q-0.765625 0 -1.390625 -0.234375q-0.609375 -0.234375 -1.03125 -0.703125q-0.421875 -0.46875 -0.65625 -1.15625q-0.21875 -0.6875 -0.21875 -1.578125q0 -0.84375 0.234375 -1.53125q0.234375 -0.6875 0.671875 -1.1875q0.453125 -0.5 1.09375 -0.765625q0.640625 -0.28125 1.4375 -0.28125q0.78125 0 1.390625 0.25q0.609375 0.234375 1.03125 0.703125q0.4375 0.453125 0.65625 1.140625q0.234375 0.6875 0.234375 1.5625zm-1.28125 0.0625q0 -0.671875 -0.15625 -1.15625q-0.140625 -0.5 -0.421875 -0.828125q-0.265625 -0.34375 -0.65625 -0.5q-0.375 -0.171875 -0.859375 -0.171875q-0.5625 0 -0.96875 0.21875q-0.390625 0.21875 -0.640625 0.578125q-0.25 0.359375 -0.375 0.84375q-0.109375 0.484375 -0.109375 1.015625q0 0.671875 0.140625 1.171875q0.140625 0.5 0.40625 0.828125q0.28125 0.328125 0.671875 0.5q0.390625 0.171875 0.875 0.171875q0.5625 0 0.953125 -0.21875q0.390625 -0.21875 0.640625 -0.578125q0.265625 -0.375 0.375 -0.859375q0.125 -0.484375 0.125 -1.015625zm9.339355 -0.0625q0 0.84375 -0.25 1.546875q-0.234375 0.6875 -0.671875 1.1875q-0.4375 0.5 -1.078125 0.78125q-0.640625 0.265625 -1.453125 0.265625q-0.765625 0 -1.390625 -0.234375q-0.609375 -0.234375 -1.03125 -0.703125q-0.421875 -0.46875 -0.65625 -1.15625q-0.21875 -0.6875 -0.21875 -1.578125q0 -0.84375 0.234375 -1.53125q0.234375 -0.6875 0.671875 -1.1875q0.453125 -0.5 1.09375 -0.765625q0.640625 -0.28125 1.4375 -0.28125q0.78125 0 1.390625 0.25q0.609375 0.234375 1.03125 0.703125q0.4375 0.453125 0.65625 1.140625q0.234375 0.6875 0.234375 1.5625zm-1.28125 0.0625q0 -0.671875 -0.15625 -1.15625q-0.140625 -0.5 -0.421875 -0.828125q-0.265625 -0.34375 -0.65625 -0.5q-0.375 -0.171875 -0.859375 -0.171875q-0.5625 0 -0.96875 0.21875q-0.390625 0.21875 -0.640625 0.578125q-0.25 0.359375 -0.375 0.84375q-0.109375 0.484375 -0.109375 1.015625q0 0.671875 0.140625 1.171875q0.140625 0.5 0.40625 0.828125q0.28125 0.328125 0.671875 0.5q0.390625 0.171875 0.875 0.171875q0.5625 0 0.953125 -0.21875q0.390625 -0.21875 0.640625 -0.578125q0.265625 -0.375 0.375 -0.859375q0.125 -0.484375 0.125 -1.015625zm5.9017944 1.34375q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.15625 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.234375 -0.09375 0.453125q-0.09375 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.203125 -0.09375 -0.375 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.453125q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.375 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm4.8705444 -1.1875q0 -0.78125 0.203125 -1.46875q0.203125 -0.703125 0.625 -1.21875q0.4375 -0.53125 1.09375 -0.828125q0.671875 -0.3125 1.59375 -0.3125q0.359375 0 0.71875 0.0625q0.359375 0.046875 0.765625 0.171875l1.09375 -0.28125l0 10.25l-1.25 0l0 -2.71875l0.0625 -1.53125q-1.015625 1.4375 -2.421875 1.4375q-0.625 0 -1.09375 -0.25q-0.46875 -0.265625 -0.78125 -0.734375q-0.296875 -0.46875 -0.453125 -1.125q-0.15625 -0.65625 -0.15625 -1.453125zm1.265625 -0.078125q0 0.59375 0.09375 1.078125q0.09375 0.484375 0.265625 0.8125q0.1875 0.328125 0.46875 0.515625q0.28125 0.171875 0.640625 0.171875q0.484375 0 1.0 -0.421875q0.53125 -0.421875 1.109375 -1.25l0 -3.34375q-0.28125 -0.125 -0.65625 -0.203125q-0.359375 -0.09375 -0.765625 -0.09375q-1.046875 0 -1.609375 0.703125q-0.546875 0.6875 -0.546875 2.03125z" fill-rule="nonzero"/><path fill="#000000" d="m521.60645 423.92374l-2.125 0l0 -1.03125l3.390625 0l0 6.15625l2.125 0l0 1.03125l-5.75 0l0 -1.03125l2.359375 0l0 -5.125zm0.4375 -4.046875q0.203125 0 0.375 0.078125q0.1875 0.078125 0.3125 0.21875q0.140625 0.125 0.21875 0.3125q0.078125 0.171875 0.078125 0.375q0 0.203125 -0.078125 0.390625q-0.078125 0.171875 -0.21875 0.3125q-0.125 0.125 -0.3125 0.203125q-0.171875 0.078125 -0.375 0.078125q-0.203125 0 -0.390625 -0.078125q-0.171875 -0.078125 -0.3125 -0.203125q-0.125 -0.140625 -0.203125 -0.3125q-0.078125 -0.1875 -0.078125 -0.390625q0 -0.203125 0.078125 -0.375q0.078125 -0.1875 0.203125 -0.3125q0.140625 -0.140625 0.3125 -0.21875q0.1875 -0.078125 0.390625 -0.078125zm5.1674805 3.015625l1.109375 0l0.046875 1.15625q0.328125 -0.359375 0.609375 -0.609375q0.296875 -0.25 0.578125 -0.390625q0.28125 -0.15625 0.578125 -0.21875q0.296875 -0.0625 0.609375 -0.0625q1.109375 0 1.671875 0.65625q0.578125 0.65625 0.578125 1.96875l0 4.6875l-1.25 0l0 -4.59375q0 -0.84375 -0.3125 -1.234375q-0.3125 -0.40625 -0.9375 -0.40625q-0.234375 0 -0.453125 0.0625q-0.21875 0.0625 -0.453125 0.234375q-0.234375 0.171875 -0.515625 0.453125q-0.265625 0.28125 -0.609375 0.71875l0 4.765625l-1.25 0l0 -7.1875zm13.85498 7.09375q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm2.5580444 -7.09375l1.140625 0l0.03125 1.328125q0.640625 -0.765625 1.25 -1.109375q0.625 -0.34375 1.25 -0.34375q1.125 0 1.703125 0.71875q0.578125 0.71875 0.53125 2.15625l-1.265625 0q0.03125 -0.953125 -0.265625 -1.375q-0.296875 -0.421875 -0.875 -0.421875q-0.25 0 -0.515625 0.09375q-0.25 0.078125 -0.515625 0.28125q-0.265625 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.640625 0.75l0 4.609375l-1.265625 0l0 -7.1875zm14.683044 10.125l-8.0625 0l0 -1.03125l8.0625 0l0 1.03125zm6.8237305 -4.890625q0 0.375 -0.125 0.671875q-0.125 0.296875 -0.34375 0.53125q-0.21875 0.234375 -0.515625 0.40625q-0.296875 0.15625 -0.640625 0.265625q-0.328125 0.109375 -0.6875 0.15625q-0.34375 0.046875 -0.671875 0.046875q-0.734375 0 -1.34375 -0.0625q-0.609375 -0.0625 -1.203125 -0.203125l0 -1.140625q0.640625 0.171875 1.25 0.265625q0.625 0.09375 1.25 0.09375q0.890625 0 1.3125 -0.234375q0.4375 -0.25 0.4375 -0.703125q0 -0.1875 -0.078125 -0.34375q-0.0625 -0.15625 -0.25 -0.296875q-0.171875 -0.140625 -0.546875 -0.28125q-0.375 -0.15625 -1.015625 -0.359375q-0.5 -0.140625 -0.90625 -0.3125q-0.40625 -0.1875 -0.71875 -0.4375q-0.296875 -0.25 -0.46875 -0.578125q-0.171875 -0.34375 -0.171875 -0.8125q0 -0.296875 0.140625 -0.65625q0.140625 -0.359375 0.46875 -0.65625q0.34375 -0.3125 0.921875 -0.515625q0.578125 -0.203125 1.421875 -0.203125q0.421875 0 0.9375 0.046875q0.515625 0.046875 1.078125 0.15625l0 1.109375q-0.578125 -0.140625 -1.109375 -0.203125q-0.53125 -0.078125 -0.90625 -0.078125q-0.46875 0 -0.796875 0.078125q-0.3125 0.0625 -0.515625 0.203125q-0.1875 0.125 -0.28125 0.296875q-0.078125 0.15625 -0.078125 0.34375q0 0.203125 0.0625 0.359375q0.078125 0.15625 0.28125 0.3125q0.21875 0.140625 0.578125 0.28125q0.359375 0.140625 0.953125 0.3125q0.640625 0.1875 1.0625 0.390625q0.4375 0.203125 0.703125 0.46875q0.28125 0.25 0.390625 0.5625q0.125 0.3125 0.125 0.71875zm8.16748 1.859375q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm6.8861694 0.09375l-0.03125 -0.96875q-0.59375 0.578125 -1.203125 0.84375q-0.59375 0.25 -1.265625 0.25q-0.609375 0 -1.046875 -0.15625q-0.4375 -0.15625 -0.71875 -0.421875q-0.28125 -0.28125 -0.421875 -0.65625q-0.125 -0.375 -0.125 -0.8125q0 -1.078125 0.796875 -1.6875q0.8125 -0.609375 2.390625 -0.609375l1.484375 0l0 -0.640625q0 -0.625 -0.40625 -1.0q-0.40625 -0.390625 -1.25 -0.390625q-0.609375 0 -1.203125 0.140625q-0.578125 0.125 -1.21875 0.375l0 -1.125q0.25 -0.078125 0.53125 -0.15625q0.296875 -0.09375 0.609375 -0.15625q0.328125 -0.0625 0.671875 -0.09375q0.359375 -0.046875 0.71875 -0.046875q0.640625 0 1.15625 0.140625q0.53125 0.140625 0.890625 0.4375q0.359375 0.296875 0.546875 0.75q0.203125 0.4375 0.203125 1.03125l0 4.953125l-1.109375 0zm-0.140625 -3.265625l-1.578125 0q-0.46875 0 -0.8125 0.09375q-0.328125 0.09375 -0.546875 0.265625q-0.21875 0.171875 -0.328125 0.40625q-0.09375 0.234375 -0.09375 0.546875q0 0.203125 0.0625 0.390625q0.0625 0.1875 0.203125 0.34375q0.15625 0.140625 0.375 0.234375q0.234375 0.078125 0.5625 0.078125q0.4375 0 0.984375 -0.265625q0.5625 -0.265625 1.171875 -0.828125l0 -1.265625zm9.370544 3.171875q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm8.339355 -3.875q0 0.265625 -0.015625 0.453125q0 0.171875 -0.015625 0.328125l-5.046875 0q0 1.09375 0.609375 1.6875q0.625 0.59375 1.78125 0.59375q0.3125 0 0.625 -0.015625q0.3125 -0.03125 0.609375 -0.078125q0.296875 -0.046875 0.5625 -0.09375q0.265625 -0.0625 0.5 -0.125l0 1.03125q-0.515625 0.140625 -1.15625 0.21875q-0.640625 0.09375 -1.328125 0.09375q-0.921875 0 -1.59375 -0.25q-0.65625 -0.25 -1.078125 -0.71875q-0.421875 -0.484375 -0.625 -1.171875q-0.203125 -0.6875 -0.203125 -1.5625q0 -0.765625 0.21875 -1.4375q0.21875 -0.671875 0.625 -1.1875q0.421875 -0.515625 1.03125 -0.8125q0.609375 -0.296875 1.375 -0.296875q0.765625 0 1.34375 0.234375q0.578125 0.234375 0.96875 0.671875q0.40625 0.4375 0.609375 1.0625q0.203125 0.609375 0.203125 1.375zm-1.296875 -0.1875q0.015625 -0.46875 -0.109375 -0.859375q-0.109375 -0.40625 -0.34375 -0.6875q-0.234375 -0.296875 -0.59375 -0.453125q-0.359375 -0.15625 -0.828125 -0.15625q-0.40625 0 -0.75 0.15625q-0.328125 0.15625 -0.578125 0.4375q-0.25 0.28125 -0.40625 0.6875q-0.140625 0.40625 -0.171875 0.875l3.78125 0zm6.1049805 1.90625q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.15625 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.234375 -0.09375 0.453125q-0.09375 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.203125 -0.09375 -0.375 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.453125q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.375 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm11.604919 -6.703125q-0.96875 -0.203125 -1.6875 -0.203125q-1.671875 0 -1.671875 1.75l0 1.265625l3.140625 0l0 1.03125l-3.140625 0l0 5.109375l-1.265625 0l0 -5.109375l-2.3125 0l0 -1.03125l2.3125 0l0 -1.1875q0 -2.875 2.984375 -2.875q0.75 0 1.640625 0.171875l0 1.078125zm-7.515625 1.765625l0 0zm15.464294 3.53125q0 0.84375 -0.25 1.546875q-0.234375 0.6875 -0.671875 1.1875q-0.4375 0.5 -1.078125 0.78125q-0.640625 0.265625 -1.453125 0.265625q-0.765625 0 -1.390625 -0.234375q-0.609375 -0.234375 -1.03125 -0.703125q-0.421875 -0.46875 -0.65625 -1.15625q-0.21875 -0.6875 -0.21875 -1.578125q0 -0.84375 0.234375 -1.53125q0.234375 -0.6875 0.671875 -1.1875q0.453125 -0.5 1.09375 -0.765625q0.640625 -0.28125 1.4375 -0.28125q0.78125 0 1.390625 0.25q0.609375 0.234375 1.03125 0.703125q0.4375 0.453125 0.65625 1.140625q0.234375 0.6875 0.234375 1.5625zm-1.28125 0.0625q0 -0.671875 -0.15625 -1.15625q-0.140625 -0.5 -0.421875 -0.828125q-0.265625 -0.34375 -0.65625 -0.5q-0.375 -0.171875 -0.859375 -0.171875q-0.5625 0 -0.96875 0.21875q-0.390625 0.21875 -0.640625 0.578125q-0.25 0.359375 -0.375 0.84375q-0.109375 0.484375 -0.109375 1.015625q0 0.671875 0.140625 1.171875q0.140625 0.5 0.40625 0.828125q0.28125 0.328125 0.671875 0.5q0.390625 0.171875 0.875 0.171875q0.5625 0 0.953125 -0.21875q0.390625 -0.21875 0.640625 -0.578125q0.265625 -0.375 0.375 -0.859375q0.125 -0.484375 0.125 -1.015625zm9.339355 -0.0625q0 0.84375 -0.25 1.546875q-0.234375 0.6875 -0.671875 1.1875q-0.4375 0.5 -1.078125 0.78125q-0.640625 0.265625 -1.453125 0.265625q-0.765625 0 -1.390625 -0.234375q-0.609375 -0.234375 -1.03125 -0.703125q-0.421875 -0.46875 -0.65625 -1.15625q-0.21875 -0.6875 -0.21875 -1.578125q0 -0.84375 0.234375 -1.53125q0.234375 -0.6875 0.671875 -1.1875q0.453125 -0.5 1.09375 -0.765625q0.640625 -0.28125 1.4375 -0.28125q0.78125 0 1.390625 0.25q0.609375 0.234375 1.03125 0.703125q0.4375 0.453125 0.65625 1.140625q0.234375 0.6875 0.234375 1.5625zm-1.28125 0.0625q0 -0.671875 -0.15625 -1.15625q-0.140625 -0.5 -0.421875 -0.828125q-0.265625 -0.34375 -0.65625 -0.5q-0.375 -0.171875 -0.859375 -0.171875q-0.5625 0 -0.96875 0.21875q-0.390625 0.21875 -0.640625 0.578125q-0.25 0.359375 -0.375 0.84375q-0.109375 0.484375 -0.109375 1.015625q0 0.671875 0.140625 1.171875q0.140625 0.5 0.40625 0.828125q0.28125 0.328125 0.671875 0.5q0.390625 0.171875 0.875 0.171875q0.5625 0 0.953125 -0.21875q0.390625 -0.21875 0.640625 -0.578125q0.265625 -0.375 0.375 -0.859375q0.125 -0.484375 0.125 -1.015625zm5.9018555 1.34375q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.15625 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.234375 -0.09375 0.453125q-0.09375 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.203125 -0.09375 -0.375 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.453125q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.375 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm4.8705444 -1.1875q0 -0.921875 0.25 -1.625q0.25 -0.71875 0.703125 -1.203125q0.46875 -0.5 1.109375 -0.75q0.65625 -0.25 1.453125 -0.25q0.34375 0 0.671875 0.046875q0.328125 0.03125 0.65625 0.125l0 -3.015625l1.25 0l0 10.109375l-1.109375 0l-0.046875 -1.359375q-0.515625 0.765625 -1.125 1.125q-0.609375 0.359375 -1.328125 0.359375q-0.609375 0 -1.078125 -0.25q-0.46875 -0.265625 -0.78125 -0.734375q-0.3125 -0.46875 -0.46875 -1.125q-0.15625 -0.65625 -0.15625 -1.453125zm1.265625 -0.078125q0 1.296875 0.375 1.9375q0.390625 0.640625 1.09375 0.640625q0.484375 0 1.0 -0.421875q0.53125 -0.421875 1.109375 -1.25l0 -3.34375q-0.3125 -0.140625 -0.6875 -0.203125q-0.359375 -0.078125 -0.734375 -0.078125q-1.015625 0 -1.59375 0.65625q-0.5625 0.65625 -0.5625 2.0625zm13.229919 -0.453125q0 0.265625 -0.015625 0.453125q0 0.171875 -0.015625 0.328125l-5.046875 0q0 1.09375 0.609375 1.6875q0.625 0.59375 1.78125 0.59375q0.3125 0 0.625 -0.015625q0.3125 -0.03125 0.609375 -0.078125q0.296875 -0.046875 0.5625 -0.09375q0.265625 -0.0625 0.5 -0.125l0 1.03125q-0.515625 0.140625 -1.15625 0.21875q-0.640625 0.09375 -1.328125 0.09375q-0.921875 0 -1.59375 -0.25q-0.65625 -0.25 -1.078125 -0.71875q-0.421875 -0.484375 -0.625 -1.171875q-0.203125 -0.6875 -0.203125 -1.5625q0 -0.765625 0.21875 -1.4375q0.21875 -0.671875 0.625 -1.1875q0.421875 -0.515625 1.03125 -0.8125q0.609375 -0.296875 1.375 -0.296875q0.765625 0 1.34375 0.234375q0.578125 0.234375 0.96875 0.671875q0.40625 0.4375 0.609375 1.0625q0.203125 0.609375 0.203125 1.375zm-1.296875 -0.1875q0.015625 -0.46875 -0.109375 -0.859375q-0.109375 -0.40625 -0.34375 -0.6875q-0.234375 -0.296875 -0.59375 -0.453125q-0.359375 -0.15625 -0.828125 -0.15625q-0.40625 0 -0.75 0.15625q-0.328125 0.15625 -0.578125 0.4375q-0.25 0.28125 -0.40625 0.6875q-0.140625 0.40625 -0.171875 0.875l3.78125 0z" fill-rule="nonzero"/><path fill="#000000" d="m529.66455 449.92374l-2.125 0l0 -1.03125l3.390625 0l0 6.15625l2.125 0l0 1.03125l-5.75 0l0 -1.03125l2.359375 0l0 -5.125zm0.4375 -4.046875q0.203125 0 0.375 0.078125q0.1875 0.078125 0.3125 0.21875q0.140625 0.125 0.21875 0.3125q0.078125 0.171875 0.078125 0.375q0 0.203125 -0.078125 0.390625q-0.078125 0.171875 -0.21875 0.3125q-0.125 0.125 -0.3125 0.203125q-0.171875 0.078125 -0.375 0.078125q-0.203125 0 -0.390625 -0.078125q-0.171875 -0.078125 -0.3125 -0.203125q-0.125 -0.140625 -0.203125 -0.3125q-0.078125 -0.1875 -0.078125 -0.390625q0 -0.203125 0.078125 -0.375q0.078125 -0.1875 0.203125 -0.3125q0.140625 -0.140625 0.3125 -0.21875q0.1875 -0.078125 0.390625 -0.078125zm5.1674805 3.015625l1.109375 0l0.046875 1.15625q0.328125 -0.359375 0.609375 -0.609375q0.296875 -0.25 0.578125 -0.390625q0.28125 -0.15625 0.578125 -0.21875q0.296875 -0.0625 0.609375 -0.0625q1.109375 0 1.671875 0.65625q0.578125 0.65625 0.578125 1.96875l0 4.6875l-1.25 0l0 -4.59375q0 -0.84375 -0.3125 -1.234375q-0.3125 -0.40625 -0.9375 -0.40625q-0.234375 0 -0.453125 0.0625q-0.21875 0.0625 -0.453125 0.234375q-0.234375 0.171875 -0.515625 0.453125q-0.265625 0.28125 -0.609375 0.71875l0 4.765625l-1.25 0l0 -7.1875zm13.854919 7.09375q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm2.5580444 -7.09375l1.140625 0l0.03125 1.328125q0.640625 -0.765625 1.25 -1.109375q0.625 -0.34375 1.25 -0.34375q1.125 0 1.703125 0.71875q0.578125 0.71875 0.53125 2.15625l-1.265625 0q0.03125 -0.953125 -0.265625 -1.375q-0.296875 -0.421875 -0.875 -0.421875q-0.25 0 -0.515625 0.09375q-0.25 0.078125 -0.515625 0.28125q-0.265625 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.640625 0.75l0 4.609375l-1.265625 0l0 -7.1875zm14.683105 10.125l-8.0625 0l0 -1.03125l8.0625 0l0 1.03125zm6.8237305 -4.890625q0 0.375 -0.125 0.671875q-0.125 0.296875 -0.34375 0.53125q-0.21875 0.234375 -0.515625 0.40625q-0.296875 0.15625 -0.640625 0.265625q-0.328125 0.109375 -0.6875 0.15625q-0.34375 0.046875 -0.671875 0.046875q-0.734375 0 -1.34375 -0.0625q-0.609375 -0.0625 -1.203125 -0.203125l0 -1.140625q0.640625 0.171875 1.25 0.265625q0.625 0.09375 1.25 0.09375q0.890625 0 1.3125 -0.234375q0.4375 -0.25 0.4375 -0.703125q0 -0.1875 -0.078125 -0.34375q-0.0625 -0.15625 -0.25 -0.296875q-0.171875 -0.140625 -0.546875 -0.28125q-0.375 -0.15625 -1.015625 -0.359375q-0.5 -0.140625 -0.90625 -0.3125q-0.40625 -0.1875 -0.71875 -0.4375q-0.296875 -0.25 -0.46875 -0.578125q-0.171875 -0.34375 -0.171875 -0.8125q0 -0.296875 0.140625 -0.65625q0.140625 -0.359375 0.46875 -0.65625q0.34375 -0.3125 0.921875 -0.515625q0.578125 -0.203125 1.421875 -0.203125q0.421875 0 0.9375 0.046875q0.515625 0.046875 1.078125 0.15625l0 1.109375q-0.578125 -0.140625 -1.109375 -0.203125q-0.53125 -0.078125 -0.90625 -0.078125q-0.46875 0 -0.796875 0.078125q-0.3125 0.0625 -0.515625 0.203125q-0.1875 0.125 -0.28125 0.296875q-0.078125 0.15625 -0.078125 0.34375q0 0.203125 0.0625 0.359375q0.078125 0.15625 0.28125 0.3125q0.21875 0.140625 0.578125 0.28125q0.359375 0.140625 0.953125 0.3125q0.640625 0.1875 1.0625 0.390625q0.4375 0.203125 0.703125 0.46875q0.28125 0.25 0.390625 0.5625q0.125 0.3125 0.125 0.71875zm8.167419 1.859375q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm6.8861694 0.09375l-0.03125 -0.96875q-0.59375 0.578125 -1.203125 0.84375q-0.59375 0.25 -1.265625 0.25q-0.609375 0 -1.046875 -0.15625q-0.4375 -0.15625 -0.71875 -0.421875q-0.28125 -0.28125 -0.421875 -0.65625q-0.125 -0.375 -0.125 -0.8125q0 -1.078125 0.796875 -1.6875q0.8125 -0.609375 2.390625 -0.609375l1.484375 0l0 -0.640625q0 -0.625 -0.40625 -1.0q-0.40625 -0.390625 -1.25 -0.390625q-0.609375 0 -1.203125 0.140625q-0.578125 0.125 -1.21875 0.375l0 -1.125q0.25 -0.078125 0.53125 -0.15625q0.296875 -0.09375 0.609375 -0.15625q0.328125 -0.0625 0.671875 -0.09375q0.359375 -0.046875 0.71875 -0.046875q0.640625 0 1.15625 0.140625q0.53125 0.140625 0.890625 0.4375q0.359375 0.296875 0.546875 0.75q0.203125 0.4375 0.203125 1.03125l0 4.953125l-1.109375 0zm-0.140625 -3.265625l-1.578125 0q-0.46875 0 -0.8125 0.09375q-0.328125 0.09375 -0.546875 0.265625q-0.21875 0.171875 -0.328125 0.40625q-0.09375 0.234375 -0.09375 0.546875q0 0.203125 0.0625 0.390625q0.0625 0.1875 0.203125 0.34375q0.15625 0.140625 0.375 0.234375q0.234375 0.078125 0.5625 0.078125q0.4375 0 0.984375 -0.265625q0.5625 -0.265625 1.171875 -0.828125l0 -1.265625zm9.370605 3.171875q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm8.339355 -3.875q0 0.265625 -0.015625 0.453125q0 0.171875 -0.015625 0.328125l-5.046875 0q0 1.09375 0.609375 1.6875q0.625 0.59375 1.78125 0.59375q0.3125 0 0.625 -0.015625q0.3125 -0.03125 0.609375 -0.078125q0.296875 -0.046875 0.5625 -0.09375q0.265625 -0.0625 0.5 -0.125l0 1.03125q-0.515625 0.140625 -1.15625 0.21875q-0.640625 0.09375 -1.328125 0.09375q-0.921875 0 -1.59375 -0.25q-0.65625 -0.25 -1.078125 -0.71875q-0.421875 -0.484375 -0.625 -1.171875q-0.203125 -0.6875 -0.203125 -1.5625q0 -0.765625 0.21875 -1.4375q0.21875 -0.671875 0.625 -1.1875q0.421875 -0.515625 1.03125 -0.8125q0.609375 -0.296875 1.375 -0.296875q0.765625 0 1.34375 0.234375q0.578125 0.234375 0.96875 0.671875q0.40625 0.4375 0.609375 1.0625q0.203125 0.609375 0.203125 1.375zm-1.296875 -0.1875q0.015625 -0.46875 -0.109375 -0.859375q-0.109375 -0.40625 -0.34375 -0.6875q-0.234375 -0.296875 -0.59375 -0.453125q-0.359375 -0.15625 -0.828125 -0.15625q-0.40625 0 -0.75 0.15625q-0.328125 0.15625 -0.578125 0.4375q-0.25 0.28125 -0.40625 0.6875q-0.140625 0.40625 -0.171875 0.875l3.78125 0zm6.1049194 1.90625q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.15625 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.234375 -0.09375 0.453125q-0.09375 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.203125 -0.09375 -0.375 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.453125q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.375 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm11.604919 -6.703125q-0.96875 -0.203125 -1.6875 -0.203125q-1.671875 0 -1.671875 1.75l0 1.265625l3.140625 0l0 1.03125l-3.140625 0l0 5.109375l-1.265625 0l0 -5.109375l-2.3125 0l0 -1.03125l2.3125 0l0 -1.1875q0 -2.875 2.984375 -2.875q0.75 0 1.640625 0.171875l0 1.078125zm-7.515625 1.765625l0 0zm15.464355 3.53125q0 0.84375 -0.25 1.546875q-0.234375 0.6875 -0.671875 1.1875q-0.4375 0.5 -1.078125 0.78125q-0.640625 0.265625 -1.453125 0.265625q-0.765625 0 -1.390625 -0.234375q-0.609375 -0.234375 -1.03125 -0.703125q-0.421875 -0.46875 -0.65625 -1.15625q-0.21875 -0.6875 -0.21875 -1.578125q0 -0.84375 0.234375 -1.53125q0.234375 -0.6875 0.671875 -1.1875q0.453125 -0.5 1.09375 -0.765625q0.640625 -0.28125 1.4375 -0.28125q0.78125 0 1.390625 0.25q0.609375 0.234375 1.03125 0.703125q0.4375 0.453125 0.65625 1.140625q0.234375 0.6875 0.234375 1.5625zm-1.28125 0.0625q0 -0.671875 -0.15625 -1.15625q-0.140625 -0.5 -0.421875 -0.828125q-0.265625 -0.34375 -0.65625 -0.5q-0.375 -0.171875 -0.859375 -0.171875q-0.5625 0 -0.96875 0.21875q-0.390625 0.21875 -0.640625 0.578125q-0.25 0.359375 -0.375 0.84375q-0.109375 0.484375 -0.109375 1.015625q0 0.671875 0.140625 1.171875q0.140625 0.5 0.40625 0.828125q0.28125 0.328125 0.671875 0.5q0.390625 0.171875 0.875 0.171875q0.5625 0 0.953125 -0.21875q0.390625 -0.21875 0.640625 -0.578125q0.265625 -0.375 0.375 -0.859375q0.125 -0.484375 0.125 -1.015625zm9.339355 -0.0625q0 0.84375 -0.25 1.546875q-0.234375 0.6875 -0.671875 1.1875q-0.4375 0.5 -1.078125 0.78125q-0.640625 0.265625 -1.453125 0.265625q-0.765625 0 -1.390625 -0.234375q-0.609375 -0.234375 -1.03125 -0.703125q-0.421875 -0.46875 -0.65625 -1.15625q-0.21875 -0.6875 -0.21875 -1.578125q0 -0.84375 0.234375 -1.53125q0.234375 -0.6875 0.671875 -1.1875q0.453125 -0.5 1.09375 -0.765625q0.640625 -0.28125 1.4375 -0.28125q0.78125 0 1.390625 0.25q0.609375 0.234375 1.03125 0.703125q0.4375 0.453125 0.65625 1.140625q0.234375 0.6875 0.234375 1.5625zm-1.28125 0.0625q0 -0.671875 -0.15625 -1.15625q-0.140625 -0.5 -0.421875 -0.828125q-0.265625 -0.34375 -0.65625 -0.5q-0.375 -0.171875 -0.859375 -0.171875q-0.5625 0 -0.96875 0.21875q-0.390625 0.21875 -0.640625 0.578125q-0.25 0.359375 -0.375 0.84375q-0.109375 0.484375 -0.109375 1.015625q0 0.671875 0.140625 1.171875q0.140625 0.5 0.40625 0.828125q0.28125 0.328125 0.671875 0.5q0.390625 0.171875 0.875 0.171875q0.5625 0 0.953125 -0.21875q0.390625 -0.21875 0.640625 -0.578125q0.265625 -0.375 0.375 -0.859375q0.125 -0.484375 0.125 -1.015625zm5.9017944 1.34375q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.15625 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.234375 -0.09375 0.453125q-0.09375 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.203125 -0.09375 -0.375 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.453125q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.375 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm4.8705444 -1.1875q0 -0.921875 0.25 -1.625q0.25 -0.71875 0.703125 -1.203125q0.46875 -0.5 1.109375 -0.75q0.65625 -0.25 1.453125 -0.25q0.34375 0 0.671875 0.046875q0.328125 0.03125 0.65625 0.125l0 -3.015625l1.25 0l0 10.109375l-1.109375 0l-0.046875 -1.359375q-0.515625 0.765625 -1.125 1.125q-0.609375 0.359375 -1.328125 0.359375q-0.609375 0 -1.078125 -0.25q-0.46875 -0.265625 -0.78125 -0.734375q-0.3125 -0.46875 -0.46875 -1.125q-0.15625 -0.65625 -0.15625 -1.453125zm1.265625 -0.078125q0 1.296875 0.375 1.9375q0.390625 0.640625 1.09375 0.640625q0.484375 0 1.0 -0.421875q0.53125 -0.421875 1.109375 -1.25l0 -3.34375q-0.3125 -0.140625 -0.6875 -0.203125q-0.359375 -0.078125 -0.734375 -0.078125q-1.015625 0 -1.59375 0.65625q-0.5625 0.65625 -0.5625 2.0625z" fill-rule="nonzero"/><path fill="#000000" d="m537.72266 515.9237l-2.125 0l0 -1.03125l3.390625 0l0 6.15625l2.125 0l0 1.03125l-5.75 0l0 -1.03125l2.359375 0l0 -5.125zm0.4375 -4.0468445q0.203125 0 0.375 0.078125q0.1875 0.07809448 0.3125 0.21871948q0.140625 0.125 0.21875 0.3125q0.078125 0.171875 0.078125 0.375q0 0.203125 -0.078125 0.390625q-0.078125 0.171875 -0.21875 0.3125q-0.125 0.125 -0.3125 0.203125q-0.171875 0.078125 -0.375 0.078125q-0.203125 0 -0.390625 -0.078125q-0.171875 -0.078125 -0.3125 -0.203125q-0.125 -0.140625 -0.203125 -0.3125q-0.078125 -0.1875 -0.078125 -0.390625q0 -0.203125 0.078125 -0.375q0.078125 -0.1875 0.203125 -0.3125q0.140625 -0.140625 0.3125 -0.21871948q0.1875 -0.078125 0.390625 -0.078125zm5.1674194 3.0155945l1.109375 0l0.046875 1.15625q0.328125 -0.359375 0.609375 -0.609375q0.296875 -0.25 0.578125 -0.390625q0.28125 -0.15625 0.578125 -0.21875q0.296875 -0.0625 0.609375 -0.0625q1.109375 0 1.671875 0.65625q0.578125 0.65625 0.578125 1.96875l0 4.6875l-1.25 0l0 -4.59375q0 -0.84375 -0.3125 -1.234375q-0.3125 -0.40625 -0.9375 -0.40625q-0.234375 0 -0.453125 0.0625q-0.21875 0.0625 -0.453125 0.234375q-0.234375 0.171875 -0.515625 0.453125q-0.265625 0.28125 -0.609375 0.71875l0 4.765625l-1.25 0l0 -7.1875zm13.854919 7.09375q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm2.5581055 -7.09375l1.140625 0l0.03125 1.328125q0.640625 -0.765625 1.25 -1.109375q0.625 -0.34375 1.25 -0.34375q1.125 0 1.703125 0.71875q0.578125 0.71875 0.53125 2.15625l-1.265625 0q0.03125 -0.953125 -0.265625 -1.375q-0.296875 -0.421875 -0.875 -0.421875q-0.25 0 -0.515625 0.09375q-0.25 0.078125 -0.515625 0.28125q-0.265625 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.640625 0.75l0 4.609375l-1.265625 0l0 -7.1875zm14.683105 10.125l-8.0625 0l0 -1.03125l8.0625 0l0 1.03125zm6.9330444 -3.03125q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm8.339294 -3.875q0 0.265625 -0.015625 0.453125q0 0.171875 -0.015625 0.328125l-5.046875 0q0 1.09375 0.609375 1.6875q0.625 0.59375 1.78125 0.59375q0.3125 0 0.625 -0.015625q0.3125 -0.03125 0.609375 -0.078125q0.296875 -0.046875 0.5625 -0.09375q0.265625 -0.0625 0.5 -0.125l0 1.03125q-0.515625 0.140625 -1.15625 0.21875q-0.640625 0.09375 -1.328125 0.09375q-0.921875 0 -1.59375 -0.25q-0.65625 -0.25 -1.078125 -0.71875q-0.421875 -0.484375 -0.625 -1.171875q-0.203125 -0.6875 -0.203125 -1.5625q0 -0.765625 0.21875 -1.4375q0.21875 -0.671875 0.625 -1.1875q0.421875 -0.515625 1.03125 -0.8125q0.609375 -0.296875 1.375 -0.296875q0.765625 0 1.34375 0.234375q0.578125 0.234375 0.96875 0.671875q0.40625 0.4375 0.609375 1.0625q0.203125 0.609375 0.203125 1.375zm-1.296875 -0.1875q0.015625 -0.46875 -0.109375 -0.859375q-0.109375 -0.40625 -0.34375 -0.6875q-0.234375 -0.296875 -0.59375 -0.453125q-0.359375 -0.15625 -0.828125 -0.15625q-0.40625 0 -0.75 0.15625q-0.328125 0.15625 -0.578125 0.4375q-0.25 0.28125 -0.40625 0.6875q-0.140625 0.40625 -0.171875 0.875l3.78125 0zm8.964355 2.203125q0 0.375 -0.125 0.671875q-0.125 0.296875 -0.34375 0.53125q-0.21875 0.234375 -0.515625 0.40625q-0.296875 0.15625 -0.640625 0.265625q-0.328125 0.109375 -0.6875 0.15625q-0.34375 0.046875 -0.671875 0.046875q-0.734375 0 -1.34375 -0.0625q-0.609375 -0.0625 -1.203125 -0.203125l0 -1.140625q0.640625 0.171875 1.25 0.265625q0.625 0.09375 1.25 0.09375q0.890625 0 1.3125 -0.234375q0.4375 -0.25 0.4375 -0.703125q0 -0.1875 -0.078125 -0.34375q-0.0625 -0.15625 -0.25 -0.296875q-0.171875 -0.140625 -0.546875 -0.28125q-0.375 -0.15625 -1.015625 -0.359375q-0.5 -0.140625 -0.90625 -0.3125q-0.40625 -0.1875 -0.71875 -0.4375q-0.296875 -0.25 -0.46875 -0.578125q-0.171875 -0.34375 -0.171875 -0.8125q0 -0.296875 0.140625 -0.65625q0.140625 -0.359375 0.46875 -0.65625q0.34375 -0.3125 0.921875 -0.515625q0.578125 -0.203125 1.421875 -0.203125q0.421875 0 0.9375 0.046875q0.515625 0.046875 1.078125 0.15625l0 1.109375q-0.578125 -0.140625 -1.109375 -0.203125q-0.53125 -0.078125 -0.90625 -0.078125q-0.46875 0 -0.796875 0.078125q-0.3125 0.0625 -0.515625 0.203125q-0.1875 0.125 -0.28125 0.296875q-0.078125 0.15625 -0.078125 0.34375q0 0.203125 0.0625 0.359375q0.078125 0.15625 0.28125 0.3125q0.21875 0.140625 0.578125 0.28125q0.359375 0.140625 0.953125 0.3125q0.640625 0.1875 1.0625 0.390625q0.4375 0.203125 0.703125 0.46875q0.28125 0.25 0.390625 0.5625q0.125 0.3125 0.125 0.71875zm8.16748 1.859375q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm5.0892944 -2.15625q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.15625 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.234375 -0.09375 0.453125q-0.09375 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.203125 -0.09375 -0.375 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.453125q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.375 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm11.604919 -6.703125q-0.96875 -0.203125 -1.6875 -0.203125q-1.671875 0 -1.671875 1.75l0 1.265625l3.140625 0l0 1.03125l-3.140625 0l0 5.109375l-1.265625 0l0 -5.109375l-2.3125 0l0 -1.03125l2.3125 0l0 -1.1875q0 -2.8749695 2.984375 -2.8749695q0.75 0 1.640625 0.17184448l0 1.078125zm-7.515625 1.765625l0 0zm15.464355 3.53125q0 0.84375 -0.25 1.546875q-0.234375 0.6875 -0.671875 1.1875q-0.4375 0.5 -1.078125 0.78125q-0.640625 0.265625 -1.453125 0.265625q-0.765625 0 -1.390625 -0.234375q-0.609375 -0.234375 -1.03125 -0.703125q-0.421875 -0.46875 -0.65625 -1.15625q-0.21875 -0.6875 -0.21875 -1.578125q0 -0.84375 0.234375 -1.53125q0.234375 -0.6875 0.671875 -1.1875q0.453125 -0.5 1.09375 -0.765625q0.640625 -0.28125 1.4375 -0.28125q0.78125 0 1.390625 0.25q0.609375 0.234375 1.03125 0.703125q0.4375 0.453125 0.65625 1.140625q0.234375 0.6875 0.234375 1.5625zm-1.28125 0.0625q0 -0.671875 -0.15625 -1.15625q-0.140625 -0.5 -0.421875 -0.828125q-0.265625 -0.34375 -0.65625 -0.5q-0.375 -0.171875 -0.859375 -0.171875q-0.5625 0 -0.96875 0.21875q-0.390625 0.21875 -0.640625 0.578125q-0.25 0.359375 -0.375 0.84375q-0.109375 0.484375 -0.109375 1.015625q0 0.671875 0.140625 1.171875q0.140625 0.5 0.40625 0.828125q0.28125 0.328125 0.671875 0.5q0.390625 0.171875 0.875 0.171875q0.5625 0 0.953125 -0.21875q0.390625 -0.21875 0.640625 -0.578125q0.265625 -0.375 0.375 -0.859375q0.125 -0.484375 0.125 -1.015625zm9.339355 -0.0625q0 0.84375 -0.25 1.546875q-0.234375 0.6875 -0.671875 1.1875q-0.4375 0.5 -1.078125 0.78125q-0.640625 0.265625 -1.453125 0.265625q-0.765625 0 -1.390625 -0.234375q-0.609375 -0.234375 -1.03125 -0.703125q-0.421875 -0.46875 -0.65625 -1.15625q-0.21875 -0.6875 -0.21875 -1.578125q0 -0.84375 0.234375 -1.53125q0.234375 -0.6875 0.671875 -1.1875q0.453125 -0.5 1.09375 -0.765625q0.640625 -0.28125 1.4375 -0.28125q0.78125 0 1.390625 0.25q0.609375 0.234375 1.03125 0.703125q0.4375 0.453125 0.65625 1.140625q0.234375 0.6875 0.234375 1.5625zm-1.28125 0.0625q0 -0.671875 -0.15625 -1.15625q-0.140625 -0.5 -0.421875 -0.828125q-0.265625 -0.34375 -0.65625 -0.5q-0.375 -0.171875 -0.859375 -0.171875q-0.5625 0 -0.96875 0.21875q-0.390625 0.21875 -0.640625 0.578125q-0.25 0.359375 -0.375 0.84375q-0.109375 0.484375 -0.109375 1.015625q0 0.671875 0.140625 1.171875q0.140625 0.5 0.40625 0.828125q0.28125 0.328125 0.671875 0.5q0.390625 0.171875 0.875 0.171875q0.5625 0 0.953125 -0.21875q0.390625 -0.21875 0.640625 -0.578125q0.265625 -0.375 0.375 -0.859375q0.125 -0.484375 0.125 -1.015625zm5.9017944 1.34375q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.15625 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.234375 -0.09375 0.453125q-0.09375 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.203125 -0.09375 -0.375 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.453125q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.375 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm4.8705444 -1.1875q0 -0.78125 0.203125 -1.46875q0.203125 -0.703125 0.625 -1.21875q0.4375 -0.53125 1.09375 -0.828125q0.671875 -0.3125 1.59375 -0.3125q0.359375 0 0.71875 0.0625q0.359375 0.046875 0.765625 0.171875l1.09375 -0.28125l0 10.25l-1.25 0l0 -2.71875l0.0625 -1.53125q-1.015625 1.4375 -2.421875 1.4375q-0.625 0 -1.09375 -0.25q-0.46875 -0.265625 -0.78125 -0.734375q-0.296875 -0.46875 -0.453125 -1.125q-0.15625 -0.65625 -0.15625 -1.453125zm1.265625 -0.078125q0 0.59375 0.09375 1.078125q0.09375 0.484375 0.265625 0.8125q0.1875 0.328125 0.46875 0.515625q0.28125 0.171875 0.640625 0.171875q0.484375 0 1.0 -0.421875q0.53125 -0.421875 1.109375 -1.25l0 -3.34375q-0.28125 -0.125 -0.65625 -0.203125q-0.359375 -0.09375 -0.765625 -0.09375q-1.046875 0 -1.609375 0.703125q-0.546875 0.6875 -0.546875 2.03125z" fill-rule="nonzero"/><path fill="#000000" d="m529.66455 543.92377l-2.125 0l0 -1.03125l3.390625 0l0 6.15625l2.125 0l0 1.03125l-5.75 0l0 -1.03125l2.359375 0l0 -5.125zm0.4375 -4.046875q0.203125 0 0.375 0.078125q0.1875 0.078125 0.3125 0.21875q0.140625 0.125 0.21875 0.3125q0.078125 0.171875 0.078125 0.375q0 0.203125 -0.078125 0.390625q-0.078125 0.171875 -0.21875 0.3125q-0.125 0.125 -0.3125 0.203125q-0.171875 0.078125 -0.375 0.078125q-0.203125 0 -0.390625 -0.078125q-0.171875 -0.078125 -0.3125 -0.203125q-0.125 -0.140625 -0.203125 -0.3125q-0.078125 -0.1875 -0.078125 -0.390625q0 -0.203125 0.078125 -0.375q0.078125 -0.1875 0.203125 -0.3125q0.140625 -0.140625 0.3125 -0.21875q0.1875 -0.078125 0.390625 -0.078125zm5.1674805 3.015625l1.109375 0l0.046875 1.15625q0.328125 -0.359375 0.609375 -0.609375q0.296875 -0.25 0.578125 -0.390625q0.28125 -0.15625 0.578125 -0.21875q0.296875 -0.0625 0.609375 -0.0625q1.109375 0 1.671875 0.65625q0.578125 0.65625 0.578125 1.96875l0 4.6875l-1.25 0l0 -4.59375q0 -0.84375 -0.3125 -1.234375q-0.3125 -0.40625 -0.9375 -0.40625q-0.234375 0 -0.453125 0.0625q-0.21875 0.0625 -0.453125 0.234375q-0.234375 0.171875 -0.515625 0.453125q-0.265625 0.28125 -0.609375 0.71875l0 4.765625l-1.25 0l0 -7.1875zm13.854919 7.09375q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm2.5580444 -7.09375l1.140625 0l0.03125 1.328125q0.640625 -0.765625 1.25 -1.109375q0.625 -0.34375 1.25 -0.34375q1.125 0 1.703125 0.71875q0.578125 0.71875 0.53125 2.15625l-1.265625 0q0.03125 -0.953125 -0.265625 -1.375q-0.296875 -0.421875 -0.875 -0.421875q-0.25 0 -0.515625 0.09375q-0.25 0.078125 -0.515625 0.28125q-0.265625 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.640625 0.75l0 4.609375l-1.265625 0l0 -7.1875zm14.683105 10.125l-8.0625 0l0 -1.03125l8.0625 0l0 1.03125zm6.9331055 -3.03125q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm8.339294 -3.875q0 0.265625 -0.015625 0.453125q0 0.171875 -0.015625 0.328125l-5.046875 0q0 1.09375 0.609375 1.6875q0.625 0.59375 1.78125 0.59375q0.3125 0 0.625 -0.015625q0.3125 -0.03125 0.609375 -0.078125q0.296875 -0.046875 0.5625 -0.09375q0.265625 -0.0625 0.5 -0.125l0 1.03125q-0.515625 0.140625 -1.15625 0.21875q-0.640625 0.09375 -1.328125 0.09375q-0.921875 0 -1.59375 -0.25q-0.65625 -0.25 -1.078125 -0.71875q-0.421875 -0.484375 -0.625 -1.171875q-0.203125 -0.6875 -0.203125 -1.5625q0 -0.765625 0.21875 -1.4375q0.21875 -0.671875 0.625 -1.1875q0.421875 -0.515625 1.03125 -0.8125q0.609375 -0.296875 1.375 -0.296875q0.765625 0 1.34375 0.234375q0.578125 0.234375 0.96875 0.671875q0.40625 0.4375 0.609375 1.0625q0.203125 0.609375 0.203125 1.375zm-1.296875 -0.1875q0.015625 -0.46875 -0.109375 -0.859375q-0.109375 -0.40625 -0.34375 -0.6875q-0.234375 -0.296875 -0.59375 -0.453125q-0.359375 -0.15625 -0.828125 -0.15625q-0.40625 0 -0.75 0.15625q-0.328125 0.15625 -0.578125 0.4375q-0.25 0.28125 -0.40625 0.6875q-0.140625 0.40625 -0.171875 0.875l3.78125 0zm8.964294 2.203125q0 0.375 -0.125 0.671875q-0.125 0.296875 -0.34375 0.53125q-0.21875 0.234375 -0.515625 0.40625q-0.296875 0.15625 -0.640625 0.265625q-0.328125 0.109375 -0.6875 0.15625q-0.34375 0.046875 -0.671875 0.046875q-0.734375 0 -1.34375 -0.0625q-0.609375 -0.0625 -1.203125 -0.203125l0 -1.140625q0.640625 0.171875 1.25 0.265625q0.625 0.09375 1.25 0.09375q0.890625 0 1.3125 -0.234375q0.4375 -0.25 0.4375 -0.703125q0 -0.1875 -0.078125 -0.34375q-0.0625 -0.15625 -0.25 -0.296875q-0.171875 -0.140625 -0.546875 -0.28125q-0.375 -0.15625 -1.015625 -0.359375q-0.5 -0.140625 -0.90625 -0.3125q-0.40625 -0.1875 -0.71875 -0.4375q-0.296875 -0.25 -0.46875 -0.578125q-0.171875 -0.34375 -0.171875 -0.8125q0 -0.296875 0.140625 -0.65625q0.140625 -0.359375 0.46875 -0.65625q0.34375 -0.3125 0.921875 -0.515625q0.578125 -0.203125 1.421875 -0.203125q0.421875 0 0.9375 0.046875q0.515625 0.046875 1.078125 0.15625l0 1.109375q-0.578125 -0.140625 -1.109375 -0.203125q-0.53125 -0.078125 -0.90625 -0.078125q-0.46875 0 -0.796875 0.078125q-0.3125 0.0625 -0.515625 0.203125q-0.1875 0.125 -0.28125 0.296875q-0.078125 0.15625 -0.078125 0.34375q0 0.203125 0.0625 0.359375q0.078125 0.15625 0.28125 0.3125q0.21875 0.140625 0.578125 0.28125q0.359375 0.140625 0.953125 0.3125q0.640625 0.1875 1.0625 0.390625q0.4375 0.203125 0.703125 0.46875q0.28125 0.25 0.390625 0.5625q0.125 0.3125 0.125 0.71875zm8.16748 1.859375q-0.421875 0.09375 -0.875 0.140625q-0.453125 0.046875 -0.921875 0.046875q-1.34375 0 -2.015625 -0.609375q-0.65625 -0.609375 -0.65625 -1.875l0 -3.75l-2.015625 0l0 -1.046875l2.015625 0l0 -1.96875l1.234375 -0.328125l0 2.296875l3.234375 0l0 1.046875l-3.234375 0l0 3.65625q0 0.765625 0.40625 1.15625q0.421875 0.375 1.21875 0.375q0.34375 0 0.75 -0.046875q0.40625 -0.0625 0.859375 -0.171875l0 1.078125zm5.0893555 -2.15625q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.15625 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.234375 -0.09375 0.453125q-0.09375 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.203125 -0.09375 -0.375 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.453125q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.375 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm11.604919 -6.703125q-0.96875 -0.203125 -1.6875 -0.203125q-1.671875 0 -1.671875 1.75l0 1.265625l3.140625 0l0 1.03125l-3.140625 0l0 5.109375l-1.265625 0l0 -5.109375l-2.3125 0l0 -1.03125l2.3125 0l0 -1.1875q0 -2.875 2.984375 -2.875q0.75 0 1.640625 0.171875l0 1.078125zm-7.515625 1.765625l0 0zm15.464294 3.53125q0 0.84375 -0.25 1.546875q-0.234375 0.6875 -0.671875 1.1875q-0.4375 0.5 -1.078125 0.78125q-0.640625 0.265625 -1.453125 0.265625q-0.765625 0 -1.390625 -0.234375q-0.609375 -0.234375 -1.03125 -0.703125q-0.421875 -0.46875 -0.65625 -1.15625q-0.21875 -0.6875 -0.21875 -1.578125q0 -0.84375 0.234375 -1.53125q0.234375 -0.6875 0.671875 -1.1875q0.453125 -0.5 1.09375 -0.765625q0.640625 -0.28125 1.4375 -0.28125q0.78125 0 1.390625 0.25q0.609375 0.234375 1.03125 0.703125q0.4375 0.453125 0.65625 1.140625q0.234375 0.6875 0.234375 1.5625zm-1.28125 0.0625q0 -0.671875 -0.15625 -1.15625q-0.140625 -0.5 -0.421875 -0.828125q-0.265625 -0.34375 -0.65625 -0.5q-0.375 -0.171875 -0.859375 -0.171875q-0.5625 0 -0.96875 0.21875q-0.390625 0.21875 -0.640625 0.578125q-0.25 0.359375 -0.375 0.84375q-0.109375 0.484375 -0.109375 1.015625q0 0.671875 0.140625 1.171875q0.140625 0.5 0.40625 0.828125q0.28125 0.328125 0.671875 0.5q0.390625 0.171875 0.875 0.171875q0.5625 0 0.953125 -0.21875q0.390625 -0.21875 0.640625 -0.578125q0.265625 -0.375 0.375 -0.859375q0.125 -0.484375 0.125 -1.015625zm9.339355 -0.0625q0 0.84375 -0.25 1.546875q-0.234375 0.6875 -0.671875 1.1875q-0.4375 0.5 -1.078125 0.78125q-0.640625 0.265625 -1.453125 0.265625q-0.765625 0 -1.390625 -0.234375q-0.609375 -0.234375 -1.03125 -0.703125q-0.421875 -0.46875 -0.65625 -1.15625q-0.21875 -0.6875 -0.21875 -1.578125q0 -0.84375 0.234375 -1.53125q0.234375 -0.6875 0.671875 -1.1875q0.453125 -0.5 1.09375 -0.765625q0.640625 -0.28125 1.4375 -0.28125q0.78125 0 1.390625 0.25q0.609375 0.234375 1.03125 0.703125q0.4375 0.453125 0.65625 1.140625q0.234375 0.6875 0.234375 1.5625zm-1.28125 0.0625q0 -0.671875 -0.15625 -1.15625q-0.140625 -0.5 -0.421875 -0.828125q-0.265625 -0.34375 -0.65625 -0.5q-0.375 -0.171875 -0.859375 -0.171875q-0.5625 0 -0.96875 0.21875q-0.390625 0.21875 -0.640625 0.578125q-0.25 0.359375 -0.375 0.84375q-0.109375 0.484375 -0.109375 1.015625q0 0.671875 0.140625 1.171875q0.140625 0.5 0.40625 0.828125q0.28125 0.328125 0.671875 0.5q0.390625 0.171875 0.875 0.171875q0.5625 0 0.953125 -0.21875q0.390625 -0.21875 0.640625 -0.578125q0.265625 -0.375 0.375 -0.859375q0.125 -0.484375 0.125 -1.015625zm5.9018555 1.34375q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.15625 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.234375 -0.09375 0.453125q-0.09375 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.203125 -0.09375 -0.375 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.453125q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.375 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm4.8705444 -1.1875q0 -0.78125 0.203125 -1.46875q0.203125 -0.703125 0.625 -1.21875q0.4375 -0.53125 1.09375 -0.828125q0.671875 -0.3125 1.59375 -0.3125q0.359375 0 0.71875 0.0625q0.359375 0.046875 0.765625 0.171875l1.09375 -0.28125l0 10.25l-1.25 0l0 -2.71875l0.0625 -1.53125q-1.015625 1.4375 -2.421875 1.4375q-0.625 0 -1.09375 -0.25q-0.46875 -0.265625 -0.78125 -0.734375q-0.296875 -0.46875 -0.453125 -1.125q-0.15625 -0.65625 -0.15625 -1.453125zm1.265625 -0.078125q0 0.59375 0.09375 1.078125q0.09375 0.484375 0.265625 0.8125q0.1875 0.328125 0.46875 0.515625q0.28125 0.171875 0.640625 0.171875q0.484375 0 1.0 -0.421875q0.53125 -0.421875 1.109375 -1.25l0 -3.34375q-0.28125 -0.125 -0.65625 -0.203125q-0.359375 -0.09375 -0.765625 -0.09375q-1.046875 0 -1.609375 0.703125q-0.546875 0.6875 -0.546875 2.03125zm13.229919 -0.453125q0 0.265625 -0.015625 0.453125q0 0.171875 -0.015625 0.328125l-5.046875 0q0 1.09375 0.609375 1.6875q0.625 0.59375 1.78125 0.59375q0.3125 0 0.625 -0.015625q0.3125 -0.03125 0.609375 -0.078125q0.296875 -0.046875 0.5625 -0.09375q0.265625 -0.0625 0.5 -0.125l0 1.03125q-0.515625 0.140625 -1.15625 0.21875q-0.640625 0.09375 -1.328125 0.09375q-0.921875 0 -1.59375 -0.25q-0.65625 -0.25 -1.078125 -0.71875q-0.421875 -0.484375 -0.625 -1.171875q-0.203125 -0.6875 -0.203125 -1.5625q0 -0.765625 0.21875 -1.4375q0.21875 -0.671875 0.625 -1.1875q0.421875 -0.515625 1.03125 -0.8125q0.609375 -0.296875 1.375 -0.296875q0.765625 0 1.34375 0.234375q0.578125 0.234375 0.96875 0.671875q0.40625 0.4375 0.609375 1.0625q0.203125 0.609375 0.203125 1.375zm-1.296875 -0.1875q0.015625 -0.46875 -0.109375 -0.859375q-0.109375 -0.40625 -0.34375 -0.6875q-0.234375 -0.296875 -0.59375 -0.453125q-0.359375 -0.15625 -0.828125 -0.15625q-0.40625 0 -0.75 0.15625q-0.328125 0.15625 -0.578125 0.4375q-0.25 0.28125 -0.40625 0.6875q-0.140625 0.40625 -0.171875 0.875l3.78125 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m40.0 112.0l16.0 -16.0l0 8.0l16.0 0l0 -8.0l16.0 16.0l-16.0 16.0l0 -8.0l-16.0 0l0 8.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m40.0 112.0l16.0 -16.0l0 8.0l16.0 0l0 -8.0l16.0 16.0l-16.0 16.0l0 -8.0l-16.0 0l0 8.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m16.0 120.0l64.0 0l0 32.0l-64.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m39.439575 136.40437l-3.515625 0l0 10.515625l-1.640625 0l0 -10.515625l-3.53125 0l0 -1.390625l8.6875 0l0 1.390625zm9.757294 10.515625l-6.84375 0l0 -11.90625l1.640625 0l0 10.515625l5.203125 0l0 1.390625zm10.569794 -4.140625q0 0.984375 -0.28125 1.78125q-0.28125 0.796875 -0.828125 1.359375q-0.53125 0.546875 -1.3125 0.859375q-0.78125 0.296875 -1.78125 0.296875q-1.109375 0 -1.890625 -0.28125q-0.78125 -0.296875 -1.265625 -0.828125q-0.484375 -0.53125 -0.703125 -1.28125q-0.21875 -0.75 -0.21875 -1.671875l0 -8.0l1.609375 0l0 7.875q0 0.703125 0.125 1.234375q0.140625 0.53125 0.4375 0.890625q0.3125 0.34375 0.78125 0.53125q0.484375 0.1875 1.171875 0.1875q1.296875 0 1.90625 -0.75q0.625 -0.75 0.625 -2.109375l0 -7.859375l1.625 0l0 7.765625zm9.944794 4.140625l-6.84375 0l0 -11.90625l1.640625 0l0 10.515625l5.203125 0l0 1.390625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m16.0 576.0l200.0 0l0 32.0l-200.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m28.921875 591.14124l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.640625 3.296875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm2.796875 -7.734375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm16.015625 11.046875l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.21875 -12.984375q-1.078125 -0.21875 -1.84375 -0.21875q-1.84375 0 -1.84375 1.921875l0 1.375l3.4375 0l0 1.125l-3.4375 0l0 5.578125l-1.375 0l0 -5.578125l-2.53125 0l0 -1.125l2.53125 0l0 -1.296875q0 -3.140625 3.265625 -3.140625q0.8125 0 1.796875 0.1875l0 1.171875zm-8.21875 1.9375l0 0zm16.875 3.859375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm10.1875 -0.0625q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm10.90625 7.125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.078125 -7.1875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m160.0 584.0l-32.0 0l-8.0 8.0l8.0 8.0l32.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 584.0l-32.0 0l-8.0 8.0l8.0 8.0l32.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m664.0 440.0l16.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m664.0 440.0l16.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m674.25 424.0l32.0 0l0 32.0l-32.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m689.09375 444.52l-4.8125 0l0 -0.953125l1.96875 0l0 -5.59375l-1.828125 1.0l-0.375 -0.859375l2.4375 -1.28125l0.890625 0l0 6.734375l1.71875 0l0 0.953125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m664.0 416.0l152.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m664.0 416.0l152.0 0" fill-rule="evenodd"/><path fill="#ffffff" d="m821.3333 400.0l26.666687 0c-2.9454956 0 -5.333313 7.163452 -5.333313 16.0c0 8.836548 2.3878174 16.0 5.333313 16.0l-26.666687 0l0 0c-2.9454956 0 -5.333313 -7.163452 -5.333313 -16.0c0 -8.836548 2.3878174 -16.0 5.333313 -16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m821.3333 400.0l26.666687 0c-2.9454956 0 -5.333313 7.163452 -5.333313 16.0c0 8.836548 2.3878174 16.0 5.333313 16.0l-26.666687 0l0 0c-2.9454956 0 -5.333313 -7.163452 -5.333313 -16.0c0 -8.836548 2.3878174 -16.0 5.333313 -16.0z" fill-rule="evenodd"/><path fill="#f3f3f3" d="m176.0 60.472443l280.0 0l0 163.52756l-280.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m176.0 60.472443l280.0 0l0 163.52756l-280.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m188.07812 74.74119l-1.953125 0l0 -0.96875l5.078125 0l0 0.96875l-1.953125 0l0 6.546875l1.953125 0l0 0.984375l-5.078125 0l0 -0.984375l1.953125 0l0 -6.546875zm10.812241 7.53125l-1.515625 0l-2.46875 -5.296875l-0.71875 -1.71875l0 4.296875l0 2.71875l-1.078125 0l0 -8.5l1.484375 0l2.359375 5.015625l0.859375 1.953125l0 -4.5625l0 -2.40625l1.078125 0l0 8.5zm7.5309906 -7.515625l-2.515625 0l0 7.515625l-1.171875 0l0 -7.515625l-2.515625 0l0 -0.984375l6.203125 0l0 0.984375zm7.4059906 7.515625l-1.3125 0l-1.265625 -2.71875q-0.140625 -0.3125 -0.296875 -0.515625q-0.15625 -0.203125 -0.328125 -0.328125q-0.171875 -0.125 -0.375 -0.171875q-0.203125 -0.046875 -0.453125 -0.046875l-0.546875 0l0 3.78125l-1.15625 0l0 -8.5l2.28125 0q0.734375 0 1.265625 0.15625q0.53125 0.15625 0.875 0.453125q0.34375 0.296875 0.5 0.71875q0.171875 0.40625 0.171875 0.90625q0 0.390625 -0.125 0.75q-0.109375 0.359375 -0.34375 0.65625q-0.234375 0.28125 -0.578125 0.5q-0.34375 0.203125 -0.78125 0.3125q0.359375 0.109375 0.609375 0.421875q0.25 0.3125 0.515625 0.828125l1.34375 2.796875zm-1.859375 -6.171875q0 -0.6875 -0.4375 -1.015625q-0.421875 -0.34375 -1.1875 -0.34375l-1.09375 0l0 2.8125l0.9375 0q0.40625 0 0.734375 -0.09375q0.328125 -0.09375 0.5625 -0.28125q0.234375 -0.1875 0.359375 -0.453125q0.125 -0.265625 0.125 -0.625zm9.671616 8.84375l-7.328125 0l0 -0.9375l7.328125 0l0 0.9375zm6.1403656 -2.671875l-4.828125 0l0 -8.5l4.828125 0l0 0.96875l-3.671875 0l0 2.640625l3.53125 0l0 0.96875l-3.53125 0l0 2.9375l3.671875 0l0 0.984375zm7.7497406 0l-1.515625 0l-2.46875 -5.296875l-0.71875 -1.71875l0 4.296875l0 2.71875l-1.078125 0l0 -8.5l1.484375 0l2.359375 5.015625l0.859375 1.953125l0 -4.5625l0 -2.40625l1.078125 0l0 8.5zm8.030991 0l-1.265625 0l-0.59375 -1.859375l-3.53125 0l-0.609375 1.859375l-1.203125 0l2.828125 -8.5l1.59375 0l2.78125 8.5zm-2.1875 -2.890625l-1.4375 -4.546875l-1.4375 4.546875l2.875 0zm8.859116 0.34375q0 0.609375 -0.234375 1.09375q-0.234375 0.46875 -0.671875 0.796875q-0.4375 0.3125 -1.0625 0.484375q-0.625 0.171875 -1.390625 0.171875l-2.21875 0l0 -8.5l2.4375 0q2.84375 0 2.84375 2.0625q0 0.6875 -0.328125 1.1875q-0.328125 0.5 -1.078125 0.734375q0.34375 0.0625 0.65625 0.21875q0.3125 0.15625 0.546875 0.40625q0.234375 0.25 0.359375 0.59375q0.140625 0.328125 0.140625 0.75zm-1.5 -3.734375q0 -0.265625 -0.078125 -0.484375q-0.078125 -0.234375 -0.28125 -0.390625q-0.1875 -0.171875 -0.53125 -0.265625q-0.328125 -0.109375 -0.828125 -0.109375l-1.203125 0l0 2.65625l1.15625 0q0.390625 0 0.71875 -0.078125q0.328125 -0.078125 0.546875 -0.25q0.234375 -0.1875 0.359375 -0.453125q0.140625 -0.265625 0.140625 -0.625zm0.265625 3.78125q0 -0.328125 -0.140625 -0.578125q-0.125 -0.265625 -0.390625 -0.4375q-0.25 -0.1875 -0.625 -0.28125q-0.375 -0.109375 -0.84375 -0.109375l-1.1875 0l0 2.9375l1.21875 0q1.0 0 1.484375 -0.375q0.484375 -0.375 0.484375 -1.15625zm8.359131 2.5l-4.8906403 0l0 -8.5l1.171875 0l0 7.515625l3.7187653 0l0 0.984375zm7.0622253 0l-4.828125 0l0 -8.5l4.828125 0l0 0.96875l-3.671875 0l0 2.640625l3.53125 0l0 0.96875l-3.53125 0l0 2.9375l3.671875 0l0 0.984375zm13.468231 2.734375q-3.0 -2.78125 -3.0 -6.140625q0 -0.796875 0.15625 -1.578125q0.15625 -0.78125 0.515625 -1.5625q0.359375 -0.796875 0.9375 -1.578125q0.578125 -0.796875 1.421875 -1.5625l0.65625 0.671875q-2.53125 2.484375 -2.53125 5.515625q0 1.515625 0.640625 2.90625q0.640625 1.390625 1.890625 2.625l-0.6875 0.703125zm3.6872559 -9.265625l1.03125 0l0.03125 1.203125q0.578125 -0.703125 1.140625 -1.015625q0.5625 -0.3125 1.140625 -0.3125q1.015625 0 1.53125 0.65625q0.53125 0.65625 0.484375 1.953125l-1.140625 0q0.015625 -0.859375 -0.25 -1.234375q-0.265625 -0.390625 -0.796875 -0.390625q-0.21875 0 -0.453125 0.078125q-0.234375 0.078125 -0.484375 0.265625q-0.234375 0.171875 -0.515625 0.453125q-0.265625 0.28125 -0.578125 0.671875l0 4.203125l-1.140625 0l0 -6.53125zm13.1091 0l-0.953125 6.53125l-1.375 0l-0.9375 -2.734375l-0.1875 -0.65625l-0.21875 0.703125l-0.90625 2.6875l-1.328125 0l-0.953125 -6.53125l1.109375 0l0.546875 4.4375l0.125 0.984375l0.28125 -0.859375l0.9375 -2.9375l0.8125 0l1.03125 2.890625l0.296875 0.875l0.09375 -0.921875l0.5 -4.46875l1.125 0zm6.5309753 -2.671875l-4.46875 10.609375l-1.078125 0l4.46875 -10.609375l1.078125 0zm7.327881 9.203125l-1.140625 0l0 -4.171875q0 -0.75 -0.28125 -1.125q-0.28125 -0.375 -0.8125 -0.375q-0.21875 0 -0.421875 0.0625q-0.203125 0.0625 -0.421875 0.21875q-0.203125 0.140625 -0.46875 0.40625q-0.25 0.265625 -0.578125 0.65625l0 4.328125l-1.125 0l0 -9.203125l1.125 0l0 2.671875l-0.03125 1.03125q0.265625 -0.328125 0.515625 -0.546875q0.265625 -0.21875 0.515625 -0.34375q0.25 -0.140625 0.515625 -0.203125q0.28125 -0.0625 0.5625 -0.0625q0.96875 0 1.5 0.609375q0.546875 0.59375 0.546875 1.78125l0 4.265625zm2.3435059 -6.53125l1.03125 0l0.03125 1.203125q0.578125 -0.703125 1.140625 -1.015625q0.5625 -0.3125 1.140625 -0.3125q1.015625 0 1.53125 0.65625q0.53125 0.65625 0.484375 1.953125l-1.140625 0q0.015625 -0.859375 -0.25 -1.234375q-0.265625 -0.390625 -0.796875 -0.390625q-0.21875 0 -0.453125 0.078125q-0.234375 0.078125 -0.484375 0.265625q-0.234375 0.171875 -0.515625 0.453125q-0.265625 0.28125 -0.578125 0.671875l0 4.203125l-1.140625 0l0 -6.53125zm12.749725 3.21875q0 0.75 -0.21875 1.390625q-0.21875 0.640625 -0.625 1.09375q-0.390625 0.4375 -0.984375 0.6875q-0.578125 0.25 -1.3125 0.25q-0.703125 0 -1.265625 -0.203125q-0.546875 -0.21875 -0.9375 -0.640625q-0.375 -0.4375 -0.59375 -1.0625q-0.203125 -0.625 -0.203125 -1.4375q0 -0.75 0.21875 -1.375q0.21875 -0.640625 0.609375 -1.09375q0.40625 -0.453125 0.984375 -0.703125q0.59375 -0.25 1.328125 -0.25q0.703125 0 1.25 0.21875q0.5625 0.21875 0.9375 0.640625q0.390625 0.421875 0.59375 1.046875q0.21875 0.625 0.21875 1.4375zm-1.15625 0.046875q0 -0.609375 -0.140625 -1.0625q-0.140625 -0.453125 -0.390625 -0.75q-0.234375 -0.296875 -0.59375 -0.453125q-0.359375 -0.15625 -0.796875 -0.15625q-0.5 0 -0.859375 0.203125q-0.359375 0.1875 -0.59375 0.53125q-0.234375 0.328125 -0.34375 0.765625q-0.109375 0.4375 -0.109375 0.921875q0 0.609375 0.140625 1.0625q0.140625 0.453125 0.375 0.765625q0.25 0.296875 0.59375 0.453125q0.359375 0.140625 0.796875 0.140625q0.515625 0 0.875 -0.1875q0.359375 -0.203125 0.59375 -0.53125q0.234375 -0.34375 0.34375 -0.78125q0.109375 -0.4375 0.109375 -0.921875zm4.1247253 -6.421875q3.0 2.78125 3.0 6.1875q0 0.6875 -0.140625 1.453125q-0.140625 0.75 -0.484375 1.546875q-0.34375 0.78125 -0.9375 1.59375q-0.578125 0.8125 -1.46875 1.640625l-0.65625 -0.671875q1.28125 -1.25 1.890625 -2.609375q0.625 -1.375 0.625 -2.875q0 -3.09375 -2.515625 -5.578125l0.6875 -0.6875z" fill-rule="nonzero"/><path fill="#ffffff" d="m288.0 112.0l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m288.0 112.0l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path fill="#ffffff" d="m352.0 144.0l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m352.0 144.0l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m352.0 168.0l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m352.0 168.0l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m320.0 152.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m320.0 152.0l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 176.0l128.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 176.0l124.57291 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m284.5729 176.0l-1.1245728 1.124588l3.0897522 -1.124588l-3.0897522 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m400.0 152.0l32.0 0l0 -52.472443l-168.0 0l0 24.0l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m400.0 152.0l32.0 0l0 -52.472443l-168.0 0l0 24.0l24.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m432.0 152.0l232.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m432.0 152.0l228.57294 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m660.57294 152.0l-1.1246338 1.124588l3.0897827 -1.124588l-3.0897827 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m304.0 184.0l0 24.0l-144.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m304.0 187.42708l0 20.572922l-144.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m304.0 187.4271l1.1245728 1.1245728l-1.1245728 -3.0897675l-1.1245728 3.0897675z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m112.0 156.47244l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m144.81952 172.9887l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0zm1.140625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25z" fill-rule="nonzero"/><path fill="#000000" d="m144.81952 201.9887l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0zm8.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0z" fill-rule="nonzero"/><path fill="#f3f3f3" d="m176.0 232.0l280.0 0l0 224.0l-280.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m176.0 232.0l280.0 0l0 224.0l-280.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m188.07812 246.26875l-1.953125 0l0 -0.96875l5.078125 0l0 0.96875l-1.953125 0l0 6.546875l1.953125 0l0 0.984375l-5.078125 0l0 -0.984375l1.953125 0l0 -6.546875zm10.812241 7.53125l-1.515625 0l-2.46875 -5.296875l-0.71875 -1.71875l0 4.296875l0 2.71875l-1.078125 0l0 -8.5l1.484375 0l2.359375 5.015625l0.859375 1.953125l0 -4.5625l0 -2.40625l1.078125 0l0 8.5zm7.5309906 -7.515625l-2.515625 0l0 7.515625l-1.171875 0l0 -7.515625l-2.515625 0l0 -0.984375l6.203125 0l0 0.984375zm7.4059906 7.515625l-1.3125 0l-1.265625 -2.71875q-0.140625 -0.3125 -0.296875 -0.515625q-0.15625 -0.203125 -0.328125 -0.328125q-0.171875 -0.125 -0.375 -0.171875q-0.203125 -0.046875 -0.453125 -0.046875l-0.546875 0l0 3.78125l-1.15625 0l0 -8.5l2.28125 0q0.734375 0 1.265625 0.15625q0.53125 0.15625 0.875 0.453125q0.34375 0.296875 0.5 0.71875q0.171875 0.40625 0.171875 0.90625q0 0.390625 -0.125 0.75q-0.109375 0.359375 -0.34375 0.65625q-0.234375 0.28125 -0.578125 0.5q-0.34375 0.203125 -0.78125 0.3125q0.359375 0.109375 0.609375 0.421875q0.25 0.3125 0.515625 0.828125l1.34375 2.796875zm-1.859375 -6.171875q0 -0.6875 -0.4375 -1.015625q-0.421875 -0.34375 -1.1875 -0.34375l-1.09375 0l0 2.8125l0.9375 0q0.40625 0 0.734375 -0.09375q0.328125 -0.09375 0.5625 -0.28125q0.234375 -0.1875 0.359375 -0.453125q0.125 -0.265625 0.125 -0.625zm9.671616 8.843735l-7.328125 0l0 -0.93748474l7.328125 0l0 0.93748474zm6.4841156 -4.9687347q0 0.59375 -0.25 1.0625q-0.234375 0.453125 -0.6875 0.75q-0.4375 0.296875 -1.0625 0.453125q-0.625 0.140625 -1.359375 0.140625q-0.34375 0 -0.6875 -0.03125q-0.328125 -0.015625 -0.640625 -0.0625q-0.3125 -0.03125 -0.59375 -0.078125q-0.265625 -0.046875 -0.484375 -0.109375l0 -1.125q0.484375 0.1875 1.09375 0.296875q0.609375 0.09375 1.390625 0.09375q0.5625 0 0.953125 -0.078125q0.390625 -0.09375 0.640625 -0.265625q0.25 -0.171875 0.359375 -0.421875q0.125 -0.25 0.125 -0.5625q0 -0.34375 -0.203125 -0.59375q-0.1875 -0.25 -0.5 -0.4375q-0.3125 -0.1875 -0.71875 -0.34375q-0.390625 -0.171875 -0.8125 -0.328125q-0.40625 -0.171875 -0.8125 -0.375q-0.40625 -0.203125 -0.71875 -0.46875q-0.3125 -0.265625 -0.5 -0.625q-0.1875 -0.375 -0.1875 -0.875q0 -0.4375 0.171875 -0.859375q0.1875 -0.421875 0.5625 -0.75q0.390625 -0.328125 0.984375 -0.53125q0.609375 -0.203125 1.453125 -0.203125q0.203125 0 0.453125 0.03125q0.265625 0.015625 0.515625 0.046875q0.25 0.03125 0.5 0.078125q0.265625 0.046875 0.46875 0.09375l0 1.046875q-0.5 -0.140625 -1.0 -0.21875q-0.5 -0.078125 -0.96875 -0.078125q-1.0 0 -1.46875 0.34375q-0.46875 0.328125 -0.46875 0.890625q0 0.34375 0.1875 0.59375q0.203125 0.234375 0.515625 0.4375q0.3125 0.1875 0.703125 0.359375q0.40625 0.15625 0.8125 0.328125q0.421875 0.15625 0.828125 0.359375q0.40625 0.203125 0.71875 0.484375q0.3125 0.28125 0.5 0.65625q0.1875 0.359375 0.1875 0.875zm7.6091156 -5.21875l-2.515625 0l0 7.515625l-1.171875 0l0 -7.515625l-2.515625 0l0 -0.984375l6.203125 0l0 0.984375zm7.8278656 7.515625l-1.265625 0l-0.59375 -1.859375l-3.53125 0l-0.609375 1.859375l-1.203125 0l2.828125 -8.5l1.59375 0l2.78125 8.5zm-2.1875 -2.890625l-1.4375 -4.546875l-1.4375 4.546875l2.875 0zm9.015366 -4.625l-2.515625 0l0 7.515625l-1.171875 0l0 -7.515625l-2.515625 0l0 -0.984375l6.203125 0l0 0.984375zm6.702881 7.515625l-4.8281403 0l0 -8.5l4.8281403 0l0 0.96875l-3.6718903 0l0 2.640625l3.5312653 0l0 0.96875l-3.5312653 0l0 2.9375l3.6718903 0l0 0.984375zm13.468201 2.7343597q-3.0 -2.7812347 -3.0 -6.1406097q0 -0.796875 0.15625 -1.578125q0.15625 -0.78125 0.515625 -1.5625q0.359375 -0.796875 0.9375 -1.578125q0.578125 -0.796875 1.421875 -1.5625l0.65625 0.671875q-2.53125 2.484375 -2.53125 5.515625q0 1.515625 0.640625 2.90625q0.640625 1.390625 1.890625 2.625l-0.6875 0.70310974zm3.6872559 -9.26561l1.03125 0l0.03125 1.203125q0.578125 -0.703125 1.140625 -1.015625q0.5625 -0.3125 1.140625 -0.3125q1.015625 0 1.53125 0.65625q0.53125 0.65625 0.484375 1.953125l-1.140625 0q0.015625 -0.859375 -0.25 -1.234375q-0.265625 -0.390625 -0.796875 -0.390625q-0.21875 0 -0.453125 0.078125q-0.234375 0.078125 -0.484375 0.265625q-0.234375 0.171875 -0.515625 0.453125q-0.265625 0.28125 -0.578125 0.671875l0 4.203125l-1.140625 0l0 -6.53125zm13.109131 0l-0.953125 6.53125l-1.375 0l-0.9375 -2.734375l-0.1875 -0.65625l-0.21875 0.703125l-0.90625 2.6875l-1.328125 0l-0.953125 -6.53125l1.109375 0l0.546875 4.4375l0.125 0.984375l0.28125 -0.859375l0.9375 -2.9375l0.8125 0l1.03125 2.890625l0.296875 0.875l0.09375 -0.921875l0.5 -4.46875l1.125 0zm6.7184753 6.53125l-5.34375 0l0 -1.046875l2.1875 0l0 -6.21875l-2.03125 1.109375l-0.421875 -0.96875l2.703125 -1.421875l1.015625 0l0 7.5l1.890625 0l0 1.046875zm6.9684753 -0.234375q-0.453125 0.15625 -0.921875 0.234375q-0.453125 0.09375 -0.953125 0.09375q-1.546875 0 -2.390625 -0.84375q-0.828125 -0.84375 -0.828125 -2.453125q0 -0.765625 0.234375 -1.390625q0.25 -0.640625 0.671875 -1.09375q0.4375 -0.453125 1.046875 -0.6875q0.609375 -0.25 1.34375 -0.25q0.5 0 0.9375 0.078125q0.453125 0.0625 0.859375 0.234375l0 1.078125q-0.4375 -0.21875 -0.875 -0.3125q-0.4375 -0.109375 -0.90625 -0.109375q-0.4375 0 -0.828125 0.171875q-0.375 0.15625 -0.671875 0.46875q-0.296875 0.3125 -0.46875 0.765625q-0.15625 0.4375 -0.15625 1.015625q0 1.171875 0.5625 1.765625q0.578125 0.59375 1.609375 0.59375q0.453125 0 0.890625 -0.109375q0.4375 -0.109375 0.84375 -0.3125l0 1.0625zm7.499756 -8.96875l-4.46875 10.609375l-1.078125 0l4.46875 -10.609375l1.078125 0zm7.327881 9.203125l-1.140625 0l0 -4.171875q0 -0.75 -0.28125 -1.125q-0.28125 -0.375 -0.8125 -0.375q-0.21875 0 -0.421875 0.0625q-0.203125 0.0625 -0.421875 0.21875q-0.203125 0.140625 -0.46875 0.40625q-0.25 0.265625 -0.578125 0.65625l0 4.328125l-1.125 0l0 -9.203125l1.125 0l0 2.671875l-0.03125 1.03125q0.265625 -0.328125 0.515625 -0.546875q0.265625 -0.21875 0.515625 -0.34375q0.25 -0.140625 0.515625 -0.203125q0.28125 -0.0625 0.5625 -0.0625q0.96875 0 1.5 0.609375q0.546875 0.59375 0.546875 1.78125l0 4.265625zm2.3434753 -6.53125l1.03125 0l0.03125 1.203125q0.578125 -0.703125 1.140625 -1.015625q0.5625 -0.3125 1.140625 -0.3125q1.015625 0 1.53125 0.65625q0.53125 0.65625 0.484375 1.953125l-1.140625 0q0.015625 -0.859375 -0.25 -1.234375q-0.265625 -0.390625 -0.796875 -0.390625q-0.21875 0 -0.453125 0.078125q-0.234375 0.078125 -0.484375 0.265625q-0.234375 0.171875 -0.515625 0.453125q-0.265625 0.28125 -0.578125 0.671875l0 4.203125l-1.140625 0l0 -6.53125zm13.1091 0l-0.953125 6.53125l-1.375 0l-0.9375 -2.734375l-0.1875 -0.65625l-0.21875 0.703125l-0.90625 2.6875l-1.328125 0l-0.953125 -6.53125l1.109375 0l0.546875 4.4375l0.125 0.984375l0.28125 -0.859375l0.9375 -2.9375l0.8125 0l1.03125 2.890625l0.296875 0.875l0.09375 -0.921875l0.5 -4.46875l1.125 0zm2.6091309 -3.15625q3.0 2.78125 3.0 6.1875q0 0.6875 -0.140625 1.453125q-0.140625 0.75 -0.484375 1.546875q-0.34375 0.78125 -0.9375 1.59375q-0.578125 0.8125 -1.46875 1.6406097l-0.65625 -0.67185974q1.28125 -1.25 1.890625 -2.609375q0.625 -1.375 0.625 -2.875q0 -3.09375 -2.515625 -5.578125l0.6875 -0.6875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m320.0 360.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m320.0 360.0l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m272.0 312.0l0 40.000793l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m272.0 312.0l0 40.000793l24.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m244.4448 336.0l-52.444794 0l0 103.997375l472.00317 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m241.01772 336.0l-49.017715 0l0 103.997375l472.00317 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m241.01772 336.0l-1.124588 1.1245728l3.0897675 -1.1245728l-3.0897675 -1.1245728z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m432.0 344.0l16.0 0l0 -72.0l-256.0 0l0 24.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m432.0 344.0l16.0 0l0 -72.0l-256.0 0l0 24.0l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m240.0 348.47244l0 67.52902l423.9936 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m240.0 351.89954l0 64.10193l423.9936 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m240.0 351.89954l1.124588 1.1245728l-1.124588 -3.0897522l-1.124588 3.0897522z" fill-rule="evenodd"/><path fill="#ffffff" d="m224.0 276.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m224.0 276.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path fill="#ffffff" d="m336.0 300.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 300.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m256.0 312.0l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m256.0 312.0l80.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m368.0 340.47244l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m368.0 340.47244l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 368.0l112.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 368.0l108.57291 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m268.5729 368.0l-1.1245728 1.1245728l3.0897522 -1.1245728l-3.0897522 -1.1245728z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m448.0 344.0l216.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m448.0 344.0l212.57294 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m660.57294 344.0l-1.1246338 1.1245728l3.0897827 -1.1245728l-3.0897827 -1.1245728z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m352.0 372.47244l0 24.0l-192.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m352.0 375.89954l0 20.572906l-192.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m352.0 375.89954l1.1245728 1.1245728l-1.1245728 -3.0897522l-1.1245728 3.0897522z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m112.0 344.9449l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m144.81952 361.46112l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0zm1.140625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25z" fill-rule="nonzero"/><path fill="#000000" d="m144.81952 390.46112l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0zm8.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0z" fill-rule="nonzero"/><path fill="#ffffff" d="m280.0 344.0l20.0 0l0 0c11.045685 0 20.0 7.163452 20.0 16.0c0 8.836548 -8.954315 16.0 -20.0 16.0l-20.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m280.0 344.0l20.0 0l0 0c11.045685 0 20.0 7.163452 20.0 16.0c0 8.836548 -8.954315 16.0 -20.0 16.0l-20.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m272.0 368.0l0 0c0 -2.209137 1.790863 -4.0 4.0 -4.0l0 0c1.060852 0 2.0782776 0.42141724 2.8284302 1.1715698c0.7501526 0.7501526 1.1715698 1.7675781 1.1715698 2.8284302l0 0c0 2.209137 -1.790863 4.0 -4.0 4.0l0 0c-2.209137 0 -4.0 -1.790863 -4.0 -4.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m272.0 368.0l0 0c0 -2.209137 1.790863 -4.0 4.0 -4.0l0 0c1.060852 0 2.0782776 0.42141724 2.8284302 1.1715698c0.7501526 0.7501526 1.1715698 1.7675781 1.1715698 2.8284302l0 0c0 2.209137 -1.790863 4.0 -4.0 4.0l0 0c-2.209137 0 -4.0 -1.790863 -4.0 -4.0z" fill-rule="evenodd"/><path fill="#ffffff" d="m384.0 332.47244l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m384.0 332.47244l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m384.0 356.47244l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m384.0 356.47244l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m112.0 272.0l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m137.16327 292.59436q0 -0.84375 0.21875 -1.59375q0.21875 -0.765625 0.6875 -1.328125q0.46875 -0.578125 1.1875 -0.90625q0.734375 -0.34375 1.734375 -0.34375q0.40625 0 0.796875 0.0625q0.390625 0.0625 0.828125 0.1875l1.203125 -0.296875l0 11.1875l-1.375 0l0 -2.953125l0.078125 -1.6875q-1.109375 1.578125 -2.640625 1.578125q-0.6875 0 -1.203125 -0.28125q-0.5 -0.28125 -0.84375 -0.796875q-0.34375 -0.515625 -0.515625 -1.234375q-0.15625 -0.71875 -0.15625 -1.59375zm1.390625 -0.078125q0 0.65625 0.09375 1.1875q0.09375 0.515625 0.296875 0.875q0.203125 0.359375 0.5 0.5625q0.296875 0.1875 0.703125 0.1875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.3125 -0.140625 -0.703125 -0.234375q-0.390625 -0.09375 -0.84375 -0.09375q-1.140625 0 -1.75 0.765625q-0.59375 0.75 -0.59375 2.21875zm14.015625 1.703125q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m160.0 296.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m163.42708 296.0l28.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m163.4271 296.0l1.1245728 -1.1245728l-3.0897675 1.1245728l3.0897675 1.1245728z" fill-rule="evenodd"/><path fill="#f3f3f3" d="m176.0 464.91577l280.0 0l0 88.0l-280.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m176.0 464.91577l280.0 0l0 88.0l-280.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m188.07812 479.18454l-1.953125 0l0 -0.96875l5.078125 0l0 0.96875l-1.953125 0l0 6.546875l1.953125 0l0 0.984375l-5.078125 0l0 -0.984375l1.953125 0l0 -6.546875zm10.812241 7.53125l-1.515625 0l-2.46875 -5.296875l-0.71875 -1.71875l0 4.296875l0 2.71875l-1.078125 0l0 -8.5l1.484375 0l2.359375 5.015625l0.859375 1.953125l0 -4.5625l0 -2.40625l1.078125 0l0 8.5zm7.5309906 -7.515625l-2.515625 0l0 7.515625l-1.171875 0l0 -7.515625l-2.515625 0l0 -0.984375l6.203125 0l0 0.984375zm7.4059906 7.515625l-1.3125 0l-1.265625 -2.71875q-0.140625 -0.3125 -0.296875 -0.515625q-0.15625 -0.203125 -0.328125 -0.328125q-0.171875 -0.125 -0.375 -0.171875q-0.203125 -0.046875 -0.453125 -0.046875l-0.546875 0l0 3.78125l-1.15625 0l0 -8.5l2.28125 0q0.734375 0 1.265625 0.15625q0.53125 0.15625 0.875 0.453125q0.34375 0.296875 0.5 0.71875q0.171875 0.40625 0.171875 0.90625q0 0.390625 -0.125 0.75q-0.109375 0.359375 -0.34375 0.65625q-0.234375 0.28125 -0.578125 0.5q-0.34375 0.203125 -0.78125 0.3125q0.359375 0.109375 0.609375 0.421875q0.25 0.3125 0.515625 0.828125l1.34375 2.796875zm-1.859375 -6.171875q0 -0.6875 -0.4375 -1.015625q-0.421875 -0.34375 -1.1875 -0.34375l-1.09375 0l0 2.8125l0.9375 0q0.40625 0 0.734375 -0.09375q0.328125 -0.09375 0.5625 -0.28125q0.234375 -0.1875 0.359375 -0.453125q0.125 -0.265625 0.125 -0.625zm9.671616 8.84375l-7.328125 0l0 -0.9375l7.328125 0l0 0.9375zm6.7653656 -10.1875l-2.515625 0l0 7.515625l-1.171875 0l0 -7.515625l-2.515625 0l0 -0.984375l6.203125 0l0 0.984375zm6.7028656 7.515625l-4.828125 0l0 -8.5l4.828125 0l0 0.96875l-3.671875 0l0 2.640625l3.53125 0l0 0.96875l-3.53125 0l0 2.9375l3.671875 0l0 0.984375zm7.6716156 -2.296875q0 0.59375 -0.25 1.0625q-0.234375 0.453125 -0.6875 0.75q-0.4375 0.296875 -1.0625 0.453125q-0.625 0.140625 -1.359375 0.140625q-0.34375 0 -0.6875 -0.03125q-0.328125 -0.015625 -0.640625 -0.0625q-0.3125 -0.03125 -0.59375 -0.078125q-0.265625 -0.046875 -0.484375 -0.109375l0 -1.125q0.484375 0.1875 1.09375 0.296875q0.609375 0.09375 1.390625 0.09375q0.5625 0 0.953125 -0.078125q0.390625 -0.09375 0.640625 -0.265625q0.25 -0.171875 0.359375 -0.421875q0.125 -0.25 0.125 -0.5625q0 -0.34375 -0.203125 -0.59375q-0.1875 -0.25 -0.5 -0.4375q-0.3125 -0.1875 -0.71875 -0.34375q-0.390625 -0.171875 -0.8125 -0.328125q-0.40625 -0.171875 -0.8125 -0.375q-0.40625 -0.203125 -0.71875 -0.46875q-0.3125 -0.265625 -0.5 -0.625q-0.1875 -0.375 -0.1875 -0.875q0 -0.4375 0.171875 -0.859375q0.1875 -0.421875 0.5625 -0.75q0.390625 -0.328125 0.984375 -0.53125q0.609375 -0.203125 1.453125 -0.203125q0.203125 0 0.453125 0.03125q0.265625 0.015625 0.515625 0.046875q0.25 0.03125 0.5 0.078125q0.265625 0.046875 0.46875 0.09375l0 1.046875q-0.5 -0.140625 -1.0 -0.21875q-0.5 -0.078125 -0.96875 -0.078125q-1.0 0 -1.46875 0.34375q-0.46875 0.328125 -0.46875 0.890625q0 0.34375 0.1875 0.59375q0.203125 0.234375 0.515625 0.4375q0.3125 0.1875 0.703125 0.359375q0.40625 0.15625 0.8125 0.328125q0.421875 0.15625 0.828125 0.359375q0.40625 0.203125 0.71875 0.484375q0.3125 0.28125 0.5 0.65625q0.1875 0.359375 0.1875 0.875zm7.6091156 -5.21875l-2.515625 0l0 7.515625l-1.171875 0l0 -7.515625l-2.515625 0l0 -0.984375l6.203125 0l0 0.984375zm12.843231 10.25q-3.0 -2.78125 -3.0 -6.140625q0 -0.796875 0.15625 -1.578125q0.15625 -0.78125 0.515625 -1.5625q0.359375 -0.796875 0.9375 -1.578125q0.578125 -0.796875 1.421875 -1.5625l0.65625 0.671875q-2.53125 2.484375 -2.53125 5.515625q0 1.515625 0.640625 2.90625q0.640625 1.390625 1.890625 2.625l-0.6875 0.703125zm9.468475 -9.265625l-0.953125 6.53125l-1.375 0l-0.9375 -2.734375l-0.1875 -0.65625l-0.21875 0.703125l-0.90625 2.6875l-1.328125 0l-0.953125 -6.53125l1.109375 0l0.546875 4.4375l0.125 0.984375l0.28125 -0.859375l0.9375 -2.9375l0.8125 0l1.03125 2.890625l0.296875 0.875l0.09375 -0.921875l0.5 -4.46875l1.125 0zm6.968506 3.21875q0 0.75 -0.21875 1.390625q-0.21875 0.640625 -0.625 1.09375q-0.390625 0.4375 -0.984375 0.6875q-0.578125 0.25 -1.3125 0.25q-0.703125 0 -1.265625 -0.203125q-0.546875 -0.21875 -0.9375 -0.640625q-0.375 -0.4375 -0.59375 -1.0625q-0.203125 -0.625 -0.203125 -1.4375q0 -0.75 0.21875 -1.375q0.21875 -0.640625 0.609375 -1.09375q0.40625 -0.453125 0.984375 -0.703125q0.59375 -0.25 1.328125 -0.25q0.703125 0 1.25 0.21875q0.5625 0.21875 0.9375 0.640625q0.390625 0.421875 0.59375 1.046875q0.21875 0.625 0.21875 1.4375zm-1.15625 0.046875q0 -0.609375 -0.140625 -1.0625q-0.140625 -0.453125 -0.390625 -0.75q-0.234375 -0.296875 -0.59375 -0.453125q-0.359375 -0.15625 -0.796875 -0.15625q-0.5 0 -0.859375 0.203125q-0.359375 0.1875 -0.59375 0.53125q-0.234375 0.328125 -0.34375 0.765625q-0.109375 0.4375 -0.109375 0.921875q0 0.609375 0.140625 1.0625q0.140625 0.453125 0.375 0.765625q0.25 0.296875 0.59375 0.453125q0.359375 0.140625 0.796875 0.140625q0.515625 0 0.875 -0.1875q0.359375 -0.203125 0.59375 -0.53125q0.234375 -0.34375 0.34375 -0.78125q0.109375 -0.4375 0.109375 -0.921875zm8.046631 -5.9375l-4.46875 10.609375l-1.078125 0l4.46875 -10.609375l1.078125 0zm7.3278503 9.203125l-1.140625 0l0 -4.171875q0 -0.75 -0.28125 -1.125q-0.28125 -0.375 -0.8125 -0.375q-0.21875 0 -0.421875 0.0625q-0.203125 0.0625 -0.421875 0.21875q-0.203125 0.140625 -0.46875 0.40625q-0.25 0.265625 -0.578125 0.65625l0 4.328125l-1.125 0l0 -9.203125l1.125 0l0 2.671875l-0.03125 1.03125q0.265625 -0.328125 0.515625 -0.546875q0.265625 -0.21875 0.515625 -0.34375q0.25 -0.140625 0.515625 -0.203125q0.28125 -0.0625 0.5625 -0.0625q0.96875 0 1.5 0.609375q0.546875 0.59375 0.546875 1.78125l0 4.265625zm2.3434753 -6.53125l1.03125 0l0.03125 1.203125q0.578125 -0.703125 1.140625 -1.015625q0.5625 -0.3125 1.140625 -0.3125q1.015625 0 1.53125 0.65625q0.53125 0.65625 0.484375 1.953125l-1.140625 0q0.015625 -0.859375 -0.25 -1.234375q-0.265625 -0.390625 -0.796875 -0.390625q-0.21875 0 -0.453125 0.078125q-0.234375 0.078125 -0.484375 0.265625q-0.234375 0.171875 -0.515625 0.453125q-0.265625 0.28125 -0.578125 0.671875l0 4.203125l-1.140625 0l0 -6.53125zm12.749756 3.21875q0 0.75 -0.21875 1.390625q-0.21875 0.640625 -0.625 1.09375q-0.390625 0.4375 -0.984375 0.6875q-0.578125 0.25 -1.3125 0.25q-0.703125 0 -1.265625 -0.203125q-0.546875 -0.21875 -0.9375 -0.640625q-0.375 -0.4375 -0.59375 -1.0625q-0.203125 -0.625 -0.203125 -1.4375q0 -0.75 0.21875 -1.375q0.21875 -0.640625 0.609375 -1.09375q0.40625 -0.453125 0.984375 -0.703125q0.59375 -0.25 1.328125 -0.25q0.703125 0 1.25 0.21875q0.5625 0.21875 0.9375 0.640625q0.390625 0.421875 0.59375 1.046875q0.21875 0.625 0.21875 1.4375zm-1.15625 0.046875q0 -0.609375 -0.140625 -1.0625q-0.140625 -0.453125 -0.390625 -0.75q-0.234375 -0.296875 -0.59375 -0.453125q-0.359375 -0.15625 -0.796875 -0.15625q-0.5 0 -0.859375 0.203125q-0.359375 0.1875 -0.59375 0.53125q-0.234375 0.328125 -0.34375 0.765625q-0.109375 0.4375 -0.109375 0.921875q0 0.609375 0.140625 1.0625q0.140625 0.453125 0.375 0.765625q0.25 0.296875 0.59375 0.453125q0.359375 0.140625 0.796875 0.140625q0.515625 0 0.875 -0.1875q0.359375 -0.203125 0.59375 -0.53125q0.234375 -0.34375 0.34375 -0.78125q0.109375 -0.4375 0.109375 -0.921875zm8.046631 -5.9375l-4.46875 10.609375l-1.078125 0l4.46875 -10.609375l1.078125 0zm7.3278503 9.203125l-1.140625 0l0 -4.171875q0 -0.75 -0.28125 -1.125q-0.28125 -0.375 -0.8125 -0.375q-0.21875 0 -0.421875 0.0625q-0.203125 0.0625 -0.421875 0.21875q-0.203125 0.140625 -0.46875 0.40625q-0.25 0.265625 -0.578125 0.65625l0 4.328125l-1.125 0l0 -9.203125l1.125 0l0 2.671875l-0.03125 1.03125q0.265625 -0.328125 0.515625 -0.546875q0.265625 -0.21875 0.515625 -0.34375q0.25 -0.140625 0.515625 -0.203125q0.28125 -0.0625 0.5625 -0.0625q0.96875 0 1.5 0.609375q0.546875 0.59375 0.546875 1.78125l0 4.265625zm8.124725 -6.53125l-0.953125 6.53125l-1.375 0l-0.9375 -2.734375l-0.1875 -0.65625l-0.21875 0.703125l-0.90625 2.6875l-1.328125 0l-0.953125 -6.53125l1.109375 0l0.546875 4.4375l0.125 0.984375l0.28125 -0.859375l0.9375 -2.9375l0.8125 0l1.03125 2.890625l0.296875 0.875l0.09375 -0.921875l0.5 -4.46875l1.125 0zm6.796631 2.921875q0 0.234375 -0.015625 0.40625q0 0.15625 -0.015625 0.296875l-4.578125 0q0 1.0 0.546875 1.546875q0.5625 0.53125 1.625 0.53125q0.28125 0 0.5625 -0.015625q0.296875 -0.03125 0.5625 -0.0625q0.265625 -0.046875 0.5 -0.09375q0.25 -0.046875 0.453125 -0.109375l0 0.9375q-0.453125 0.125 -1.046875 0.203125q-0.578125 0.078125 -1.203125 0.078125q-0.828125 0 -1.4375 -0.21875q-0.609375 -0.234375 -1.0 -0.65625q-0.390625 -0.4375 -0.578125 -1.0625q-0.171875 -0.625 -0.171875 -1.421875q0 -0.6875 0.1875 -1.296875q0.203125 -0.625 0.578125 -1.09375q0.390625 -0.46875 0.9375 -0.734375q0.5625 -0.28125 1.265625 -0.28125q0.671875 0 1.203125 0.21875q0.53125 0.21875 0.890625 0.609375q0.359375 0.390625 0.546875 0.953125q0.1875 0.5625 0.1875 1.265625zm-1.1875 -0.171875q0.03125 -0.421875 -0.078125 -0.78125q-0.109375 -0.375 -0.328125 -0.625q-0.21875 -0.265625 -0.546875 -0.40625q-0.3125 -0.15625 -0.75 -0.15625q-0.359375 0 -0.671875 0.15625q-0.3125 0.140625 -0.53125 0.40625q-0.21875 0.25 -0.359375 0.625q-0.125 0.359375 -0.15625 0.78125l3.421875 0zm8.890381 3.78125l-1.515625 0l-1.765625 -2.5l-1.734375 2.5l-1.46875 0l2.53125 -3.28125l-2.40625 -3.25l1.453125 0l1.703125 2.515625l1.6875 -2.515625l1.40625 0l-2.453125 3.28125l2.5625 3.25zm6.7028503 -0.09375q-0.390625 0.09375 -0.796875 0.140625q-0.40625 0.046875 -0.84375 0.046875q-1.21875 0 -1.828125 -0.546875q-0.609375 -0.5625 -0.609375 -1.71875l0 -3.40625l-1.828125 0l0 -0.953125l1.828125 0l0 -1.796875l1.140625 -0.296875l0 2.09375l2.9375 0l0 0.953125l-2.9375 0l0 3.3125q0 0.703125 0.375 1.0625q0.375 0.34375 1.09375 0.34375q0.3125 0 0.6875 -0.046875q0.375 -0.0625 0.78125 -0.15625l0 0.96875zm3.3903503 -9.59375q3.0 2.78125 3.0 6.1875q0 0.6875 -0.140625 1.453125q-0.140625 0.75 -0.484375 1.546875q-0.34375 0.78125 -0.9375 1.59375q-0.578125 0.8125 -1.46875 1.640625l-0.65625 -0.671875q1.28125 -1.25 1.890625 -2.609375q0.625 -1.375 0.625 -2.875q0 -3.09375 -2.515625 -5.578125l0.6875 -0.6875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m160.0 504.9134l504.0 -0.9133911" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 504.9134l500.57294 -0.90719604" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m660.57294 504.00623l-1.1225586 1.1266174l3.0877075 -1.130188l-3.0917969 -1.118988z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m112.0 480.91577l50.897644 0l0 67.52759l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m144.81952 497.43204l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0zm1.140625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25z" fill-rule="nonzero"/><path fill="#000000" d="m144.81952 528.432l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0zm8.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 139.55667l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m465.85938 160.15105q0 -0.84375 0.21875 -1.59375q0.21875 -0.765625 0.6875 -1.328125q0.46875 -0.578125 1.1875 -0.90625q0.734375 -0.34375 1.734375 -0.34375q0.40625 0 0.796875 0.0625q0.390625 0.0625 0.828125 0.1875l1.203125 -0.296875l0 11.1875l-1.375 0l0 -2.953125l0.078125 -1.6875q-1.109375 1.578125 -2.640625 1.578125q-0.6875 0 -1.203125 -0.28125q-0.5 -0.28125 -0.84375 -0.796875q-0.34375 -0.515625 -0.515625 -1.234375q-0.15625 -0.71875 -0.15625 -1.59375zm1.390625 -0.078125q0 0.65625 0.09375 1.1875q0.09375 0.515625 0.296875 0.875q0.203125 0.359375 0.5 0.5625q0.296875 0.1875 0.703125 0.1875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.3125 -0.140625 -0.703125 -0.234375q-0.390625 -0.09375 -0.84375 -0.09375q-1.140625 0 -1.75 0.765625q-0.59375 0.75 -0.59375 2.21875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 331.55667l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m465.85938 352.15103q0 -0.84375 0.21875 -1.59375q0.21875 -0.765625 0.6875 -1.328125q0.46875 -0.578125 1.1875 -0.90625q0.734375 -0.34375 1.734375 -0.34375q0.40625 0 0.796875 0.0625q0.390625 0.0625 0.828125 0.1875l1.203125 -0.296875l0 11.1875l-1.375 0l0 -2.953125l0.078125 -1.6875q-1.109375 1.578125 -2.640625 1.578125q-0.6875 0 -1.203125 -0.28125q-0.5 -0.28125 -0.84375 -0.796875q-0.34375 -0.515625 -0.515625 -1.234375q-0.15625 -0.71875 -0.15625 -1.59375zm1.390625 -0.078125q0 0.65625 0.09375 1.1875q0.09375 0.515625 0.296875 0.875q0.203125 0.359375 0.5 0.5625q0.296875 0.1875 0.703125 0.1875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.3125 -0.140625 -0.703125 -0.234375q-0.390625 -0.09375 -0.84375 -0.09375q-1.140625 0 -1.75 0.765625q-0.59375 0.75 -0.59375 2.21875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 407.11334l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m465.85938 427.7077q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0z" fill-rule="nonzero"/><path fill="#000000" d="m465.85938 449.7077q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 492.47244l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m465.85938 513.06683q0 -0.84375 0.21875 -1.5937805q0.21875 -0.765625 0.6875 -1.328125q0.46875 -0.578125 1.1875 -0.90625q0.734375 -0.34375 1.734375 -0.34375q0.40625 0 0.796875 0.0625q0.390625 0.0625 0.828125 0.1875l1.203125 -0.296875l0 11.1875305l-1.375 0l0 -2.953125l0.078125 -1.6875q-1.109375 1.578125 -2.640625 1.578125q-0.6875 0 -1.203125 -0.28125q-0.5 -0.28125 -0.84375 -0.796875q-0.34375 -0.515625 -0.515625 -1.234375q-0.15625 -0.71875 -0.15625 -1.59375zm1.390625 -0.078125q0 0.65625 0.09375 1.1875q0.09375 0.515625 0.296875 0.875q0.203125 0.359375 0.5 0.5625q0.296875 0.1875 0.703125 0.1875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.6406555q-0.3125 -0.140625 -0.703125 -0.234375q-0.390625 -0.09375 -0.84375 -0.09375q-1.140625 0 -1.75 0.765625q-0.59375 0.75 -0.59375 2.2187805z" fill-rule="nonzero"/><path fill="#000000" d="m465.85938 544.06683q0 -0.84375 0.21875 -1.59375q0.21875 -0.765625 0.6875 -1.328125q0.46875 -0.578125 1.1875 -0.90625q0.734375 -0.34375 1.734375 -0.34375q0.40625 0 0.796875 0.0625q0.390625 0.0625 0.828125 0.1875l1.203125 -0.296875l0 11.1875l-1.375 0l0 -2.953125l0.078125 -1.6875q-1.109375 1.578125 -2.640625 1.578125q-0.6875 0 -1.203125 -0.28125q-0.5 -0.28125 -0.84375 -0.796875q-0.34375 -0.515625 -0.515625 -1.234375q-0.15625 -0.71875 -0.15625 -1.59375zm1.390625 -0.078125q0 0.65625 0.09375 1.1875q0.09375 0.515625 0.296875 0.875q0.203125 0.359375 0.5 0.5625q0.296875 0.1875 0.703125 0.1875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.3125 -0.140625 -0.703125 -0.234375q-0.390625 -0.09375 -0.84375 -0.09375q-1.140625 0 -1.75 0.765625q-0.59375 0.75 -0.59375 2.21875zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0z" fill-rule="nonzero"/><path fill="#ffffff" d="m736.0 576.0l-16.0 0l0 0c-8.836548 0 -16.0 7.163452 -16.0 16.0c0 8.836548 7.163452 16.0 16.0 16.0l16.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m736.0 576.0l-16.0 0l0 0c-8.836548 0 -16.0 7.163452 -16.0 16.0c0 8.836548 7.163452 16.0 16.0 16.0l16.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 536.0l504.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 536.0l500.57294 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m660.57294 536.0l-1.1246338 1.1245728l3.0897827 -1.1245728l-3.0897827 -1.1245728z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m664.0 504.0l64.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m664.0 504.0l64.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m664.0 536.0l16.0 0l0 -16.0l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m664.0 536.0l16.0 0l0 -16.0l24.0 0" fill-rule="evenodd"/><path fill="#ffffff" d="m704.0 496.91577l16.0 0l0 0c8.836548 0 16.0 7.163452 16.0 16.0c0 8.836548 -7.163452 16.0 -16.0 16.0l-16.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m704.0 496.91577l16.0 0l0 0c8.836548 0 16.0 7.163452 16.0 16.0c0 8.836548 -7.163452 16.0 -16.0 16.0l-16.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 592.0l544.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 592.0l544.0 0" fill-rule="evenodd"/></g></svg>
\ No newline at end of file
diff --git a/doc/rm/comportability_diagram_peripheral.svg b/doc/rm/comportability_diagram_peripheral.svg
new file mode 100644
index 0000000..6c09d00
--- /dev/null
+++ b/doc/rm/comportability_diagram_peripheral.svg
@@ -0,0 +1 @@
+<svg version="1.1" viewBox="0.0 0.0 1126.0 600.5931758530184" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l1126.0 0l0 600.5932l-1126.0 0l0 -600.5932z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l1126.0 0l0 600.5932l-1126.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m0 272.0l112.0 0l0 288.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m66.359375 296.0631q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm5.375 -9.625l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.953125 5.9375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm9.453125 3.625q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.765625 0.296875l-1.875 0l-3.65625 -4.203125l0 4.203125l-1.359375 0l0 -11.046875l1.359375 0l0 6.78125l3.53125 -3.578125l1.796875 0l-3.6875 3.609375l3.890625 4.234375z" fill-rule="nonzero"/><path fill="#000000" d="m42.28125 340.59436q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm2.84375 -3.3125l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm15.125 7.84375l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.03125 -3.984375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm2.96875 0.15625q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0z" fill-rule="nonzero"/><path fill="#000000" d="m18.953125 375.64124l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.640625 3.296875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm9.09375 -4.234375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm8.796875 0l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.78125 7.84375l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm9.1875 -4.0625q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm9.8125 3.890625q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm8.6875 -2.03125q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125z" fill-rule="nonzero"/><path fill="#000000" d="m56.515625 430.36l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm8.6875 -2.03125q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125z" fill-rule="nonzero"/><path fill="#000000" d="m75.9375 500.32874l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm8.375 9.03125l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875zm9.046875 -3.5625q0 0.84375 -0.234375 1.53125q-0.234375 0.6875 -0.703125 1.171875q-0.453125 0.46875 -1.125 0.734375q-0.671875 0.265625 -1.53125 0.265625q-0.953125 0 -1.625 -0.25q-0.65625 -0.25 -1.078125 -0.703125q-0.421875 -0.46875 -0.609375 -1.109375q-0.1875 -0.640625 -0.1875 -1.421875l0 -6.875l1.390625 0l0 6.765625q0 0.59375 0.109375 1.046875q0.109375 0.453125 0.359375 0.765625q0.265625 0.296875 0.671875 0.453125q0.421875 0.15625 1.015625 0.15625q1.109375 0 1.625 -0.640625q0.53125 -0.640625 0.53125 -1.796875l0 -6.75l1.390625 0l0 6.65625zm8.546875 3.5625l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875z" fill-rule="nonzero"/><path fill="#000000" d="m75.375 528.36l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm9.3125 -3.984375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm9.578125 1.78125q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.90625 2.03125q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#ffffff" d="m112.0 24.0l320.0 0l0 536.0l-320.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m112.0 24.0l320.0 0l0 536.0l-320.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m132.9375 49.94625q0 1.5625 -0.453125 2.734375q-0.4375 1.171875 -1.21875 1.953125q-0.765625 0.765625 -1.828125 1.15625q-1.046875 0.390625 -2.265625 0.390625q-0.5625 0 -1.125 -0.0625q-0.5625 -0.046875 -1.125 -0.203125l0 4.9375l-2.046875 0l0 -16.578125l1.8125 0l0.140625 1.96875q0.875 -1.203125 1.859375 -1.6875q1.0 -0.5 2.171875 -0.5q1.0 0 1.765625 0.421875q0.765625 0.421875 1.28125 1.203125q0.515625 0.765625 0.765625 1.84375q0.265625 1.078125 0.265625 2.421875zm-2.09375 0.09375q0 -0.921875 -0.140625 -1.6875q-0.125 -0.78125 -0.421875 -1.328125q-0.296875 -0.5625 -0.75 -0.875q-0.453125 -0.3125 -1.09375 -0.3125q-0.375 0 -0.78125 0.125q-0.390625 0.125 -0.828125 0.421875q-0.421875 0.28125 -0.90625 0.765625q-0.46875 0.46875 -1.0 1.171875l0 5.703125q0.5625 0.234375 1.171875 0.375q0.625 0.125 1.21875 0.125q1.65625 0 2.59375 -1.109375q0.9375 -1.125 0.9375 -3.375zm15.1640625 -0.5q0 0.421875 -0.015625 0.71875q0 0.296875 -0.03125 0.546875l-8.265625 0q0 1.8125 1.0 2.78125q1.015625 0.96875 2.921875 0.96875q0.515625 0 1.03125 -0.046875q0.515625 -0.046875 0.984375 -0.109375q0.484375 -0.078125 0.921875 -0.15625q0.453125 -0.09375 0.828125 -0.203125l0 1.6875q-0.84375 0.234375 -1.890625 0.375q-1.046875 0.15625 -2.171875 0.15625q-1.515625 0 -2.609375 -0.40625q-1.078125 -0.421875 -1.78125 -1.203125q-0.6875 -0.78125 -1.03125 -1.90625q-0.328125 -1.140625 -0.328125 -2.5625q0 -1.25 0.359375 -2.34375q0.359375 -1.109375 1.03125 -1.953125q0.6875 -0.84375 1.6875 -1.328125q1.0 -0.5 2.265625 -0.5q1.234375 0 2.171875 0.390625q0.953125 0.390625 1.59375 1.109375q0.65625 0.703125 0.984375 1.71875q0.34375 1.0 0.34375 2.265625zm-2.125 -0.296875q0.046875 -0.78125 -0.140625 -1.4375q-0.1875 -0.65625 -0.59375 -1.125q-0.390625 -0.46875 -0.984375 -0.71875q-0.578125 -0.265625 -1.34375 -0.265625q-0.671875 0 -1.21875 0.265625q-0.546875 0.25 -0.953125 0.71875q-0.390625 0.46875 -0.640625 1.125q-0.25 0.65625 -0.3125 1.4375l6.1875 0zm5.8671875 -4.96875l1.859375 0l0.0625 2.171875q1.046875 -1.265625 2.046875 -1.828125q1.015625 -0.5625 2.046875 -0.5625q1.828125 0 2.765625 1.1875q0.953125 1.1875 0.890625 3.515625l-2.0625 0q0.03125 -1.546875 -0.453125 -2.234375q-0.484375 -0.703125 -1.421875 -0.703125q-0.421875 0 -0.84375 0.15625q-0.40625 0.140625 -0.84375 0.46875q-0.4375 0.3125 -0.9375 0.8125q-0.484375 0.5 -1.046875 1.21875l0 7.5625l-2.0625 0l0 -11.765625zm16.726562 1.6875l-3.484375 0l0 -1.6875l5.546875 0l0 10.0625l3.5 0l0 1.703125l-9.4375 0l0 -1.703125l3.875 0l0 -8.375zm0.703125 -6.625q0.34375 0 0.640625 0.125q0.296875 0.125 0.5 0.34375q0.21875 0.21875 0.34375 0.515625q0.125 0.28125 0.125 0.625q0 0.328125 -0.125 0.625q-0.125 0.28125 -0.34375 0.515625q-0.203125 0.21875 -0.5 0.34375q-0.296875 0.125 -0.640625 0.125q-0.328125 0 -0.625 -0.125q-0.296875 -0.125 -0.515625 -0.34375q-0.21875 -0.234375 -0.34375 -0.515625q-0.109375 -0.296875 -0.109375 -0.625q0 -0.34375 0.109375 -0.625q0.125 -0.296875 0.34375 -0.515625q0.21875 -0.21875 0.515625 -0.34375q0.296875 -0.125 0.625 -0.125zm18.539062 10.609375q0 1.5625 -0.453125 2.734375q-0.4375 1.171875 -1.21875 1.953125q-0.765625 0.765625 -1.828125 1.15625q-1.046875 0.390625 -2.265625 0.390625q-0.5625 0 -1.125 -0.0625q-0.5625 -0.046875 -1.125 -0.203125l0 4.9375l-2.046875 0l0 -16.578125l1.8125 0l0.140625 1.96875q0.875 -1.203125 1.859375 -1.6875q1.0 -0.5 2.171875 -0.5q1.0 0 1.765625 0.421875q0.765625 0.421875 1.28125 1.203125q0.515625 0.765625 0.765625 1.84375q0.265625 1.078125 0.265625 2.421875zm-2.09375 0.09375q0 -0.921875 -0.140625 -1.6875q-0.125 -0.78125 -0.421875 -1.328125q-0.296875 -0.5625 -0.75 -0.875q-0.453125 -0.3125 -1.09375 -0.3125q-0.375 0 -0.78125 0.125q-0.390625 0.125 -0.828125 0.421875q-0.421875 0.28125 -0.90625 0.765625q-0.46875 0.46875 -1.0 1.171875l0 5.703125q0.5625 0.234375 1.171875 0.375q0.625 0.125 1.21875 0.125q1.65625 0 2.59375 -1.109375q0.9375 -1.125 0.9375 -3.375zm14.6796875 6.0l-2.03125 0l0 -7.515625q0 -1.359375 -0.515625 -2.03125q-0.5 -0.671875 -1.453125 -0.671875q-0.40625 0 -0.765625 0.109375q-0.359375 0.109375 -0.75 0.390625q-0.390625 0.265625 -0.84375 0.734375q-0.453125 0.46875 -1.046875 1.171875l0 7.8125l-2.046875 0l0 -16.5625l2.046875 0l0 4.796875l-0.078125 1.84375q0.484375 -0.5625 0.9375 -0.953125q0.46875 -0.40625 0.921875 -0.640625q0.46875 -0.25 0.953125 -0.359375q0.484375 -0.109375 1.0 -0.109375q1.75 0 2.703125 1.078125q0.96875 1.078125 0.96875 3.234375l0 7.671875zm13.6796875 -6.5q0 0.421875 -0.015625 0.71875q0 0.296875 -0.03125 0.546875l-8.265625 0q0 1.8125 1.0 2.78125q1.015625 0.96875 2.921875 0.96875q0.515625 0 1.03125 -0.046875q0.515625 -0.046875 0.984375 -0.109375q0.484375 -0.078125 0.921875 -0.15625q0.453125 -0.09375 0.828125 -0.203125l0 1.6875q-0.84375 0.234375 -1.890625 0.375q-1.046875 0.15625 -2.171875 0.15625q-1.515625 0 -2.609375 -0.40625q-1.078125 -0.421875 -1.78125 -1.203125q-0.6875 -0.78125 -1.03125 -1.90625q-0.328125 -1.140625 -0.328125 -2.5625q0 -1.25 0.359375 -2.34375q0.359375 -1.109375 1.03125 -1.953125q0.6875 -0.84375 1.6875 -1.328125q1.0 -0.5 2.265625 -0.5q1.234375 0 2.171875 0.390625q0.953125 0.390625 1.59375 1.109375q0.65625 0.703125 0.984375 1.71875q0.34375 1.0 0.34375 2.265625zm-2.125 -0.296875q0.046875 -0.78125 -0.140625 -1.4375q-0.1875 -0.65625 -0.59375 -1.125q-0.390625 -0.46875 -0.984375 -0.71875q-0.578125 -0.265625 -1.34375 -0.265625q-0.671875 0 -1.21875 0.265625q-0.546875 0.25 -0.953125 0.71875q-0.390625 0.46875 -0.640625 1.125q-0.25 0.65625 -0.3125 1.4375l6.1875 0zm5.8671875 -4.96875l1.859375 0l0.0625 2.171875q1.046875 -1.265625 2.046875 -1.828125q1.015625 -0.5625 2.046875 -0.5625q1.828125 0 2.765625 1.1875q0.953125 1.1875 0.890625 3.515625l-2.0625 0q0.03125 -1.546875 -0.453125 -2.234375q-0.484375 -0.703125 -1.421875 -0.703125q-0.421875 0 -0.84375 0.15625q-0.40625 0.140625 -0.84375 0.46875q-0.4375 0.3125 -0.9375 0.8125q-0.484375 0.5 -1.046875 1.21875l0 7.5625l-2.0625 0l0 -11.765625zm20.273438 11.765625l-0.046875 -1.578125q-0.96875 0.9375 -1.953125 1.375q-0.984375 0.421875 -2.078125 0.421875q-1.015625 0 -1.734375 -0.265625q-0.703125 -0.265625 -1.171875 -0.703125q-0.453125 -0.453125 -0.671875 -1.0625q-0.21875 -0.609375 -0.21875 -1.328125q0 -1.765625 1.3125 -2.765625q1.328125 -1.015625 3.90625 -1.015625l2.4375 0l0 -1.03125q0 -1.03125 -0.671875 -1.65625q-0.671875 -0.640625 -2.046875 -0.640625q-0.984375 0 -1.953125 0.234375q-0.96875 0.21875 -2.0 0.625l0 -1.84375q0.390625 -0.140625 0.859375 -0.265625q0.46875 -0.140625 1.0 -0.234375q0.53125 -0.109375 1.09375 -0.171875q0.578125 -0.078125 1.171875 -0.078125q1.0625 0 1.921875 0.234375q0.859375 0.234375 1.4375 0.71875q0.59375 0.484375 0.90625 1.21875q0.328125 0.71875 0.328125 1.703125l0 8.109375l-1.828125 0zm-0.21875 -5.359375l-2.59375 0q-0.765625 0 -1.3125 0.15625q-0.546875 0.15625 -0.90625 0.4375q-0.34375 0.28125 -0.515625 0.671875q-0.171875 0.390625 -0.171875 0.875q0 0.34375 0.09375 0.65625q0.109375 0.3125 0.34375 0.5625q0.234375 0.234375 0.609375 0.375q0.375 0.140625 0.921875 0.140625q0.703125 0 1.609375 -0.421875q0.90625 -0.4375 1.921875 -1.359375l0 -2.09375zm9.8671875 -9.53125l-3.484375 0l0 -1.671875l5.546875 0l0 14.859375l3.5 0l0 1.703125l-9.4375 0l0 -1.703125l3.875 0l0 -13.1875z" fill-rule="nonzero"/><path fill="#ffffff" d="m92.0 152.0l20.0 20.0l-20.0 20.0l0 -10.0l-24.0 0l0 10.0l-20.0 -20.0l20.0 -20.0l0 10.0l24.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m92.0 152.0l20.0 20.0l-20.0 20.0l0 -10.0l-24.0 0l0 10.0l-20.0 -20.0l20.0 -20.0l0 10.0l24.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m112.0 416.0l-64.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m112.0 416.0l-58.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m54.0 414.34827l-4.5380974 1.6517334l4.5380974 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m48.0 280.0l64.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m48.0 280.0l58.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m106.0 281.65173l4.538101 -1.6517334l-4.538101 -1.6517334z" fill-rule="evenodd"/><path fill="#c9daf8" d="m128.0 80.0l168.0 0l0 168.0l-168.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 80.0l168.0 0l0 168.0l-168.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m138.82812 97.77937l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.616669 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm10.772919 -2.578125q0.25 0.328125 0.390625 0.75q0.140625 0.421875 0.140625 0.921875q0 0.703125 -0.265625 1.296875q-0.25 0.578125 -0.734375 1.0q-0.46875 0.421875 -1.125 0.65625q-0.65625 0.234375 -1.453125 0.234375q-0.578125 0 -1.078125 -0.125q-0.5 -0.125 -0.78125 -0.3125q-0.1875 0.265625 -0.3125 0.5q-0.109375 0.21875 -0.109375 0.5q0 0.34375 0.328125 0.578125q0.34375 0.21875 0.890625 0.234375l2.40625 0.09375q0.671875 0.015625 1.25 0.171875q0.59375 0.15625 1.0 0.453125q0.421875 0.28125 0.640625 0.71875q0.234375 0.421875 0.234375 0.984375q0 0.609375 -0.265625 1.15625q-0.25 0.546875 -0.8125 0.953125q-0.546875 0.421875 -1.390625 0.671875q-0.84375 0.25 -2.015625 0.25q-1.109375 0 -1.890625 -0.1875q-0.78125 -0.171875 -1.28125 -0.484375q-0.5 -0.3125 -0.734375 -0.75q-0.21875 -0.421875 -0.21875 -0.953125q0 -0.65625 0.296875 -1.15625q0.3125 -0.5 0.96875 -0.96875q-0.234375 -0.109375 -0.40625 -0.265625q-0.171875 -0.171875 -0.28125 -0.359375q-0.109375 -0.203125 -0.171875 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.578125 0.265625 -1.0625q0.28125 -0.5 0.671875 -0.9375q-0.171875 -0.21875 -0.3125 -0.40625q-0.125 -0.203125 -0.234375 -0.4375q-0.09375 -0.234375 -0.140625 -0.5q-0.046875 -0.28125 -0.046875 -0.625q0 -0.703125 0.25 -1.28125q0.265625 -0.59375 0.734375 -1.015625q0.46875 -0.421875 1.125 -0.65625q0.671875 -0.234375 1.46875 -0.234375q0.34375 0 0.640625 0.046875q0.3125 0.046875 0.546875 0.125l3.328125 0l0 1.28125l-1.46875 0zm-5.5 9.1875q0 0.640625 0.671875 0.9375q0.671875 0.296875 1.875 0.296875q0.75 0 1.265625 -0.140625q0.515625 -0.140625 0.828125 -0.359375q0.3125 -0.21875 0.453125 -0.515625q0.140625 -0.28125 0.140625 -0.578125q0 -0.5625 -0.453125 -0.828125q-0.453125 -0.265625 -1.390625 -0.296875l-2.390625 -0.09375q-0.296875 0.203125 -0.5 0.390625q-0.203125 0.203125 -0.3125 0.390625q-0.109375 0.203125 -0.15625 0.390625q-0.03125 0.203125 -0.03125 0.40625zm0.484375 -7.484375q0 0.4375 0.140625 0.8125q0.140625 0.359375 0.40625 0.609375q0.265625 0.25 0.625 0.390625q0.359375 0.140625 0.796875 0.140625q0.46875 0 0.84375 -0.15625q0.375 -0.15625 0.625 -0.421875q0.25 -0.28125 0.375 -0.640625q0.140625 -0.359375 0.140625 -0.734375q0 -0.4375 -0.15625 -0.796875q-0.140625 -0.375 -0.40625 -0.625q-0.265625 -0.25 -0.625 -0.390625q-0.359375 -0.140625 -0.796875 -0.140625q-0.46875 0 -0.84375 0.171875q-0.359375 0.15625 -0.625 0.421875q-0.25 0.265625 -0.375 0.625q-0.125 0.34375 -0.125 0.734375zm22.061462 -1.671875l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm6.5854187 3.84375l1.421875 0l0.0625 1.46875q0.390625 -0.46875 0.765625 -0.78125q0.375 -0.3125 0.734375 -0.5q0.359375 -0.203125 0.734375 -0.28125q0.375 -0.078125 0.78125 -0.078125q1.40625 0 2.125 0.84375q0.734375 0.828125 0.734375 2.5l0 5.96875l-1.59375 0l0 -5.84375q0 -1.078125 -0.40625 -1.578125q-0.390625 -0.515625 -1.1875 -0.515625q-0.28125 0 -0.5625 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.34375 0.359375 -0.78125 0.90625l0 6.0625l-1.59375 0l0 -9.140625zm17.632294 9.015625q-0.53125 0.140625 -1.109375 0.1875q-0.578125 0.0625 -1.171875 0.0625q-1.71875 0 -2.5625 -0.78125q-0.84375 -0.78125 -0.84375 -2.390625l0 -4.765625l-2.5625 0l0 -1.328125l2.5625 0l0 -2.515625l1.578125 -0.40625l0 2.921875l4.109375 0l0 1.328125l-4.109375 0l0 4.640625q0 0.984375 0.515625 1.46875q0.53125 0.484375 1.546875 0.484375q0.4375 0 0.953125 -0.0625q0.53125 -0.0625 1.09375 -0.21875l0 1.375zm10.616669 -4.9375q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm18.007294 -2.25q-1.25 -0.265625 -2.15625 -0.265625q-2.140625 0 -2.140625 2.234375l0 1.609375l4.015625 0l0 1.3125l-4.015625 0l0 6.5l-1.609375 0l0 -6.5l-2.9375 0l0 -1.3125l2.9375 0l0 -1.515625q0 -3.65625 3.8125 -3.65625q0.9375 0 2.09375 0.21875l0 1.375zm-9.578125 2.25l0 0zm17.585419 9.140625l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm11.663544 3.8125q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm10.882294 -4.71875q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m8.0 192.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m75.9375 207.32875l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm8.375 9.03125l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875zm9.046875 -3.5625q0 0.84375 -0.234375 1.53125q-0.234375 0.6875 -0.703125 1.171875q-0.453125 0.46875 -1.125 0.734375q-0.671875 0.265625 -1.53125 0.265625q-0.953125 0 -1.625 -0.25q-0.65625 -0.25 -1.078125 -0.703125q-0.421875 -0.46875 -0.609375 -1.109375q-0.1875 -0.640625 -0.1875 -1.421875l0 -6.875l1.390625 0l0 6.765625q0 0.59375 0.109375 1.046875q0.109375 0.453125 0.359375 0.765625q0.265625 0.296875 0.671875 0.453125q0.421875 0.15625 1.015625 0.15625q1.109375 0 1.625 -0.640625q0.53125 -0.640625 0.53125 -1.796875l0 -6.75l1.390625 0l0 6.65625zm8.546875 3.5625l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875z" fill-rule="nonzero"/><path fill="#000000" d="m51.078125 231.59438q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm2.84375 -3.3125l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm12.203125 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.734375 10.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0z" fill-rule="nonzero"/><path fill="#ffffff" d="m476.0 240.0l20.0 20.0l-20.0 20.0l0 -10.0l-24.0 0l0 10.0l-20.0 -20.0l20.0 -20.0l0 10.0l24.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m476.0 240.0l20.0 20.0l-20.0 20.0l0 -10.0l-24.0 0l0 10.0l-20.0 -20.0l20.0 -20.0l0 10.0l24.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m432.0 280.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m448.57812 304.2506q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm9.296875 -3.875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm9.671875 -7.125l-5.359375 12.734375l-1.296875 0l5.359375 -12.734375l1.296875 0zm9.453125 1.265625q-1.078125 -0.21875 -1.84375 -0.21875q-1.84375 0 -1.84375 1.921875l0 1.375l3.4375 0l0 1.125l-3.4375 0l0 5.578125l-1.375 0l0 -5.578125l-2.53125 0l0 -1.125l2.53125 0l0 -1.296875q0 -3.140625 3.265625 -3.140625q0.8125 0 1.796875 0.1875l0 1.171875zm-8.21875 1.9375l0 0zm10.375 0l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.296875 3.859375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm8.953125 3.921875l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0z" fill-rule="nonzero"/><path fill="#000000" d="m448.34375 323.0631q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm5.15625 -6.71875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm12.34375 7.078125q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm14.734375 -5.046875l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm13.46875 3.875q0 1.375 -0.328125 2.375q-0.328125 1.0 -0.875 1.65625q-0.546875 0.640625 -1.296875 0.96875q-0.734375 0.3125 -1.546875 0.3125q-0.984375 0 -1.71875 -0.359375q-0.734375 -0.359375 -1.21875 -1.03125q-0.46875 -0.671875 -0.703125 -1.625q-0.234375 -0.96875 -0.234375 -2.1875q0 -1.359375 0.3125 -2.359375q0.328125 -1.0 0.875 -1.640625q0.546875 -0.65625 1.28125 -0.96875q0.734375 -0.328125 1.5625 -0.328125q0.984375 0 1.703125 0.359375q0.734375 0.359375 1.21875 1.03125q0.484375 0.671875 0.71875 1.640625q0.25 0.953125 0.25 2.15625zm-1.453125 0.09375q0 -0.890625 -0.140625 -1.640625q-0.125 -0.75 -0.4375 -1.28125q-0.296875 -0.546875 -0.78125 -0.84375q-0.484375 -0.296875 -1.15625 -0.296875q-0.65625 0 -1.140625 0.328125q-0.46875 0.3125 -0.78125 0.859375q-0.296875 0.53125 -0.453125 1.265625q-0.140625 0.734375 -0.140625 1.546875q0 0.90625 0.140625 1.65625q0.140625 0.75 0.4375 1.28125q0.3125 0.53125 0.78125 0.828125q0.484375 0.296875 1.15625 0.296875q0.65625 0 1.125 -0.3125q0.484375 -0.3125 0.78125 -0.859375q0.3125 -0.546875 0.453125 -1.265625q0.15625 -0.734375 0.15625 -1.5625z" fill-rule="nonzero"/><path fill="#ffffff" d="m92.0 456.0l20.0 20.0l-20.0 20.0l0 -10.0l-24.0 0l0 10.0l-20.0 -20.0l20.0 -20.0l0 10.0l24.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m92.0 456.0l20.0 20.0l-20.0 20.0l0 -10.0l-24.0 0l0 10.0l-20.0 -20.0l20.0 -20.0l0 10.0l24.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m112.0 368.0l-64.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m112.0 368.0l-58.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m54.0 366.34827l-4.5380974 1.6517334l4.5380974 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m296.0 128.0l40.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m296.0 128.0l34.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m330.0 129.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m296.0 184.0l40.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m302.0 184.0l34.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m302.0 182.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m296.0 120.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m306.57812 136.51625l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.234375 -2.203125q0.21875 0.265625 0.328125 0.640625q0.125 0.359375 0.125 0.78125q0 0.609375 -0.21875 1.109375q-0.21875 0.5 -0.625 0.859375q-0.40625 0.359375 -0.984375 0.5625q-0.5625 0.203125 -1.234375 0.203125q-0.5 0 -0.9375 -0.109375q-0.421875 -0.109375 -0.671875 -0.265625q-0.140625 0.21875 -0.25 0.421875q-0.09375 0.1875 -0.09375 0.4375q0 0.296875 0.28125 0.5q0.28125 0.1875 0.75 0.203125l2.0625 0.078125q0.59375 0.015625 1.078125 0.15625q0.5 0.125 0.859375 0.375q0.359375 0.25 0.546875 0.625q0.203125 0.359375 0.203125 0.84375q0 0.53125 -0.234375 1.0q-0.21875 0.46875 -0.6875 0.8125q-0.46875 0.359375 -1.203125 0.5625q-0.71875 0.21875 -1.71875 0.21875q-0.953125 0 -1.625 -0.15625q-0.671875 -0.140625 -1.109375 -0.421875q-0.421875 -0.265625 -0.625 -0.640625q-0.1875 -0.359375 -0.1875 -0.8125q0 -0.5625 0.265625 -1.0q0.265625 -0.4375 0.828125 -0.828125q-0.203125 -0.09375 -0.359375 -0.234375q-0.140625 -0.140625 -0.234375 -0.3125q-0.09375 -0.171875 -0.140625 -0.359375q-0.046875 -0.1875 -0.046875 -0.359375q0 -0.515625 0.234375 -0.9375q0.234375 -0.421875 0.5625 -0.796875q-0.140625 -0.171875 -0.265625 -0.34375q-0.109375 -0.171875 -0.1875 -0.375q-0.078125 -0.203125 -0.125 -0.421875q-0.046875 -0.234375 -0.046875 -0.53125q0 -0.609375 0.21875 -1.109375q0.234375 -0.515625 0.625 -0.875q0.40625 -0.359375 0.96875 -0.546875q0.578125 -0.203125 1.265625 -0.203125q0.28125 0 0.546875 0.046875q0.265625 0.03125 0.46875 0.09375l2.84375 0l0 1.109375l-1.25 0zm-4.71875 7.875q0 0.546875 0.578125 0.796875q0.578125 0.265625 1.609375 0.265625q0.640625 0 1.078125 -0.125q0.453125 -0.109375 0.71875 -0.3125q0.265625 -0.1875 0.375 -0.4375q0.125 -0.234375 0.125 -0.5q0 -0.46875 -0.390625 -0.703125q-0.390625 -0.234375 -1.1875 -0.265625l-2.046875 -0.078125q-0.265625 0.171875 -0.4375 0.34375q-0.15625 0.171875 -0.25 0.328125q-0.09375 0.171875 -0.140625 0.34375q-0.03125 0.171875 -0.03125 0.34375zm0.40625 -6.421875q0 0.375 0.125 0.6875q0.125 0.3125 0.34375 0.53125q0.234375 0.21875 0.546875 0.34375q0.3125 0.109375 0.6875 0.109375q0.40625 0 0.71875 -0.125q0.3125 -0.140625 0.53125 -0.375q0.21875 -0.234375 0.328125 -0.53125q0.109375 -0.3125 0.109375 -0.640625q0 -0.375 -0.125 -0.6875q-0.125 -0.3125 -0.359375 -0.53125q-0.21875 -0.21875 -0.53125 -0.34375q-0.296875 -0.125 -0.671875 -0.125q-0.40625 0 -0.734375 0.140625q-0.3125 0.140625 -0.53125 0.375q-0.203125 0.234375 -0.328125 0.546875q-0.109375 0.296875 -0.109375 0.625zm14.078125 5.28125l-6.71875 0l0 -1.21875l2.640625 -2.625q0.640625 -0.640625 1.046875 -1.109375q0.40625 -0.46875 0.625 -0.859375q0.234375 -0.390625 0.3125 -0.734375q0.078125 -0.34375 0.078125 -0.734375q0 -0.375 -0.109375 -0.71875q-0.09375 -0.34375 -0.3125 -0.59375q-0.203125 -0.265625 -0.546875 -0.40625q-0.328125 -0.15625 -0.796875 -0.15625q-0.640625 0 -1.171875 0.296875q-0.53125 0.28125 -0.984375 0.75l-0.75 -0.90625q0.578125 -0.609375 1.328125 -0.96875q0.765625 -0.375 1.765625 -0.375q0.671875 0 1.234375 0.203125q0.5625 0.203125 0.96875 0.59375q0.40625 0.375 0.625 0.9375q0.21875 0.546875 0.21875 1.25q0 0.578125 -0.15625 1.078125q-0.15625 0.5 -0.46875 1.0q-0.3125 0.5 -0.796875 1.03125q-0.484375 0.53125 -1.140625 1.15625l-1.84375 1.8125l4.953125 0l0 1.296875zm8.484375 0l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm9.75 -7.84375l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0z" fill-rule="nonzero"/><path fill="#000000" d="m312.5625 201.36l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm9.75 -7.84375l-1.140625 7.84375l-1.640625 0l-1.140625 -3.28125l-0.21875 -0.796875l-0.265625 0.84375l-1.078125 3.234375l-1.609375 0l-1.125 -7.84375l1.328125 0l0.65625 5.328125l0.140625 1.1875l0.328125 -1.046875l1.140625 -3.515625l0.984375 0l1.21875 3.46875l0.359375 1.046875l0.109375 -1.109375l0.609375 -5.359375l1.34375 0zm8.15625 7.84375l-6.71875 0l0 -1.21875l2.640625 -2.625q0.640625 -0.640625 1.046875 -1.109375q0.40625 -0.46875 0.625 -0.859375q0.234375 -0.390625 0.3125 -0.734375q0.078125 -0.34375 0.078125 -0.734375q0 -0.375 -0.109375 -0.71875q-0.09375 -0.34375 -0.3125 -0.59375q-0.203125 -0.265625 -0.546875 -0.40625q-0.328125 -0.15625 -0.796875 -0.15625q-0.640625 0 -1.171875 0.296875q-0.53125 0.28125 -0.984375 0.75l-0.75 -0.90625q0.578125 -0.609375 1.328125 -0.96875q0.765625 -0.375 1.765625 -0.375q0.671875 0 1.234375 0.203125q0.5625 0.203125 0.96875 0.59375q0.40625 0.375 0.625 0.9375q0.21875 0.546875 0.21875 1.25q0 0.578125 -0.15625 1.078125q-0.15625 0.5 -0.46875 1.0q-0.3125 0.5 -0.796875 1.03125q-0.484375 0.53125 -1.140625 1.15625l-1.84375 1.8125l4.953125 0l0 1.296875zm2.5 -7.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.234375 -2.203125q0.21875 0.265625 0.328125 0.640625q0.125 0.359375 0.125 0.78125q0 0.609375 -0.21875 1.109375q-0.21875 0.5 -0.625 0.859375q-0.40625 0.359375 -0.984375 0.5625q-0.5625 0.203125 -1.234375 0.203125q-0.5 0 -0.9375 -0.109375q-0.421875 -0.109375 -0.671875 -0.265625q-0.140625 0.21875 -0.25 0.421875q-0.09375 0.1875 -0.09375 0.4375q0 0.296875 0.28125 0.5q0.28125 0.1875 0.75 0.203125l2.0625 0.078125q0.59375 0.015625 1.078125 0.15625q0.5 0.125 0.859375 0.375q0.359375 0.25 0.546875 0.625q0.203125 0.359375 0.203125 0.84375q0 0.53125 -0.234375 1.0q-0.21875 0.46875 -0.6875 0.8125q-0.46875 0.359375 -1.203125 0.5625q-0.71875 0.21875 -1.71875 0.21875q-0.953125 0 -1.625 -0.15625q-0.671875 -0.140625 -1.109375 -0.421875q-0.421875 -0.265625 -0.625 -0.640625q-0.1875 -0.359375 -0.1875 -0.8125q0 -0.5625 0.265625 -1.0q0.265625 -0.4375 0.828125 -0.828125q-0.203125 -0.09375 -0.359375 -0.234375q-0.140625 -0.140625 -0.234375 -0.3125q-0.09375 -0.171875 -0.140625 -0.359375q-0.046875 -0.1875 -0.046875 -0.359375q0 -0.515625 0.234375 -0.9375q0.234375 -0.421875 0.5625 -0.796875q-0.140625 -0.171875 -0.265625 -0.34375q-0.109375 -0.171875 -0.1875 -0.375q-0.078125 -0.203125 -0.125 -0.421875q-0.046875 -0.234375 -0.046875 -0.53125q0 -0.609375 0.21875 -1.109375q0.234375 -0.515625 0.625 -0.875q0.40625 -0.359375 0.96875 -0.546875q0.578125 -0.203125 1.265625 -0.203125q0.28125 0 0.546875 0.046875q0.265625 0.03125 0.46875 0.09375l2.84375 0l0 1.109375l-1.25 0zm-4.71875 7.875q0 0.546875 0.578125 0.796875q0.578125 0.265625 1.609375 0.265625q0.640625 0 1.078125 -0.125q0.453125 -0.109375 0.71875 -0.3125q0.265625 -0.1875 0.375 -0.4375q0.125 -0.234375 0.125 -0.5q0 -0.46875 -0.390625 -0.703125q-0.390625 -0.234375 -1.1875 -0.265625l-2.046875 -0.078125q-0.265625 0.171875 -0.4375 0.34375q-0.15625 0.171875 -0.25 0.328125q-0.09375 0.171875 -0.140625 0.34375q-0.03125 0.171875 -0.03125 0.34375zm0.40625 -6.421875q0 0.375 0.125 0.6875q0.125 0.3125 0.34375 0.53125q0.234375 0.21875 0.546875 0.34375q0.3125 0.109375 0.6875 0.109375q0.40625 0 0.71875 -0.125q0.3125 -0.140625 0.53125 -0.375q0.21875 -0.234375 0.328125 -0.53125q0.109375 -0.3125 0.109375 -0.640625q0 -0.375 -0.125 -0.6875q-0.125 -0.3125 -0.359375 -0.53125q-0.21875 -0.21875 -0.53125 -0.34375q-0.296875 -0.125 -0.671875 -0.125q-0.40625 0 -0.734375 0.140625q-0.3125 0.140625 -0.53125 0.375q-0.203125 0.234375 -0.328125 0.546875q-0.109375 0.296875 -0.109375 0.625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m48.0 328.0l64.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m48.0 328.0l58.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m106.0 329.65173l4.538101 -1.6517334l-4.538101 -1.6517334z" fill-rule="evenodd"/></g></svg>
diff --git a/doc/rm/comportability_specification.md b/doc/rm/comportability_specification.md
new file mode 100644
index 0000000..d2966d0
--- /dev/null
+++ b/doc/rm/comportability_specification.md
@@ -0,0 +1,474 @@
+{{% lowrisc-doc-hdr Comportability Definition and Specification }}
+
+## Document Goals
+
+This document is aimed at laying out the definition of a comportable IP design, i.e. one that is ported to conform to the framework of lowRISC ecosystem IP, suitable for inclusion in compliant designs.
+This is mostly a technical discussion and specification of interface compliance within the framework.
+Separate documents contain or will contain critical elements like coding style, verification, and documentation, but are not the purview of this specification.
+
+A good definition of Comportable can be found in
+[Johnson's Dictionary of the English Language](https://en.wikipedia.org/wiki/A_Dictionary_of_the_English_Language).
+The 1808 miniature edition gives
+["Comportable, a. consistent, suitable, fit"](https://books.google.co.uk/books?id=JwC-GInMrW4C&dq=%22comportable%22&pg=PA45&ci=31%2C225%2C415%2C42&source=bookclip)
+
+![scan of definition on page 45](https://books.google.co.uk/books/content?id=JwC-GInMrW4C&pg=PA45&img=1&zoom=3&hl=en&sig=ACfU3U3-RHKNO-UV3r7xOGeK1VigzCl3-w&ci=31%2C225%2C415%2C42&edge=0)
+
+
+{{% toc 3 }}
+
+## Definitions
+
+The table below lists some keywords used in this specification.
+
+| Keyword | Definition |
+| --- | --- |
+| alerts      | Interrupt-type outputs of IP designs that are classified as security critical. These have special handling in the outer chip framework. |
+| comportable | A definition of compliance on the part of IP that is able to plug and play with other IP to form the full chip framework. |
+| CSRs        | Control and Status Registers; loosely the collection of registers within a peripheral which are addressable by the (local) host processor via a chip-wide address map.  Special care will be dedicated to the definition and handling of CSRs to maximize software uniformity and re-use, as well as documentation consistency. |
+| framework   | lowRISC will concern itself primarily with compliant IP, but will provide a fullchip framework suitable at least for FPGA implementation, and prepared to be the foundation for a full silicon implementation. This could roughly be translated to Top Level Netlist. |
+| interrupts  | Non-security critical signals from peripheral devices to the local host processor within the framework SOC. |
+| MIO         | Multiplexable IO; a pad at the top chip level which can be connected to one of the peripherals' MIO-ready inputs or outputs. |
+| peripheral  | Any comportable IP that is part of the library, outside of the local host processor. |
+
+## Non-Technical Comportability Requirements
+
+All comportable IP must adhere to a few requirements, briefly discussed here.
+
+### License and copyright
+
+All files should include a comment with a copyright message.
+This is normally the "lowRISC contributors".
+The style is to not include a year in the notice.
+Files adapted from other sources should retain any copyright messages and include details of the upstream location.
+
+The Apache License, Version 2.0 is the default for all files in the repository.
+Use of other licenses must be noted (and care is needed to ensure compatibility with the rest of the code).
+All files should include a comment line with the SPDX-License-Identifier: tag and the Identifier from the [License List](https://spdx.org/licenses/).
+An additional "Licensed under" line may be used to give a more human readable version.
+If the file is not covered by a SPDX license then the "Licensed under" line is required (note that such files are unlikely to be permitted in the main open source repository).
+
+All files that use the default copyright and license should therefore include the following header (change the comment character as appropriate):
+
+```
+// Copyright lowRISC contributors.
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+```
+
+The project has adopted [Hjson](https://hjson.org) for json files, this extends json to allow comments.
+Thus the Hjson files can include the header above.
+If pure json must be used for some reason, the "SPDX-License-Identifier:" can be added as the first key after the opening "{".
+Tools developed by the project should accept and ignore this key.
+
+### Coding Style
+
+All IP must follow the [lowRISC Verilog Coding Style Guide](https://github.com/lowRISC/style-guides/blob/master/VerilogCodingStyle.md).
+This style guide sets the definition of agreed-upon SystemVerilog style, requirements and preferences.
+See that document for details.
+It is the goal of lowRISC to create technical collateral to inform when an IP does not conform, as well as assist in the formating of Verilog to this style.
+The methods and details for this collateral have not been agreed upon yet.
+
+### Documentation
+
+All lowRISC IP must conform to a common specification and documentation format.
+lowRISC will release a template for IP specifications in a separate document for reference.
+It is notable that register tooling will auto-create documentation for register definitions, address maps, hardware interfaces, etc.
+The hardware interfaces of this process will be discussed later in this document.
+
+## Comportable Peripheral Definition
+
+All comportable IP peripherals must adhere to a minimum set of functionality in order to be compliant with the framework that is going to be set around it.
+This includes several mandatory features as well as several optional ones.
+It is notable that the eventual framework will contain designs that are neither the local host processor nor peripherals \- for example the power management unit, clock generators.
+These will be handled as special case designs with their own specifications.
+Similarly the memory domain will be handled separately and in its own specification.
+
+Examples of peripherals that are expected to be in this category include ones with primary inputs and outputs (SPI, I2C, etc);
+offload and specialty units (crypto, TRNG, key manager); timers; analog designs (temperature sensor); as well as bus hosts<sup>1</sup> (DMA).
+
+<sup>1</sup>lowRISC is avoiding the fraught terms master/slave and defaulting to host/device where applicable.
+
+### Feature List
+
+All comportable designs must specify and conform to a list of mandatory features, and can optionally specify and conform to a list of optional features.
+These are briefly summarized in the table below, and will be covered individually in the sections that follow.
+For most of these, the definition of the feature will be in the form of a configuration file.
+This file is specified later within this document.
+
+| Feature | Mand/Opt | Description |
+| ---     | ---      | --- |
+| Clocking     | mandatory | Each peripheral must specify what its primary functional clock is, and any other clocks needed.  The primary clock is the one driving the bus the peripheral is receiving.  The clocking section lists the available clocks. Other clocks can be designated as needed. |
+| Bus Device   | mandatory | All peripherals are assumed to have registers, and are thus required to be a device on the chip bus.  More details in the bus definition section. |
+| Bus Host     | optional  | Peripherals can act as a bus host on some occasion, though for fullchip simplicity the preferred model is for the processor to be primary host. An example would be a DMA unit.  More details in the bus definition section. |
+| Available IO | optional  | Peripherals can optionally make connections to dedicated or multiplexed IO pins and the chip peripheral needs to indicate its module inputs and outputs that are available for this purpose. Details in the peripheral IO section below. |
+| Registers    | mandatory | Each peripheral must define its collection of registers in the specified register format.  The registers are automatically generated in the form of hardware, software, and documentation collateral. Details in the register section. |
+| Interrupts   | optional  | Peripherals have the option of generating signals that can be used to interrupt the primary processor.  These will be designated as a list of signals, and each will result in a single wire or bused output that will be sent to the processor to be gathered as part of its interrupt vector input.  Details in the interrupt and alert section. |
+| Alerts       | optional  | Peripherals have the option of generating signals that indicate a potential security threat. These will be designated as a list of signals, and each will result in a complementary signal pair that will be sent to an alert handling module.  Details in the interrupt and alert section. |
+| (more)       |           | More will come later, including special handling for testability, power management, device entropy, etc. |
+
+![Typical Peripheral Block Diagram](comportability_diagram_peripheral.svg)
+
+**Figure 1**: Typical peripheral communication channels within full chip framework.
+
+In this diagram the auto-generated register submodule is shown within the peripheral IP, communicating with the rest of the chip framework using the TL-UL (see below) bus protocol.
+This register block communicates with the rest of the peripheral logic to manage configuration and status communication with software.
+Also shown is the mandatory clock, and the optional bus (TL-UL) host, interrupts, alerts, and chip IO.
+
+Additionally an optional input `devmode` is shown which represents an indication to the peripheral what mode the SOC is operating in.
+For now this includes only two modes: development (`devmode = 1`) and production (`devmode = 0`).
+This is the beginning of a security feature that will convey the full life cycle mode status to the peripheral.
+In its current form, only the distinction of development mode vs. production mode is required in order to determine how to handle software errors (see the [Register Tooling](register_tool.md) documentation for details).
+The full definition of life cycle modes will come upon further refinement of the security properties of the SOC.
+
+## Peripheral Feature Details
+
+### Configuration File
+
+Each peripheral will contain a configuration file that describes the peripheral features that are mandatory and optional in the above comportability feature list.
+The configuration file format is given below.
+
+### Clocking
+
+Each peripheral must define at least one clock: the primary clock.
+This is defined as `clock_primary` in the configuration file, and must be equal to one of the known clock names.
+This primary clock is defined as the one used to clock the bus device, indicating to the top level if asynchronous handling of the bus interface is needed.
+
+Optionally the peripheral can request other clocks that it needs for internal use.
+These would create asynchronous clock domains within the IP that are handled by the design.
+They are defined under `other_clock_list` in the configuration file.
+
+### Reset
+
+At this time, no additional information is required to indicate the reset scheme for the peripheral IP.
+It is assumed that each clock will come with its related reset pins targeted for that clock domain.
+Resets within the design are **asynchronous active low** (see below).
+Special care will be required for security sensitive storage elements.
+Further instructions on the handling of these storage elements will come at a later date.
+
+#### Details and rationale for asynchronous active low reset strategy
+
+Resets within the design are asynchronous active low, where the assertion of the reset is asynchronous to any clock, but deassertion is synchronized to the clock of the associated storage element.
+The selection of asynchronous active low (as opposed to say synchronous active high) was made based upon a survey of existing design IP, comfort level of project team members, and through security analysis.
+The conclusion roughly was the following:
+
+1. Security storage elements might "leak" sensitive state content, and should be handled with care regardless of reset methodology.
+By "care" an example would be to reset their value synchronously at a time after chip-wide reset, to a value that is randomized so that the hamming distance between the register value and all zeros cannot produce information available to an attacker.
+2. For control path and other storage elements, the selection of asynchronous active low vs. synchronous active high is often a "religious" topic, with both presenting pros and cons.
+3. Asynchronous active low incurs slightly more area and requires more hand-holding, but is more common.
+4. Synchronous active high is slightly more efficient, but requires the existence of a clock edge to take effect.
+
+Based upon this and the fact that much of the team history was with asynchronous active low reset, we chose that methodology with added requirements that special care be applied for security state, the details of which will come at a later date.
+
+### Bus Device
+
+All peripheral devices will use TileLink-UL (TileLink-Uncached-Lite, aka TL-UL) as their interface to the framework.
+As of this writing, there are no options, but the configuration file designates the protocol with the `bus_device` keyword.
+The only acceptable value at this time is `tlul`.
+
+The address map for peripheral devices is not determined by the peripheral itself, or its configuration file.
+The working assumption is that a higher level full-chip configuration file will distribute address ranges to all of the included bus peripheral devices.
+
+The TileLink-UL protocol and its usage within lowRISC devices is given in the
+[TileLink-UL Bus Specification](../../hw/ip/tlul/doc/tlul.md).
+
+### Bus Host
+
+Peripherals have the option of declaring themselves as bus hosts.
+This is done in the configuration file with `bus_host` keyword.
+This is optional, or the configuration can indicate none (via an empty string), or else the bus host protocol (only `tlul` allowed at this time).
+All bus hosts must use the same clock as the defined primary host clock.
+
+Each bus host will be provided a 4-bit host ID to distinguish hosts within the system.
+This will be done by the framework in order to ensure uniqueness.
+The use of the ID within the bus fabric will be discussed in the bus specification (TBD).
+
+### Available IO
+
+Each peripheral has the option of designating signals (inputs, outputs, or inouts) available to be used for chip IO.
+The framework will determine for each signal if it goes directly to dedicated chip pin or is multiplexed with signal(s) from other peripherals before reaching a pin.
+
+Designation of available IO is given with the configuration file entries of `available_input_list`, `available_output_list`, and `available_inout_list`.
+These can be skipped, or contain an empty list `[]`, or a comma-separated list of signal names.
+Items on the input list of the form name will incur a module input of the form `cio_name_i`.
+Items on the output list of the form name will incur a module output of the form `cio_name_o` as well as an output enable `cio_name_en_o`.
+Items on the inout list of the form name will incur all three.
+
+#### Multiplexing Feature and Pad Control
+
+Eventually there will be a pin multiplexing unit (`pinmux`) which provides flexible assignment to/from peripheral IO and chip pin IO.
+Comportable peripherals do not designate whether their available IO are hardwired to chip IO, or available for multiplexing.
+That is done at the top level with a peripheral configuration file (not yet defined).
+
+In addition, full pad control is not done by the peripheral logic, but will be done by the `pinmux` or associated `pad control` module (to be defined).
+This module will provide software configuration control over pad drive strength, pin mapping, pad type (push/pull, open drain, etc).
+This will be specified in the future.
+
+### Interrupts
+
+Each peripheral has the option of designating output signals as interrupts destined for the local host processor.
+These are non-security-critical signals sent to the processor for it to handle with its interrupt service routines.
+The peripheral lists its collection of interrupts with the `interrupt_list` attribute in the configuration file.
+Each item of the form name in the interrupt list will expect a module output named `intr_name_o`.
+
+See the section on [Interrupt Handling](#interrupt-handling) below, which defines details on register, hardware, and software uniformity for interrupts within the project.
+
+### Alerts
+
+Each peripheral has the option of designating output signals as security critical alerts destined for the hardware alert handler module.
+These are differential signals (to avoid single point of failure) sent to the alert handler for it to send to the processor for first-line defense handling, or hardware security response if the processor does not act.
+The peripheral lists its collection of alerts with the `alert_list` attribute in the configuration file.
+Each item of the form name in the alert list will expect two module outputs of the form `alert_name_po`, and `alert_name_no`.
+
+See the section on [Alert Handling](#alert-handling) below, which defines details on register, hardware, and software uniformity for alerts within the project.
+
+## Register Handling
+
+The definition and handling of registers is a topic all on its own, and is specified in its [own document](register_tool.md).
+All lowRISC peripheral designs must conform to this register specification.
+
+## Configuration description Hjson
+
+The description of the IP block and its registers is done in an Hjson file that is specified in the
+[Register Tool document](register_tool.md).
+All lowRISC peripheral designs must conform to this configuration and register specification.
+
+A description of Hjson (a variant of json) and the recommended style is in the [Hjson Usage and Style Guide](hjson_usage_style.md).
+
+### Configuration information in the file
+
+The configuration part of the file has the following elements, with a comment as to if required or optional.
+In this example, the IP name is `uart`, though the other configuration fields are contrived and not in-line with the expected functionality of a UART but are shown for edification.
+
+```hjson
+  {
+    name: "uart",
+    clock_primary: "clk_fixed",      // optional; default "clk"
+    other_clock_list: [ "clk", "clk_lowpower" ], // optional; default []
+    bus_device: "tlul",
+    bus_host: "",                    // optional; default undefined
+    available_input_list: [          // optional; default []
+      { name: "rx", desc: "Receive bit" }
+    ],
+    available_output_list: [         // optional; default []
+      { name: "tx", desc: "Transmit bit" }
+    ],
+    available_inout_list: [],        // optional; default []
+    interrupt_list: [                // optional; default []
+      { name: "tx_watermark",  desc: "raised if the transmit FIFO..."}
+      { name: "rx_watermark",  desc: "raised if the receive FIFO..."}
+      { name: "tx_overflow",   desc: "raised if the transmit FIFO..."}
+      { name: "rx_overflow",   desc: "raised if the receive FIFO..."}
+      { name: "rx_frame_err",  desc: "raised if a framing error..."}
+      { name: "rx_break_err",  desc: "raised if break condition..."}
+      { name: "rx_timeout",    desc: "raised if the receiver..."}
+      { name: "rx_parity_err", desc: "raised if the receiver..."}
+    ],
+    alert_list: [                    // optional; default []
+      { name: "uart_breach", desc: "Someone has attacked the ..."}
+      { name: "uart_frozen", desc: "The UART lines are frozen..." }
+    ],
+    regwidth: "32", // standard register width
+    register: [
+      // Register information...
+    ]
+  }
+```
+
+### Documentation Output
+
+The following shows the expected documentation format for this example.
+
+*Primary Clock:* `clk_fixed`
+
+*Other clocks:* `clk, clk_lowpower`
+
+*Bus Device Interface:* `tlul`
+
+*Bus Host Interface: none*
+
+*Peripheral Pins available for chip-level IO:*
+
+| Pin name | direction | Description |
+| --- | --- | --- |
+| tx | output | Transmit bit |
+| rx | input | Receive bit |
+
+*Interrupts:*
+
+| Intr Name | Description |
+| --- | --- |
+| `tx_watermark`  | Raised if the transmit FIFO is past the high water mark |
+| `rx_watermark`  | Raised if the receive FIFO is past the high water mark |
+| `tx_overflow`   | Raised if the transmit FIFO has overflowed |
+| `rx_overflow`   | Raised if the receive FIFO has overflowed |
+| `rx_frame_err`  | Raised if a framing error has been detected on receive |
+| `rx_break_err`  | Raised if a break condition is detected on receive |
+| `rx_timeout`    | Raised if the receiver has not received any characters programmable time period |
+| `rx_parity_err` | Raised if the receiver has detected a parity error |
+
+*Security alerts:*
+
+| Alert name | Description |
+| --- | --- |
+| `uart_breach` | Someone has attacked the UART module |
+| `uart_frozen` | The UART lines are frozen and might be under attack |
+
+## Interrupt Handling
+
+Interrupts are critical and common enough to attempt to standardize across the project.
+Where possible (exceptions for inherited IP that is too tricky to convert) all interrupts will have common naming, hardware interface, and software interface.
+These are described in this section.
+
+Interrupts are latched indications of defined peripheral events that have occurred and not yet been addressed by the local processor.
+All interrupts are sent to the processor as active-high level (as opposed to edge) interrupts.
+Events themselves can be edge or level, active high or low, as defined by the associated peripheral.
+For instance, the GPIO module might detect the rising or falling edge of one its input bits as an interrupt event.
+
+The latching of the event is done by the auto-generated register file as described below.
+The clearing of the event is done by a processor write when the handling of the event is completed.
+The waveform below shows the timing of the event occurrence, its latched value, and the clearing by the processor.
+More details follow.
+
+```wavejson
+{
+  signal: [
+    { name: 'Clock',             wave: 'p.............' },
+    { name: 'event',             wave: '0..10.........' },
+    { name: 'INTR_ENABLE',       wave: '1.............' },
+    { name: 'INTR_STATE',        wave: '0...1....0....' },
+    { name: 'intr_o',            wave: '0...1....0....' },
+    { name: 'SW write to clear', wave: '0.......10....' },
+  ],
+  head: {
+    text: 'Interrupt Latching and Clearing',
+  },
+  foot: {
+    text: 'event signaled at cycle 3, state bit cleared in cycle 8',
+    tock: 0
+  },
+}
+```
+
+### Interrupts per module
+
+A peripheral will generate a separate interrupt for each event and send them all as bundle to the local processor's interrupt module.
+"Disambiguation", or the determining of which interrupt has woken the processor, is done at the processor in its handler (to be specified eventually in the core processor specification).
+This is as distinct from a model in which each peripheral would send only one interrupt, and the processor would disambiguate by querying the peripheral to figure out which interrupt was triggered.
+
+### Defining Interrupts
+
+The configuration file defined above specifies all that needs to be known about the interrupts in the standard case.
+The following sections will specify what comes out of various tools based upon the simple list defined in the above example.
+
+### Register Creation
+
+For every peripheral, by default, three registers are **automatically** created to manage each of the interrupts for that peripheral (as defined in the `interrupt_list` portion of the HJSON file).
+This can be overridden within the `reggen` tool by specifying `no_auto_intr_regs = true`.
+Every interrupt has one field bit for each of three registers.
+(It is an error condition if there are more than 32 interrupts per peripheral.)
+The three registers are the `INTR_STATE` register, the `INTR_ENABLE` register, and the `INTR_TEST` register.
+They are placed at the top of the peripheral's address map in that order automatically by the `reggen` tool.
+
+The `INTR_ENABLE` register is readable and writeable by the CPU (`rw`), with one bit per interrupt which, when true, enables the interrupt of the module to be reported to the output to the processor.
+The `INTR_STATE` register is readable by the CPU and each bit may be written with `1` to clear it (`rw1c`), so that a read of the register indicates the current state of all latched interrupts, and a write of `1` to any field clears the state of the corresponding interrupt.
+`INTR_TEST` is a write-only (`wo`) register that allows software to test the reporting of the interrupt, simulating a trigger of the original event, the setting of the `INTR_STATE` register, and the raised level of the interrupt output to the processor (modulo the effect of `INTR_ENABLE`).
+No modifications to other portions of the hardware (eg. clearing of FIFO pointers) occurs.
+See the next section for the hardware implementation.
+
+The contents of the `INTR_STATE` register do **not** take into consideration the enable value, but rather show the raw state of all latched hardware interrupt events.
+The output interrupt to the processor ANDs the interrupt state with the interrupt enable register before sending to the processor for consideration.
+
+### Interrupt Hardware Implementation
+
+All interrupts as sent to the processor are active-high level interrupts of equal severity<sup>3</sup>.
+Taking an interrupt `foo` as an example, the block diagram below shows the hardware implementation.
+The assumption is that there is an internal signal (call it `event_foo`) that indicates the detection of the event that is to trigger the interrupt.
+The block diagram shows the interaction between that event, the three defining software-facing registers, and the output interrupt `intr_foo_o`.
+
+<sup>3</sup> Higher priority interrupts in the form of a Non-Maskable Interrupt (NMI) are expected to be overlaid in the near future.
+
+![Example Interrupt HW](comportability_diagram_intr_hw.svg)
+
+**Figure 2**: Example interrupt `foo` with its three registers and associated HW
+
+In this figure the event is shown coming in from another part of the peripheral hardware.
+The assumption is this event `foo` is one of multiple interrupt events in the design.
+Within the register file, the event triggers the setting of the associated bit in the `INTR_STATE` register to `1`.
+Additionally, a write of `1` of the associated `foo` bit of the `INTR_TEST` register can set the corresponding `INTR_STATE` bit.
+The output of the `INTR_STATE` register becomes the outgoing interrupt to the processor after masking (ANDing) with the value of `INTR_ENABLE`.
+
+Note that the handling of the `ro/rw1c` functionality of the `INTR_STATE` register allows software to control the clearing of the `INTR_STATE` content.
+A write of `1` to the corresponding bit of `INTR_STATE` clears the latched value, but if the event itself is still active, the `INTR_STATE` register will return to true.
+The hardware does not have the ability to clear the latched interrupt state, only software does.
+
+Interrupts sent to the processor will be handled by its interrupt controller.
+Within that logic there may be another level of control for enabling, prioritizing, and enumeration.
+Specification of this control will be defined in the interrupt handling section of the processor specification.
+
+## Alert Handling
+
+Alerts are another critical and common implementation to standardize for all peripherals.
+Unlike interrupts, there is no software component to alerts at the peripheral, though there is at the hardware alert handler.
+(See that specification when available, hints here.)
+But the handling of alerts at the hardware level is described here.
+
+### Alerts per module
+
+Alerts are sent as a bundled output from a peripheral to the hardware alert handler.
+This will have its own implementation document when available, but is briefly described here.
+Each peripheral can send zero or more alerts, where each is a distinguishable security threat.
+Each alert originates in some internal event, and must be specially handled within the peripheral, and then within the alert handler module.
+
+### Alert Hardware Implementation
+
+Internal events are sent active-high to a piece of ip within the peripheral called the `alert_sender`.
+One `alert_sender` must be instantiated per distinct alert event type.
+It is up to the peripheral owner to determine what are distinct alert events;
+multiple ones can be bundled depending upon the distinction required within the module (i.e.  high priority threat vs. low level threat).
+The `alert_sender` converts the event into a differentially encoded signal pair to be routed to the hardware alert handler, as shown in the figure below.
+
+![Example Alert HW](comportability_diagram_alert_hw.svg)
+
+**Figure 3**: Example alert `name` with its differentially encoded signals sent to hardware alert handler.
+
+In this figure the event is shown coming in from the other part of the design.
+The `alert_sender` converts the event into the differentially encoded signals sent to the handler.
+The differential encoding contains more than just the event information.
+It also conveys a health check in the form of a heartbeat signal when there are no alert events.
+In this way the alert handler can be sure that all alert senders are alive and well.
+In addition, the differential signaling ensures no single point of failure.
+If the signals are ever not differential, that is itself another potential system failure.
+Therefore the alert receiver can detect three failures: the alert event itself;
+the lack of an alert heartbeat indicating possible detection silencing;
+integrity failure on the signaling indicating potential signal corruption.
+These three are shown as outputs of the `alert_receiver` module within the alert handler.
+
+**All alerts must be sent using the same clock throughout the chip**.
+At this time the chip-level clocks are not disclosed, but eventually a clock will be designated as the chip-wide security clock.
+This clock must be used for signaling all alert events.
+If the native event is in a different domain, it must be synchronized before being sent to the `alert_sender` ip instantiation.
+
+The implementation of the signaling is not finalized at this time, but the waveform below gives an example of the relationships.
+
+```wavejson
+{
+  signal: [
+    { name: 'Clock',                 wave: 'p....................' },
+    { name: 'event_name',            wave: '0|...|.1.........0...' },
+    { },
+    { name: 'alert_name_po',         wave: '0|.10|..1.0.1.0.1.0..' },
+    { name: 'alert_name_no',         wave: '1|.01|..0.1.0.1.0.1..' },
+    { },
+    { name: 'alert_name_triggered',  wave: '0|...|...1..........0' },
+  ],
+  head: {
+    text: 'Alert Signaling Transmission',
+  },
+  foot: {
+    text: 'heartbeat signaled at cycle 3, alert event signaled starting at cycle 8',
+    tock: 0
+  },
+}
+```
+
+**Figure 4**: Alert event signaling and timing
diff --git a/doc/rm/hjson_usage_style.md b/doc/rm/hjson_usage_style.md
new file mode 100644
index 0000000..1bc4d87
--- /dev/null
+++ b/doc/rm/hjson_usage_style.md
@@ -0,0 +1,218 @@
+{{% lowrisc-doc-hdr Hjson Usage and Style Guide }}
+
+## Basics
+
+### Summary
+
+Json files are used to provide input data to many of the tools.
+The preference is to use [Hjson](https://hjson.org/), which is a variation of regular json that is easier to write.
+In particular it allows the quote marks to be left off the key names, it allows a single string to be quoted with triple quote marks and flow over multiple lines (which is often needed in text descriptions) and it allows comments using the # or // style.
+
+This guide covers the enhancements provided by Hjson that are used in the project along with a recommended style.
+As with all style guides the intention is to:
+
+*   promote consistency across projects
+*   promote best practices
+*   increase code sharing and re-use
+
+{{% toc 3 }}
+
+### Terminology Conventions
+
+Unless otherwise noted, the following terminology conventions apply to this style guide:
+
+*   The word ***must*** indicates a mandatory requirement.
+    Similarly, ***do not*** indicates a prohibition.
+    Imperative and declarative statements correspond to ***must***.
+*   The word ***recommended*** indicates that a certain course of action is preferred or is most suitable.
+    Similarly, ***not recommended*** indicates that a course of action is unsuitable, but not prohibited.
+    There may be reasons to use other options, but the implications and reasons for doing so must be fully understood.
+*   The word ***may*** indicates a course of action is permitted and optional.
+*   The word ***can*** indicates a course of action is possible given material, physical, or causal constraints.
+
+### Style Guide Exceptions
+
+***Justify exceptions with a comment.***
+
+No style guide is perfect.
+There are times when the best path to a working design, or for working around a tool issue, is to simply cut the Gordian Knot and create code that is at variance with this style guide.
+It is always okay to deviate from the style guide by necessity, as long as that necessity is clearly justified by a brief comment.
+
+
+## Hjson file format
+
+Hjson is a variation of regular json that is easier to write.
+There are parsers in a number of languages and the tools make extensive used of the `hjson` package provided for Python3.
+A full description can be found on the [Hjson website](https://hjson.org/), but the main features that make it convenient are that it keeps files cleaner by allowing the quote marks to be left off the key names, it enables long descriptive text by allowing a single string to flow over multiple lines and it allows comments using the # or // style.
+
+For example:
+
+```hjson
+  key1: "value1",
+  // Now a key with a long value
+  key2: '''
+        A long descriptive value2 that can
+        span over multiple lines
+        '''
+```
+
+### File delimiters and header
+
+***Use `{}` to delimit the file***
+
+***Include a header comment with copyright and license information***
+
+The file must start with a `{` and end with a `}` to be well-formed json.
+In both cases these should be on a single line and have no indentation.
+Anything enclosed should have two space indentation.
+(Hjson allows these to be omitted but this is not recommended style.)
+
+In most cases, before the opening `{` the file should start with a comment containing the copyright and license details and the SPDX-License-Identifier.
+
+```hjson {.good}
+// Copyright lowRISC contributors.
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+{
+  // details...
+}
+```
+
+In cases where the file may need to be parsed by a standard json parser the comments must be omitted, but the SPDX license information should be provided as a top-level key/value using pure json syntax and ignored by any tool.
+
+```json {.good}
+
+{
+  "SPDX-License-Identifier": "Apache-2.0",
+  ...
+}
+```
+
+### Simple key-value entries
+
+***Use unquoted alphanumeric strings for keys***
+
+***Include all values in quote marks***
+
+Single entries are of the form `key: "value"` Keys must be alphanumeric strings and should not be in quotes.
+(Hjson allows this.
+The quotes may be included as an exception to the style guide if there is an expectation that the file needs to be parsed with a more traditional json parser.)
+The valid keys for each tool are described in the tool documentation.
+The style is for a simple value to be in quotes (even if it is a number) and the tool should manage any type conversions.
+
+In some cases hjson allows the quotes to be omitted from values, but this is not recommended because the value string will not be terminated by a comma so there is potential for confusion when multiple key-value pairs are put on the same line.
+For example:
+
+```hjson {.good}
+      // This is recommended usage and will work as expected
+      { name: "fred", tag: "2", desc: "fred has tag 2" }
+```
+
+But:
+
+```hjson {.bad}
+      // This will cause confusion. The value for tag
+      // is the rest of the line after the colon
+      // so desc is not defined and the close } is lost
+      { name: "fred", tag: 2, desc: "fred has tag 2" }
+```
+
+### Groups of entries
+
+***Use two character indentation for items in groups***
+
+Groups of entries are made by enclosing a comma separated list of key/value pairs in {}.
+For example
+
+```hjson {.good}
+    { name:"odd", value:"1", desc:"odd parity"}
+```
+
+In most cases a group will be too long for a single line, particularly where it has a good descriptive string.
+In that case the entry should contain one key-value pair per line with a 2 character indent from the opening bracket.
+The closing bracket should should not have the extra indentation.
+
+```hjson {.good}
+    {
+      name:"odd",
+      value:"1",
+      desc:"odd parity"
+    }
+```
+
+The first entry in a group may be on the same line as the opening bracket, with a single space.
+
+```hjson
+    { name:"odd",
+      value:"1",
+      desc:"odd parity"
+    }
+```
+
+Unless the group fits on a single line, the closing bracket should not be on the same line as the final item in the group.
+
+```hjson {.bad}
+    { name:"odd",
+      value:"1",
+      desc:"odd parity"}
+```
+
+
+### Lists
+
+***Use two character indentation for items in lists***
+
+A list value is a comma separated list of entries or groups enclosed in [].
+For example a list of groups could be presented:
+```hjson
+  registers: [{name:"reg1", desc:"description 1"},
+              {name:"reg2", desc:"description 2"}]
+```
+
+Longer lists and any where elements split over multiple lines should use a 2 character indent from the opening bracket.
+The closing bracket should not have the extra indentation.
+
+
+```hjson {.good}
+  registers: [
+    {
+      name:"reg1",
+      desc:"description 1"
+    },
+    {
+      name:"reg2",
+      desc:"description 2"
+    }
+  ]
+```
+
+Hjson allows commas to be omitted when an item that would be terminated with a comma is terminated by the end of a line, and will tolerate extra "trailing" commas.
+There is currently no style-guide rule on this.
+In general, use the comma if it is likely items may be combined on a single line and ommit it if it keeps the file cleaner.
+
+
+### Long strings
+
+Long strings are encouraged for descriptive text that will be presented to users.
+They are delimited by three single-quote marks.
+
+The string should be indented to line up under the opening quote marks and the closing quote marks should be on their own line with the same indentation.
+
+```hjson {.good}
+       key: '''
+            A long descriptive value that can
+            span over multiple lines
+            '''
+```
+
+The first line of the string may be on the same line as the opening quotes.
+
+```hjson
+       key: '''A long descriptive value that can
+            span over multiple lines
+            '''
+```
+### Comments
+
+Comments should be used where needed.
+The use of `//` as the comment delimiter is recommended, but `#` may also be used.
diff --git a/doc/rm/index.md b/doc/rm/index.md
new file mode 100644
index 0000000..b8386fd
--- /dev/null
+++ b/doc/rm/index.md
@@ -0,0 +1,12 @@
+# Reference Manuals
+
+* [Comportability Definition and Specification](comportability_specification.md)
+* Tool Guides
+   * [Register Tool](register_tool.md): Describes `regtool.py` and its HJSON format source. Used to generate documentation, rtl, header files and validation files for IP Registers and toplevel.
+   * [Vendor-In Tool](vendor_hw_tool.md): Describes `vendor_hw.py` and its HJSON control file. Used to pull a local copy of code maintained in other upstream repositories and apply local patch sets.
+* Coding Style Guides
+  * [Verilog Coding Style](https://github.com/lowRISC/style-guides/blob/master/VerilogCodingStyle.md)
+  * [Python Coding Style](python_coding_style.md)
+  * [Hjson Usage and Style Guide](hjson_usage_style.md)
+  * [Markdown Usage and Style Guide](markdown_usage_style.md)
+  * [C/C++ Style Guide](c_cpp_coding_style.md)
diff --git a/doc/rm/markdown_usage_style.md b/doc/rm/markdown_usage_style.md
new file mode 100644
index 0000000..f843c51
--- /dev/null
+++ b/doc/rm/markdown_usage_style.md
@@ -0,0 +1,393 @@
+{{% lowrisc-doc-hdr Markdown Usage and Style Guide }}
+
+## Basics
+
+### Summary
+
+Markdown files are used to write most documentation.
+The main markdown tool is based on [CommonMark](https://commonmark.org/) (a strongly defined, highly compatible specification of Markdown), parsed by [mistletoe](https://github.com/miyuchina/mistletoe) (a fast, extensible and spec-compliant Markdown parser in pure Python).
+Mistletoe adds support for tables using the Github markdown syntax.
+
+The markdown processing is done using the `docgen.py` tool in the `util` directory.
+See the [examples README](https://github.com/lowRISC/opentitan/tree/master/util/docgen/examples) for details of running the tool.
+`docgen` provides extensions to the markdown syntax to support documenting [Comportable](comportability_specification.md) components.
+
+This guide covers the enhancements provided by the lowRISC markdown extensions that are used in the project along with a recommended style.
+As with all style guides the intention is to:
+
+*   promote consistency across projects
+*   promote best practices
+*   increase code sharing and re-use
+
+{{% toc 3 }}
+
+### Terminology Conventions
+
+Unless otherwise noted, the following terminology conventions apply to this style guide:
+
+*   The word ***must*** indicates a mandatory requirement.
+    Similarly, ***do not*** indicates a prohibition.
+    Imperative and declarative statements correspond to ***must***.
+*   The word ***recommended*** indicates that a certain course of action is preferred or is most suitable.
+    Similarly, ***not recommended*** indicates that a course of action is unsuitable, but not prohibited.
+    There may be reasons to use other options, but the implications and reasons for doing so must be fully understood.
+*   The word ***may*** indicates a course of action is permitted and optional.
+*   The word ***can*** indicates a course of action is possible given material, physical, or causal constraints.
+
+### Style Guide Exceptions
+
+***Justify exceptions with a comment.***
+
+No style guide is perfect.
+There are times when the best path to a working design, or for working around a tool issue, is to simply cut the Gordian Knot and create code that is at variance with this style guide.
+It is always okay to deviate from the style guide by necessity, as long as that necessity is clearly justified by a brief comment.
+
+## lowRISC Markdown extensions
+
+The following extensions have been made for the lowRISC version:
+
+*   `{{% lowrisc-doc-hdr Title Of Doc }}` Insert a standard title header and give the document a title.
+    **Note** that this header includes indicating copyright lowRISC contributors.
+    Eventually this will be extended to have lowrisc-doc-hdr=type (type could be component, core, guide,...) to allow the tool to validate required sections are in the document.
+
+*   `{{% regfile filename.hjson }}` Pointer to the comportable IP interface and register definition Hjson.
+    This is expected to go early in the document.
+    After this line the registers and hardware configuration are available as markup items.
+    Any path in the filename is relative to the directory containing the markdown file.
+
+*   `{{% toc <depth> }}` Insert the table of contents at this point in the document.
+    The `<depth>` must be an integer and indicates depth to generate the contents.
+    The table inserted contains pointers to all headings from level 2 to the depth.
+    Table of contents entries are generated for all markup headings (lines starting `#` for level 1 to `######` for level 6), from Section1 (equivalent to level 2) and Section2 (equivalent to level 3) directives (see below) and for register definitions in the register table.
+
+*   `{{% registers x }}` Insert the register tables that were generated from the Hjson file imported with the `regfile` directive.
+    This directive must occur later in the file than the regfile extension!
+    TODO: fix the need for `x`.
+
+*   `{{% hwcfg name }}` Insert the details of the comportable hardware configuration that was generated from the Hjson file imported with the `regfile` directive.
+    The `name` is used as the descriptive name in the generated text and would normally match the IP name.
+    This directive must occur later in the file than the regfile extension!
+
+*   `{{% Section1 Section Title }}` Similar to `##` but format the title using lowRISC section formatting.
+    See [discussion below](#headings-and-sections).
+    In the future the section title may be used to check the document contains the expected sections.
+
+*   `{{% Section2 Section Title }}` Similar to `###` but format the title using lowRISC section formatting.
+    See [discussion below](#headings-and-sections).
+    In the future the section title may be used to check the document contains the expected sections.
+
+*   `{{% include file }}` Insert the file into the markdown document.
+    Any other text on the same line as the include directive will be inserted, then a newline and then the included file.
+    The file is included before any other processing so the result is a single file processed by the markdown processor (thus all definitions like anchor links are global and not confined to the file they are in).
+    Includes may be nested.
+    The filename is relative to the directory that the markdown file currently being processed is in (so relative links work from inside included files).
+    If the include file is not found then an error is reported and a line indicating the error will be inserted in the markdown.
+
+*   `{{% include !command -options }}` Use the shell to cd to the directory that the markdown file is in and run the command with given options (everything from the `!` to the closing `}}` is used as the shell command).
+    Insert the output (stdout) from the command into the markdown document.
+    Any other text on the same line as the include directive will be inserted, then a newline and then the command output.
+    (As a result, if the triple back-tick to start a code block immediately follows the `}}` then the output from the command will be inserted inside that code block.)
+    Error returns from the command will be ignored, and any output on stderr will be reported in the docgen stderr output.
+    This can be used to include generated output in a document or to pull in things like example code.
+
+
+*   `!!Reg` or `!!Reg.Field` Insert Component.Reg or Component.Reg.Field in the output file as a hyperlink to the register table for Reg and tagged for special CSS decoration (currently makes them blue, monospace and a little smaller).
+    If Reg is not in the list of registers read from the regfile directive then a warning is printed and the output is not transformed.
+    (Note the use of period rather than underline as the separator was to avoid syntax highlighter issues because of markdown's use of underline for italics.)
+
+*   ` ```lang ` Code blocks are highlighted by [pygments](http://pygments.org/) (a generic syntax highlighter in python).
+    Background colour can be set using the {.good} and {.bad} tags after the lang.
+
+*   ` ```wavejson ` Code blocks describing waveforms are converted into an svg picture in the output file.
+    See more detailed [description below](#waveforms).
+    If the docgen tool is invoked with the `-j` or `--wavesvg-usejs` flag then instead of an inline svg this directive will generate the `<script>` output needed by the online WaveDrom javascript parser and include invocation of wavedrom in the output html.
+
+## General Markdown Style
+
+### Line length
+
+There are two acceptable styles for line wrapping in markdown files:
+
+1.  Wrap lines at under 80 characters.
+    This ensures that the source is readable without any markdown processing, but re-wrapping a paragraph after an insertion or deletion tends to cause more diffs when the change is reviewed.
+    When making changes to a document using this style consider allowing short lines rather than a full re-wrap after minor edits.
+    Then occasionally a separate commit can be used that only does re-wrapping of the paragraphs.
+    This style is recommended for all README files.
+
+2.  Have a single sentence per line and allow the line to be as long as is required.
+    This ensures change reviews highlight only the actual change at the expense of making the source harder to read.
+
+### Headings and sections
+
+The title of the document should be provided using the `lowrisc-doc-hdr` directive.
+Therefore there should be no use of level 1 headings (lines starting `#`).
+
+Standard headings should use the `Section1` (a level 2 heading like `##`) or `Section2` (a level 3 heading like `###`) directive and will be decorated in the project style.
+
+Other headings should use standard markdown heading syntax (lines starting `##` though `######` for level 2-6).
+
+Headings and sections are given ID tags to allow cross references.
+The ID is the text of the heading, converted to lower case and with spaces converted to `-`.
+Thus `### Headings and sections` gets the ID `headings-and-sections` and can be referenced using the markdown hyperlink syntax `[link text](#headings-and-sections)`.
+
+Headings and sections are added to the table of contents.
+When it is inserted the maximum depth can be specified.
+
+### Images
+
+Pictures can be included using the standard Markdown syntax (`![Alt Text](url)`).
+The preferred format is Scalable Vector Graphics (`.svg`), alternatively Portable Network Graphics (`.png`).
+
+### Waveforms
+
+Waveforms can be included by adding [wavejson](https://github.com/wavedrom/schema/blob/master/WaveJSON.md) code blocks introduced with ` ```wavejson `.
+The `docgen` markdown processor will convert these into an inline SVG image when it generates html.
+
+There is a standalone tool for wavejson to svg conversion.
+Details of the tool and a full description of the wavejson syntax that is supported can be found in the [README](https://github.com/lowRISC/opentitan/tree/master/util/wavegen) for the `wavegen.py` tool.
+Note that there are several incomplete descriptions of wavejson, the syntax supported is derived primarily from the examples in the [WaveDrom Tutorial](https://observablehq.com/@drom/wavedrom).
+
+An online editor for wavejson can be found on the [WaveDrom](https://wavedrom.com/) website.
+The processor built in to `docgen` should produce the identical output, but has one extension that `cdata` may be used in place of `data` to allow labeling all bit positions not just the `2345` ones.
+
+
+
+### Comments
+
+Comments are rare, but should be used where needed.
+Use the html `<!--` and `-->` as the comment delimiters.
+
+
+### Markdown file extensions
+
+The markdown files should use the `.md` or `.mkd` file extension.
+
+
+## Markdown file format for IP module descriptions
+
+Typically the markdown file for an IP block follows the same outline.
+
+The header instantiates the standard document header and reads the Hjson description of the module.
+
+```
+{{% lowrisc-doc-hdr Name HWIP Technical Specification }}
+{{% regfile name_reg.hjson}}
+
+{{% section1 Overview }}
+
+```
+
+This is followed by some boiler-plate comments and the table of contents.
+
+```
+This document specifies Name hardware IP functionality.
+This module conforms to the [Comportable guideline for peripheral functionality.](./comportability_specification.md)
+See that document for integration overview within the broader top level system.
+
+{{% toc 3 }}
+```
+
+The next section summarizes the feature set of the IP block.
+
+```
+{{% section2 Features }}
+
+* Bulleted list
+* Of main features
+```
+
+There then follows a general description of the IP
+
+```
+{{% section2 Description }}
+
+Description of the IP.
+```
+The Compatibility information will allow device driver writers to identify existing code that can be used directly or with minor changes.
+
+_This section is primarily of interest to software engineers._
+
+
+```
+{{% section2 Compatibility }}
+
+Notes on if the IP register interface is compatible with any existing register interface.
+Also note any differences.
+For example: Matches 16550 UART interface but registers are at 32-bit word offsets.
+```
+
+The next major section is a more detailed operational description of the module.
+
+```
+{{% section1 Theory of Operations }}
+
+```
+
+Conventionally one of the first sections includes a block diagram and a description.
+
+_Should be useful to hardware designers, verification engineers and software engineers._
+
+
+```
+
+{{% section2 Block Diagram }}
+
+![Name Block Diagram](block_diagram.svg)
+
+```
+
+There should be a section containing the automatically generated description of the IP including the signals, interrupts and alerts that it uses.
+
+_Primary user is the SoC integrator, but useful for everyone._
+
+Note that the interrupt descriptions are also automatically placed in the interrupt status register bit descriptions, which is the most likely place for software engineers to reference them.
+
+
+```
+
+{{% section2 Hardware Interfaces }}
+
+{{% hwcfg uart}}
+
+```
+
+The organization of the design details section is done to suit the module.
+
+```
+
+{{% section2 Design Details }}
+
+Details of the design.
+
+### Many third level headings
+```
+There are probably waveforms embedded here:
+
+````
+
+```wavejson
+{
+  signal: [
+    { name: 'Clock',        wave: 'p............' },
+  ]
+}
+```
+
+````
+
+The final major section is the software user guide and describes using the IP and notes on writing device drivers.
+Code fragments are encouraged.
+
+_This section is primarily for software engineers, but it is expected that the code fragments are used by verification engineers._
+
+```
+
+{{% section1 Programmers Guide }}
+
+```
+
+One important thing here is to show the order of initialization that has been tested in the verification environment.
+In most cases other orders will work, and may be needed by the software environment, but it can be helpful in tracking down bugs for the validated sequence to be described!
+
+````
+{{% section2 Initialization }}
+
+```c
+ if (...) {
+   a = ...
+ }
+```
+
+````
+
+Other sections cover different use cases and example code fragments.
+
+```
+
+{{% section2 Use case A (eg Transmission) }}
+
+{{% section2 Use case B (eg Reception) }}
+
+```
+
+It is important to include a discussion of error conditions.
+
+```
+{{% section2 Error conditions }}
+
+```
+
+Also comment on anything special about interrupts, potentially including the priority order for servicing interrupts.
+
+
+```
+
+{{% section2 Interrupt Handling }}
+
+```
+
+The document should end with the automatically generated register tables.
+
+```
+{{% section2 Register Table }}
+
+{{% registers x }}
+
+````
+
+To allow cut/paste of the default structure, here is an uncommented version:
+
+````
+{{% lowrisc-doc-hdr Name HWIP Technical Specification }}
+{{% regfile name_reg.hjson}}
+
+{{% section1 Overview }}
+
+This document specifies Name hardware IP functionality.
+This module conforms to the [Comportable guideline for peripheral functionality.](./comportability_specification.md)
+See that document for integration overview within the broader top level system.
+
+{{% toc 3 }}
+
+{{% section2 Features }}
+
+* Bulleted list
+
+{{% section2 Description }}
+
+
+{{% section2 Compatibility }}
+
+
+{{% section1 Theory of Operations }}
+
+
+{{% section2 Block Diagram }}
+
+![Name Block Diagram](block_diagram.svg)
+
+{{% section2 Hardware Interfaces }}
+
+{{% hwcfg Name }}
+
+{{% section2 Design Details }}
+
+### Many third level headings
+
+{{% section1 Programmers Guide }}
+
+{{% section2 Initialization }}
+
+{{% section2 Use case A (eg Transmission) }}
+
+{{% section2 Use case B (eg Reception) }}
+
+{{% section2 Error conditions }}
+
+{{% section2 Interrupt Handling }}
+
+{{% section2 Register Table }}
+
+{{% registers x }}
+
+````
diff --git a/doc/rm/python_coding_style.md b/doc/rm/python_coding_style.md
new file mode 100644
index 0000000..244c9f5
--- /dev/null
+++ b/doc/rm/python_coding_style.md
@@ -0,0 +1,193 @@
+{{% lowrisc-doc-hdr Python Coding Style Guide }}
+
+## Basics
+
+### Summary
+
+Python3 is the main language used for simple tools.
+
+Python can be written in vastly different styles, which can lead to code conflicts and code review latency.
+This style guide aims to promote Python readability across groups.
+To quote the C++ style guide: "Creating common, required idioms and patterns makes code much easier to understand."
+
+This guide defines the lowRISC style for Python version 3.
+The goals are to:
+
+*   promote consistency across hardware development projects
+*   promote best practices
+*   increase code sharing and re-use
+
+{{% toc 3 }}
+
+### Terminology Conventions
+
+Unless otherwise noted, the following terminology conventions apply to this style guide:
+
+*   The word ***must*** indicates a mandatory requirement.
+    Similarly, ***do not*** indicates a prohibition.
+    Imperative and declarative statements correspond to ***must***.
+*   The word ***recommended*** indicates that a certain course of action is preferred or is most suitable.
+    Similarly, ***not recommended*** indicates that a course of action is unsuitable, but not prohibited.
+    There may be reasons to use other options, but the implications and reasons for doing so must be fully understood.
+*   The word ***may*** indicates a course of action is permitted and optional.
+*   The word ***can*** indicates a course of action is possible given material, physical, or causal constraints.
+
+### Style Guide Exceptions
+
+***Justify exceptions with a comment.***
+
+No style guide is perfect.
+There are times when the best path to a working design, or for working around a tool issue, is to simply cut the Gordian Knot and create code that is at variance with this style guide.
+It is always okay to deviate from the style guide by necessity, as long as that necessity is clearly justified by a brief comment, as well as a lint waiver pragma where appropriate.
+
+A common case where you may wish to disable tool-enforced reformatting is for large manually formatted data literals.
+In this case, no explanatory comment is required and yapf can be disabled for that literal [with a single pragma](https://github.com/google/yapf#why-does-yapf-destroy-my-awesome-formatting).
+
+## Python Conventions
+
+### Summary
+
+The lowRISC style matches [PEP8](https://www.python.org/dev/peps/pep-0008/) with the following options:
+* Bitwise operators should be placed before a line split
+* Logical operators should be placed before a line split
+
+To avoid doubt, the interpretation of PEP8 is done by [yapf](https://github.com/google/yapf) and the style guide is set using a `.style.yapf` file in the top level directory of the repository.
+This just sets the base style to pep8 and overrides with the exceptions given above.
+
+In addition to the basic style, imports must be ordered alphabetically within sections:
+* Future
+* Python Standard Library
+* Third Party
+* Current Python Project
+
+The import ordering matches that enforced by [isort](https://github.com/timothycrosley/isort).
+Currently the `isort` defaults are used.
+If this changes a `.isort.cfg` file will be placed in the top level directory of the repository.
+
+### Lint tool
+
+The `lintpy.py` utility in `util` can be used to check python code.
+It checks all python (`.py`) files that are modified in the local repo and will report problems.
+Both `yapf` and `isort` checks are run.
+
+Basic lintpy usage is just to run from the util directory.
+If everything is fine the command produces no output, otherwise it will report the problems.
+Additional information will be printed if the `--verbose` or `-v` flag is given.
+
+```console
+$ cd $REPO_TOP/util
+$ ./lintpy.py
+$ ./lintpy.py -v
+```
+
+Checking can be done on an explicit list of files using the `--file` or `-f` flag.
+In this case the tool will not derive the list from git, so any file can be checked even if it has not been modified.
+
+```console
+$ cd $REPO_TOP/util
+$ ./lintpy.py -f a.py subdir/*.py
+```
+
+Errors may be fixed using the same tool to edit the problem file(s) in-place (you may need to refresh the file(s) in your editor after doing this).
+This uses the same set of files as are being checked, so unless the`--file` or `-f` flag is used this will only affect files that have already been modifed (or staged for commit if `-c`is used) and will not fix errors in python files that have not been touched.
+
+```console
+$ cd $REPO_TOP/util
+$ ./lintpy.py --fix
+```
+
+lintpy.py can be installed as a git pre-commit hook which will prevent commits if there are any lint errors.
+This will normally be a symlink to the tool in util so changes are automatically used (it also works if `lintpy.py` is copied to `.git/hooks/pre-commit` but in that case the hook must be reinstalled each time the tool changes).
+Since git hooks are not automatically installed the symlink hook can be installed if required using the tool:
+
+```console
+$ cd $REPO_TOP/util
+$ ./lintpy.py --hook
+```
+
+
+Fixing style errors for a single file can also be done with `yapf` directly:
+```console
+$ yapf -i file.py
+```
+
+Fixing import ordering errors for a single file can be done with `isort`:
+```console
+$ isort file.py
+```
+
+Yapf and isort are python packages and should be installed with pip:
+
+```console
+$ pip3 install --user yapt
+$ pip3 install --user isort
+```
+
+### File Extensions
+
+***Use the `.py` extension for Python files***
+
+### General File Appearance
+
+#### Characters
+
+***Use only UTF-8 characters with UNIX-style line endings(`"\n"`).***
+
+Follows PEP8.
+
+#### POSIX File Endings
+
+***All lines on non-empty files must end with a newline (`"\n"`).***
+
+#### Line Length
+
+***Wrap the code at 79 characters per line.***
+
+The maximum line length follows PEP8.
+
+Exceptions:
+
+-   Any place where line wraps are impossible (for example, an include path might extend past 79 characters).
+
+#### No Tabs
+
+***Do not use tabs anywhere.***
+
+Use spaces to indent or align text.
+
+To convert tabs to spaces on any file, you can use the [UNIX `expand`](http://linux.die.net/man/1/expand) utility.
+
+#### No Trailing Spaces
+
+***Delete trailing whitespace at the end of lines.***
+
+### Indentation
+
+***Indentation is four spaces per level.***
+
+Follows PEP8.
+Use spaces for indentation.
+Do not use tabs.
+You should set your editor to emit spaces when you hit the tab key.
+
+### Executable python tools
+
+Tools that can be executed should use `env` to avoid making assumptions about the location of the python interpreter.
+Thus they should begin with the line:
+
+```console
+#!/usr/bin/env python3
+```
+
+This should be followed by a comment with the license information and the doc string describing the command.
+
+#### Argument Parsing
+
+***Use argparse to parse command line arguments.***
+
+In command line tools use the [argparse library](https://docs.python.org/3/library/argparse.html) to parse arguments.
+This will provide support for `--help` and `-h` to get usage information.
+
+Every command line program should provide `--version` to provide standard version information.
+This lists the git repositary information for the tool and the version numbers of any python packages that are used.
+The `show_and_exit` routine in `reggen/version.py` can be used to do this.
diff --git a/doc/rm/reg_top.svg b/doc/rm/reg_top.svg
new file mode 100644
index 0000000..d737987
--- /dev/null
+++ b/doc/rm/reg_top.svg
@@ -0,0 +1 @@
+<svg version="1.1" viewBox="0.0 0.0 744.98687664042 513.2860892388452" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l744.9869 0l0 513.2861l-744.9869 0l0 -513.2861z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l744.9869 0l0 513.2861l-744.9869 0z" fill-rule="evenodd"/><path fill="#f3f3f3" d="m56.0 16.0l440.0 0l0 435.2756l-440.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m56.0 16.0l440.0 0l0 435.2756l-440.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m68.984375 30.64875q0 0.515625 -0.15625 0.90625q-0.140625 0.390625 -0.40625 0.65625q-0.25 0.25 -0.609375 0.390625q-0.34375 0.125 -0.75 0.125q-0.1875 0 -0.375 -0.015625q-0.1875 -0.015625 -0.375 -0.078125l0 1.65625l-0.6875 0l0 -5.53125l0.609375 0l0.046875 0.65625q0.28125 -0.40625 0.609375 -0.5625q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.203125 0.046875 0.40625 0.046875q0.546875 0 0.859375 -0.375q0.3125 -0.375 0.3125 -1.125zm5.0546875 -0.171875q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm1.9453125 -1.65625l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm5.5859375 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1796875 3.53125q0 0.515625 -0.15625 0.90625q-0.140625 0.390625 -0.40625 0.65625q-0.25 0.25 -0.609375 0.390625q-0.34375 0.125 -0.75 0.125q-0.1875 0 -0.375 -0.015625q-0.1875 -0.015625 -0.375 -0.078125l0 1.65625l-0.6875 0l0 -5.53125l0.609375 0l0.046875 0.65625q0.28125 -0.40625 0.609375 -0.5625q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.203125 0.046875 0.40625 0.046875q0.546875 0 0.859375 -0.375q0.3125 -0.375 0.3125 -1.125zm4.8984375 2.0l-0.6875 0l0 -2.5q0 -0.453125 -0.171875 -0.671875q-0.15625 -0.234375 -0.484375 -0.234375q-0.125 0 -0.25 0.046875q-0.125 0.03125 -0.25 0.125q-0.125 0.078125 -0.28125 0.234375q-0.15625 0.15625 -0.34375 0.390625l0 2.609375l-0.6875 0l0 -5.515625l0.6875 0l0 1.59375l-0.03125 0.609375q0.15625 -0.1875 0.3125 -0.3125q0.15625 -0.140625 0.3125 -0.21875q0.15625 -0.078125 0.3125 -0.109375q0.15625 -0.046875 0.328125 -0.046875q0.59375 0 0.90625 0.359375q0.328125 0.359375 0.328125 1.078125l0 2.5625zm5.0234375 1.609375l-4.40625 0l0 -0.5625l4.40625 0l0 0.5625zm0.7734375 -5.53125l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.5078125 4.25l-4.40625 0l0 -0.5625l4.40625 0l0 0.5625zm3.7734375 -1.671875q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125zm4.6640625 -1.9375q0 0.46875 -0.140625 0.84375q-0.125 0.375 -0.375 0.65625q-0.234375 0.265625 -0.578125 0.421875q-0.34375 0.15625 -0.796875 0.15625q-0.421875 0 -0.75 -0.125q-0.328125 -0.140625 -0.5625 -0.390625q-0.234375 -0.265625 -0.359375 -0.640625q-0.125 -0.375 -0.125 -0.859375q0 -0.453125 0.125 -0.828125q0.140625 -0.390625 0.375 -0.65625q0.25 -0.265625 0.59375 -0.421875q0.34375 -0.15625 0.78125 -0.15625q0.421875 0 0.75 0.140625q0.34375 0.125 0.578125 0.375q0.234375 0.25 0.359375 0.625q0.125 0.375 0.125 0.859375zm-0.703125 0.03125q0 -0.359375 -0.078125 -0.625q-0.078125 -0.28125 -0.234375 -0.453125q-0.140625 -0.1875 -0.359375 -0.28125q-0.203125 -0.09375 -0.46875 -0.09375q-0.3125 0 -0.53125 0.125q-0.203125 0.125 -0.34375 0.328125q-0.140625 0.1875 -0.203125 0.453125q-0.0625 0.265625 -0.0625 0.546875q0 0.375 0.078125 0.65625q0.078125 0.265625 0.21875 0.453125q0.15625 0.171875 0.359375 0.265625q0.21875 0.09375 0.484375 0.09375q0.296875 0 0.515625 -0.125q0.21875 -0.125 0.359375 -0.3125q0.140625 -0.203125 0.203125 -0.46875q0.0625 -0.265625 0.0625 -0.5625zm5.0390625 -0.0625q0 0.515625 -0.15625 0.90625q-0.140625 0.390625 -0.40625 0.65625q-0.25 0.25 -0.609375 0.390625q-0.34375 0.125 -0.75 0.125q-0.1875 0 -0.375 -0.015625q-0.1875 -0.015625 -0.375 -0.078125l0 1.65625l-0.6875 0l0 -5.53125l0.609375 0l0.046875 0.65625q0.28125 -0.40625 0.609375 -0.5625q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.203125 0.046875 0.40625 0.046875q0.546875 0 0.859375 -0.375q0.3125 -0.375 0.3125 -1.125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m216.0 157.0l32.0 0l0 32.0l-32.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m225.42188 173.805q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375zm7.0078125 0.84375q0 0.21875 -0.078125 0.390625q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.21875q-0.15625 0.078125 -0.34375 0.140625q-0.171875 0.0625 -0.375 0.09375q-0.1875 0.03125 -0.375 0.03125q-0.390625 0 -0.734375 -0.046875q-0.328125 -0.03125 -0.640625 -0.109375l0 -0.625q0.34375 0.09375 0.671875 0.15625q0.34375 0.046875 0.6875 0.046875q0.484375 0 0.71875 -0.125q0.234375 -0.140625 0.234375 -0.390625q0 -0.109375 -0.046875 -0.1875q-0.03125 -0.09375 -0.125 -0.15625q-0.09375 -0.078125 -0.3125 -0.15625q-0.203125 -0.09375 -0.546875 -0.203125q-0.265625 -0.078125 -0.5 -0.171875q-0.21875 -0.09375 -0.390625 -0.234375q-0.15625 -0.140625 -0.25 -0.3125q-0.09375 -0.1875 -0.09375 -0.4375q0 -0.171875 0.078125 -0.359375q0.078125 -0.203125 0.25 -0.359375q0.1875 -0.171875 0.5 -0.28125q0.3125 -0.125 0.78125 -0.125q0.234375 0 0.515625 0.03125q0.28125 0.03125 0.578125 0.09375l0 0.59375q-0.3125 -0.078125 -0.609375 -0.109375q-0.28125 -0.03125 -0.484375 -0.03125q-0.265625 0 -0.4375 0.046875q-0.171875 0.03125 -0.28125 0.09375q-0.109375 0.0625 -0.15625 0.15625q-0.046875 0.09375 -0.046875 0.203125q0 0.109375 0.046875 0.203125q0.046875 0.078125 0.15625 0.15625q0.109375 0.078125 0.296875 0.15625q0.203125 0.078125 0.53125 0.171875q0.34375 0.109375 0.578125 0.21875q0.25 0.109375 0.390625 0.25q0.15625 0.125 0.21875 0.296875q0.0625 0.171875 0.0625 0.390625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m472.0 32.0l0 0c2.1217346 0 4.156555 0.88488007 5.6568604 2.4599762c1.5002747 1.5750961 2.3431396 3.7113838 2.3431396 5.938904l0 47.20224c0 4.6385727 3.581726 8.39888 8.0 8.39888l0 0c-4.418274 0 -8.0 3.7603073 -8.0 8.39888l0 47.20224c0 4.6385803 -3.581726 8.39888 -8.0 8.39888z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m472.0 32.0l0 0c2.1217346 0 4.156555 0.88488007 5.6568604 2.4599762c1.5002747 1.5750961 2.3431396 3.7113838 2.3431396 5.938904l0 47.20224c0 4.6385727 3.581726 8.39888 8.0 8.39888l0 0c-4.418274 0 -8.0 3.7603073 -8.0 8.39888l0 47.20224c0 4.6385803 -3.581726 8.39888 -8.0 8.39888" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m472.0 32.0l0 0c2.1217346 0 4.156555 0.88488007 5.6568604 2.4599762c1.5002747 1.5750961 2.3431396 3.7113838 2.3431396 5.938904l0 47.20224c0 4.6385727 3.581726 8.39888 8.0 8.39888l0 0c-4.418274 0 -8.0 3.7603073 -8.0 8.39888l0 47.20224c0 4.6385803 -3.581726 8.39888 -8.0 8.39888" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m16.0 168.0l8.0 -8.0l0 4.0l16.0 0l0 -4.0l8.0 8.0l-8.0 8.0l0 -4.0l-16.0 0l0 4.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m16.0 168.0l8.0 -8.0l0 4.0l16.0 0l0 -4.0l8.0 8.0l-8.0 8.0l0 -4.0l-16.0 0l0 4.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m8.0 168.0l48.0 0l0 32.0l-48.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m25.688538 181.22438l-2.015625 0l0 6.015625l-0.921875 0l0 -6.015625l-2.015625 0l0 -0.78125l4.953125 0l0 0.78125zm5.577606 6.015625l-3.90625 0l0 -6.796875l0.9375 0l0 6.0l2.96875 0l0 0.796875zm6.030731 -2.375q0 0.5625 -0.15625 1.03125q-0.15625 0.453125 -0.46875 0.78125q-0.3125 0.3125 -0.765625 0.484375q-0.4375 0.171875 -1.015625 0.171875q-0.625 0 -1.078125 -0.15625q-0.4375 -0.171875 -0.71875 -0.46875q-0.265625 -0.3125 -0.40625 -0.734375q-0.125 -0.4375 -0.125 -0.96875l0 -4.5625l0.9375 0l0 4.5q0 0.390625 0.0625 0.703125q0.078125 0.296875 0.25 0.5q0.171875 0.203125 0.4375 0.3125q0.28125 0.09375 0.671875 0.09375q0.75 0 1.09375 -0.421875q0.359375 -0.421875 0.359375 -1.203125l0 -4.484375l0.921875 0l0 4.421875zm5.686981 2.375l-3.90625 0l0 -6.796875l0.9375 0l0 6.0l2.96875 0l0 0.796875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m496.0 264.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m499.4271 264.0l28.572906 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m499.4271 264.0l1.1245728 -1.1245728l-3.0897522 1.1245728l3.0897522 1.1245728z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m392.0 32.0l88.0 0l0 128.0l-88.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m414.60156 39.758125l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.1640625 0.09375q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.3359375 -0.625q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375z" fill-rule="nonzero"/><path fill="#000000" d="m410.20312 49.758125l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.1640625 0.09375q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.3359375 -0.625q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" d="m414.60156 59.758125l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.1640625 0.09375q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.1640625 1.921875l-3.203125 0l0 -0.640625l1.3125 0l0 -3.71875l-1.21875 0.65625l-0.25 -0.578125l1.625 -0.84375l0.59375 0l0 4.484375l1.140625 0l0 0.640625zm2.6796875 -1.234375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375z" fill-rule="nonzero"/><path fill="#000000" d="m410.20312 69.758125l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.1640625 0.09375q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.1640625 1.921875l-3.203125 0l0 -0.640625l1.3125 0l0 -3.71875l-1.21875 0.65625l-0.25 -0.578125l1.625 -0.84375l0.59375 0l0 4.484375l1.140625 0l0 0.640625zm2.6796875 -1.234375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" d="m414.60156 89.758125l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm6.9921875 2.640625l-3.203125 0l0 -0.640625l1.3125 0l0 -3.71875l-1.21875 0.65625l-0.25 -0.578125l1.625 -0.84375l0.59375 0l0 4.484375l1.140625 0l0 0.640625zm2.6796875 -1.234375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.3359375 -0.625q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375z" fill-rule="nonzero"/><path fill="#000000" d="m414.60156 99.758125l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm6.9921875 2.640625l-3.203125 0l0 -0.640625l1.3125 0l0 -3.71875l-1.21875 0.65625l-0.25 -0.578125l1.625 -0.84375l0.59375 0l0 4.484375l1.140625 0l0 0.640625zm2.6796875 -1.234375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.1640625 1.921875l-3.203125 0l0 -0.640625l1.3125 0l0 -3.71875l-1.21875 0.65625l-0.25 -0.578125l1.625 -0.84375l0.59375 0l0 4.484375l1.140625 0l0 0.640625zm2.6796875 -1.234375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375z" fill-rule="nonzero"/><path fill="#000000" d="m445.39062 119.758125l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.0390625 2.640625l-3.359375 0l0 -0.609375l1.3125 -1.3125q0.328125 -0.328125 0.53125 -0.5625q0.203125 -0.234375 0.3125 -0.421875q0.125 -0.1875 0.15625 -0.359375q0.046875 -0.171875 0.046875 -0.375q0 -0.1875 -0.0625 -0.359375q-0.046875 -0.171875 -0.15625 -0.296875q-0.09375 -0.125 -0.265625 -0.203125q-0.171875 -0.078125 -0.40625 -0.078125q-0.328125 0 -0.59375 0.15625q-0.265625 0.140625 -0.484375 0.359375l-0.375 -0.4375q0.296875 -0.3125 0.671875 -0.484375q0.375 -0.1875 0.875 -0.1875q0.34375 0 0.609375 0.109375q0.28125 0.09375 0.484375 0.28125q0.203125 0.1875 0.3125 0.46875q0.125 0.28125 0.125 0.625q0 0.296875 -0.078125 0.546875q-0.078125 0.25 -0.25 0.5q-0.15625 0.234375 -0.390625 0.5q-0.234375 0.265625 -0.5625 0.59375l-0.9375 0.890625l2.484375 0l0 0.65625zm2.6328125 -1.234375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375z" fill-rule="nonzero"/><path fill="#000000" d="m414.60156 139.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.2890625 1.515625l-0.859375 0l0 1.125l-0.703125 0l0 -1.125l-2.453125 0l0 -0.609375l2.171875 -3.375l0.984375 0l0 3.375l0.859375 0l0 0.609375zm-1.5625 -3.328125l-1.78125 2.71875l1.78125 0l0 -2.71875zm3.9453125 3.21875q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.3359375 -0.625q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375z" fill-rule="nonzero"/><path fill="#000000" d="m414.60156 149.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.2890625 1.515625l-0.859375 0l0 1.125l-0.703125 0l0 -1.125l-2.453125 0l0 -0.609375l2.171875 -3.375l0.984375 0l0 3.375l0.859375 0l0 0.609375zm-1.5625 -3.328125l-1.78125 2.71875l1.78125 0l0 -2.71875zm3.9453125 3.21875q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.1640625 1.921875l-3.203125 0l0 -0.640625l1.3125 0l0 -3.71875l-1.21875 0.65625l-0.25 -0.578125l1.625 -0.84375l0.59375 0l0 4.484375l1.140625 0l0 0.640625zm2.6796875 -1.234375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m496.0 96.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m496.0 96.0l28.572937 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m524.57294 96.0l-1.1246338 1.1245804l3.0897827 -1.1245804l-3.0897827 -1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m384.0 192.0l96.0 0l0 136.0l-96.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m414.60156 204.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.1640625 0.09375q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.3359375 -0.625q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m410.20312 214.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.1640625 0.09375q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.3359375 -0.625q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" d="m414.60156 224.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.1640625 0.09375q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.1640625 1.921875l-3.203125 0l0 -0.640625l1.3125 0l0 -3.71875l-1.21875 0.65625l-0.25 -0.578125l1.625 -0.84375l0.59375 0l0 4.484375l1.140625 0l0 0.640625zm2.6796875 -1.234375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m410.20312 234.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.1640625 0.09375q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.1640625 1.921875l-3.203125 0l0 -0.640625l1.3125 0l0 -3.71875l-1.21875 0.65625l-0.25 -0.578125l1.625 -0.84375l0.59375 0l0 4.484375l1.140625 0l0 0.640625zm2.6796875 -1.234375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" d="m414.60156 244.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.1640625 0.09375q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 1.921875l-3.359375 0l0 -0.609375l1.3125 -1.3125q0.328125 -0.328125 0.53125 -0.5625q0.203125 -0.234375 0.3125 -0.421875q0.125 -0.1875 0.15625 -0.359375q0.046875 -0.171875 0.046875 -0.375q0 -0.1875 -0.0625 -0.359375q-0.046875 -0.171875 -0.15625 -0.296875q-0.09375 -0.125 -0.265625 -0.203125q-0.171875 -0.078125 -0.40625 -0.078125q-0.328125 0 -0.59375 0.15625q-0.265625 0.140625 -0.484375 0.359375l-0.375 -0.4375q0.296875 -0.3125 0.671875 -0.484375q0.375 -0.1875 0.875 -0.1875q0.34375 0 0.609375 0.109375q0.28125 0.09375 0.484375 0.28125q0.203125 0.1875 0.3125 0.46875q0.125 0.28125 0.125 0.625q0 0.296875 -0.078125 0.546875q-0.078125 0.25 -0.25 0.5q-0.15625 0.234375 -0.390625 0.5q-0.234375 0.265625 -0.5625 0.59375l-0.9375 0.890625l2.484375 0l0 0.65625zm2.6328125 -1.234375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m410.20312 254.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.1640625 0.09375q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 1.921875l-3.359375 0l0 -0.609375l1.3125 -1.3125q0.328125 -0.328125 0.53125 -0.5625q0.203125 -0.234375 0.3125 -0.421875q0.125 -0.1875 0.15625 -0.359375q0.046875 -0.171875 0.046875 -0.375q0 -0.1875 -0.0625 -0.359375q-0.046875 -0.171875 -0.15625 -0.296875q-0.09375 -0.125 -0.265625 -0.203125q-0.171875 -0.078125 -0.40625 -0.078125q-0.328125 0 -0.59375 0.15625q-0.265625 0.140625 -0.484375 0.359375l-0.375 -0.4375q0.296875 -0.3125 0.671875 -0.484375q0.375 -0.1875 0.875 -0.1875q0.34375 0 0.609375 0.109375q0.28125 0.09375 0.484375 0.28125q0.203125 0.1875 0.3125 0.46875q0.125 0.28125 0.125 0.625q0 0.296875 -0.078125 0.546875q-0.078125 0.25 -0.25 0.5q-0.15625 0.234375 -0.390625 0.5q-0.234375 0.265625 -0.5625 0.59375l-0.9375 0.890625l2.484375 0l0 0.65625zm2.6328125 -1.234375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" d="m445.39062 274.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm6.9453125 1.09375q0 0.328125 -0.140625 0.625q-0.140625 0.296875 -0.421875 0.515625q-0.265625 0.21875 -0.6875 0.359375q-0.40625 0.125 -0.953125 0.125q-0.296875 0 -0.546875 -0.03125q-0.234375 -0.015625 -0.453125 -0.046875l0 -0.609375q0.25 0.046875 0.515625 0.078125q0.265625 0.015625 0.546875 0.015625q0.390625 0 0.65625 -0.0625q0.265625 -0.078125 0.4375 -0.203125q0.171875 -0.140625 0.25 -0.3125q0.078125 -0.1875 0.078125 -0.421875q0 -0.203125 -0.09375 -0.359375q-0.09375 -0.15625 -0.265625 -0.265625q-0.15625 -0.109375 -0.40625 -0.15625q-0.234375 -0.0625 -0.515625 -0.0625l-0.578125 0l0 -0.546875l0.59375 0q0.234375 0 0.421875 -0.0625q0.1875 -0.0625 0.3125 -0.171875q0.140625 -0.125 0.203125 -0.28125q0.078125 -0.171875 0.078125 -0.375q0 -0.421875 -0.25 -0.609375q-0.25 -0.1875 -0.734375 -0.1875q-0.25 0 -0.53125 0.0625q-0.265625 0.046875 -0.578125 0.140625l0 -0.59375q0.125 -0.046875 0.28125 -0.078125q0.15625 -0.03125 0.296875 -0.0625q0.15625 -0.03125 0.296875 -0.03125q0.15625 -0.015625 0.296875 -0.015625q0.40625 0 0.703125 0.09375q0.3125 0.078125 0.515625 0.25q0.21875 0.15625 0.3125 0.390625q0.109375 0.234375 0.109375 0.53125q0 0.4375 -0.234375 0.734375q-0.21875 0.296875 -0.609375 0.46875q0.203125 0.03125 0.390625 0.125q0.203125 0.09375 0.359375 0.25q0.15625 0.140625 0.25 0.34375q0.09375 0.1875 0.09375 0.4375zm2.7265625 0.3125q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m440.9922 284.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm6.9453125 1.09375q0 0.328125 -0.140625 0.625q-0.140625 0.296875 -0.421875 0.515625q-0.265625 0.21875 -0.6875 0.359375q-0.40625 0.125 -0.953125 0.125q-0.296875 0 -0.546875 -0.03125q-0.234375 -0.015625 -0.453125 -0.046875l0 -0.609375q0.25 0.046875 0.515625 0.078125q0.265625 0.015625 0.546875 0.015625q0.390625 0 0.65625 -0.0625q0.265625 -0.078125 0.4375 -0.203125q0.171875 -0.140625 0.25 -0.3125q0.078125 -0.1875 0.078125 -0.421875q0 -0.203125 -0.09375 -0.359375q-0.09375 -0.15625 -0.265625 -0.265625q-0.15625 -0.109375 -0.40625 -0.15625q-0.234375 -0.0625 -0.515625 -0.0625l-0.578125 0l0 -0.546875l0.59375 0q0.234375 0 0.421875 -0.0625q0.1875 -0.0625 0.3125 -0.171875q0.140625 -0.125 0.203125 -0.28125q0.078125 -0.171875 0.078125 -0.375q0 -0.421875 -0.25 -0.609375q-0.25 -0.1875 -0.734375 -0.1875q-0.25 0 -0.53125 0.0625q-0.265625 0.046875 -0.578125 0.140625l0 -0.59375q0.125 -0.046875 0.28125 -0.078125q0.15625 -0.03125 0.296875 -0.0625q0.15625 -0.03125 0.296875 -0.03125q0.15625 -0.015625 0.296875 -0.015625q0.40625 0 0.703125 0.09375q0.3125 0.078125 0.515625 0.25q0.21875 0.15625 0.3125 0.390625q0.109375 0.234375 0.109375 0.53125q0 0.4375 -0.234375 0.734375q-0.21875 0.296875 -0.609375 0.46875q0.203125 0.03125 0.390625 0.125q0.203125 0.09375 0.359375 0.25q0.15625 0.140625 0.25 0.34375q0.09375 0.1875 0.09375 0.4375zm2.7265625 0.3125q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" d="m414.60156 304.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm6.9140625 1.0q0 0.390625 -0.171875 0.703125q-0.15625 0.3125 -0.453125 0.53125q-0.28125 0.21875 -0.671875 0.359375q-0.390625 0.125 -0.84375 0.125q-0.109375 0 -0.25 -0.015625q-0.125 0 -0.25 -0.015625q-0.125 0 -0.25 -0.015625q-0.125 -0.015625 -0.21875 -0.03125l0 -0.625q0.203125 0.0625 0.46875 0.09375q0.28125 0.015625 0.5625 0.015625q0.3125 0 0.5625 -0.078125q0.25 -0.078125 0.421875 -0.21875q0.171875 -0.140625 0.265625 -0.328125q0.09375 -0.203125 0.09375 -0.453125q0 -0.46875 -0.34375 -0.6875q-0.34375 -0.21875 -0.984375 -0.21875l-0.96875 0l0 -2.609375l2.75 0l0 0.59375l-2.109375 0l0 1.4375l0.453125 0q0.359375 0 0.703125 0.0625q0.359375 0.0625 0.625 0.234375q0.28125 0.171875 0.4375 0.453125q0.171875 0.265625 0.171875 0.6875zm2.7578125 0.40625q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.3359375 -0.625q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m410.20312 314.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm6.9140625 1.0q0 0.390625 -0.171875 0.703125q-0.15625 0.3125 -0.453125 0.53125q-0.28125 0.21875 -0.671875 0.359375q-0.390625 0.125 -0.84375 0.125q-0.109375 0 -0.25 -0.015625q-0.125 0 -0.25 -0.015625q-0.125 0 -0.25 -0.015625q-0.125 -0.015625 -0.21875 -0.03125l0 -0.625q0.203125 0.0625 0.46875 0.09375q0.28125 0.015625 0.5625 0.015625q0.3125 0 0.5625 -0.078125q0.25 -0.078125 0.421875 -0.21875q0.171875 -0.140625 0.265625 -0.328125q0.09375 -0.203125 0.09375 -0.453125q0 -0.46875 -0.34375 -0.6875q-0.34375 -0.21875 -0.984375 -0.21875l-0.96875 0l0 -2.609375l2.75 0l0 0.59375l-2.109375 0l0 1.4375l0.453125 0q0.359375 0 0.703125 0.0625q0.359375 0.0625 0.625 0.234375q0.28125 0.171875 0.4375 0.453125q0.171875 0.265625 0.171875 0.6875zm2.7578125 0.40625q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm6.3359375 -3.65625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0zm6.3671875 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.1328125 3.390625q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm3.1328125 -2.703125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm2.8515625 3.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.3359375 -0.625q0 0.578125 -0.125 1.0625q-0.109375 0.484375 -0.359375 0.828125q-0.234375 0.34375 -0.59375 0.546875q-0.34375 0.1875 -0.828125 0.1875q-0.40625 0 -0.75 -0.15625q-0.328125 -0.15625 -0.5625 -0.46875q-0.234375 -0.328125 -0.375 -0.828125q-0.125 -0.5 -0.125 -1.171875q0 -0.59375 0.109375 -1.078125q0.125 -0.484375 0.359375 -0.828125q0.25 -0.34375 0.59375 -0.53125q0.359375 -0.1875 0.828125 -0.1875q0.421875 0 0.75 0.15625q0.34375 0.140625 0.578125 0.46875q0.234375 0.328125 0.359375 0.828125q0.140625 0.484375 0.140625 1.171875zm-0.6875 0.015625q0 -0.125 -0.015625 -0.25q-0.015625 -0.140625 -0.015625 -0.265625l-2.171875 1.609375q0.0625 0.203125 0.15625 0.375q0.09375 0.171875 0.21875 0.296875q0.125 0.109375 0.28125 0.1875q0.171875 0.0625 0.375 0.0625q0.265625 0 0.484375 -0.125q0.21875 -0.125 0.359375 -0.375q0.15625 -0.265625 0.234375 -0.640625q0.09375 -0.375 0.09375 -0.875zm-2.34375 -0.046875q0 0.125 0 0.25q0 0.109375 0.015625 0.234375l2.15625 -1.609375q-0.046875 -0.1875 -0.140625 -0.34375q-0.09375 -0.171875 -0.21875 -0.296875q-0.125 -0.125 -0.28125 -0.1875q-0.15625 -0.0625 -0.359375 -0.0625q-0.265625 0 -0.484375 0.125q-0.21875 0.125 -0.375 0.390625q-0.140625 0.25 -0.234375 0.625q-0.078125 0.375 -0.078125 0.875zm5.5390625 1.34375q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm2.6484375 -0.640625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m472.0 200.0l0 0c2.1217346 0 4.156555 0.88487244 5.6568604 2.4599762c1.5002747 1.5750885 2.3431396 3.71138 2.3431396 5.938904l0 47.20224c0 4.638565 3.581726 8.39888 8.0 8.39888l0 0c-4.418274 0 -8.0 3.760315 -8.0 8.398865l0 47.20227c0 4.63855 -3.581726 8.398865 -8.0 8.398865z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m472.0 200.0l0 0c2.1217346 0 4.156555 0.88487244 5.6568604 2.4599762c1.5002747 1.5750885 2.3431396 3.71138 2.3431396 5.938904l0 47.20224c0 4.638565 3.581726 8.39888 8.0 8.39888l0 0c-4.418274 0 -8.0 3.760315 -8.0 8.398865l0 47.20227c0 4.63855 -3.581726 8.398865 -8.0 8.398865" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m472.0 200.0l0 0c2.1217346 0 4.156555 0.88487244 5.6568604 2.4599762c1.5002747 1.5750885 2.3431396 3.71138 2.3431396 5.938904l0 47.20224c0 4.638565 3.581726 8.39888 8.0 8.39888l0 0c-4.418274 0 -8.0 3.760315 -8.0 8.398865l0 47.20227c0 4.63855 -3.581726 8.398865 -8.0 8.398865" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m528.0 80.0l56.0 0l0 32.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m541.0469 86.68q0 0.46875 -0.140625 0.84375q-0.125 0.375 -0.375 0.65625q-0.234375 0.265625 -0.578125 0.421875q-0.34375 0.15625 -0.796875 0.15625q-0.421875 0 -0.75 -0.125q-0.328125 -0.140625 -0.5625 -0.390625q-0.234375 -0.265625 -0.359375 -0.640625q-0.125 -0.375 -0.125 -0.859375q0 -0.453125 0.125 -0.828125q0.140625 -0.390625 0.375 -0.65625q0.25 -0.265625 0.59375 -0.421875q0.34375 -0.15625 0.78125 -0.15625q0.421875 0 0.75 0.140625q0.34375 0.125 0.578125 0.375q0.234375 0.25 0.359375 0.625q0.125 0.375 0.125 0.859375zm-0.703125 0.03125q0 -0.359375 -0.078125 -0.625q-0.078125 -0.28125 -0.234375 -0.453125q-0.140625 -0.1875 -0.359375 -0.28125q-0.203125 -0.09375 -0.46875 -0.09375q-0.3125 0 -0.53125 0.125q-0.203125 0.125 -0.34375 0.328125q-0.140625 0.1875 -0.203125 0.453125q-0.0625 0.265625 -0.0625 0.546875q0 0.375 0.078125 0.65625q0.078125 0.265625 0.21875 0.453125q0.15625 0.171875 0.359375 0.265625q0.21875 0.09375 0.484375 0.09375q0.296875 0 0.515625 -0.125q0.21875 -0.125 0.359375 -0.3125q0.140625 -0.203125 0.203125 -0.46875q0.0625 -0.265625 0.0625 -0.5625zm4.8359375 1.96875l-0.609375 0l-0.03125 -0.640625q-0.171875 0.203125 -0.328125 0.34375q-0.15625 0.140625 -0.3125 0.21875q-0.15625 0.078125 -0.3125 0.109375q-0.15625 0.046875 -0.34375 0.046875q-0.59375 0 -0.90625 -0.359375q-0.3125 -0.359375 -0.3125 -1.078125l0 -2.5625l0.6875 0l0 2.5q0 0.90625 0.671875 0.90625q0.125 0 0.234375 -0.03125q0.125 -0.046875 0.25 -0.125q0.140625 -0.09375 0.28125 -0.25q0.15625 -0.15625 0.34375 -0.40625l0 -2.59375l0.6875 0l0 3.921875zm4.3984375 -0.0625q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125zm4.6015625 -1.96875q0 0.515625 -0.15625 0.90625q-0.140625 0.390625 -0.40625 0.65625q-0.25 0.25 -0.609375 0.390625q-0.34375 0.125 -0.75 0.125q-0.1875 0 -0.375 -0.015625q-0.1875 -0.015625 -0.375 -0.078125l0 1.65625l-0.6875 0l0 -5.53125l0.609375 0l0.046875 0.65625q0.28125 -0.40625 0.609375 -0.5625q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.203125 0.046875 0.40625 0.046875q0.546875 0 0.859375 -0.375q0.3125 -0.375 0.3125 -1.125zm4.8984375 2.0l-0.609375 0l-0.03125 -0.640625q-0.171875 0.203125 -0.328125 0.34375q-0.15625 0.140625 -0.3125 0.21875q-0.15625 0.078125 -0.3125 0.109375q-0.15625 0.046875 -0.34375 0.046875q-0.59375 0 -0.90625 -0.359375q-0.3125 -0.359375 -0.3125 -1.078125l0 -2.5625l0.6875 0l0 2.5q0 0.90625 0.671875 0.90625q0.125 0 0.234375 -0.03125q0.125 -0.046875 0.25 -0.125q0.140625 -0.09375 0.28125 -0.25q0.15625 -0.15625 0.34375 -0.40625l0 -2.59375l0.6875 0l0 3.921875zm4.3984375 -0.0625q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125z" fill-rule="nonzero"/><path fill="#000000" d="m540.78125 98.6175q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125zm4.7265625 -3.859375l-1.328125 3.5q-0.203125 0.546875 -0.4375 0.921875q-0.21875 0.390625 -0.484375 0.640625q-0.25 0.25 -0.5625 0.359375q-0.3125 0.125 -0.703125 0.125q-0.09375 0 -0.1875 0q-0.078125 0 -0.171875 -0.015625l0 -0.609375q0.078125 0 0.171875 0.015625q0.109375 0.015625 0.234375 0.015625q0.1875 0 0.34375 -0.0625q0.15625 -0.046875 0.296875 -0.171875q0.15625 -0.125 0.28125 -0.328125q0.140625 -0.1875 0.265625 -0.46875l-1.5625 -3.921875l0.765625 0l1.0 2.59375l0.1875 0.609375l0.234375 -0.625l0.921875 -2.578125l0.734375 0zm4.2734375 1.890625q0 0.515625 -0.15625 0.90625q-0.140625 0.390625 -0.40625 0.65625q-0.25 0.25 -0.609375 0.390625q-0.34375 0.125 -0.75 0.125q-0.1875 0 -0.375 -0.015625q-0.1875 -0.015625 -0.375 -0.078125l0 1.65625l-0.6875 0l0 -5.53125l0.609375 0l0.046875 0.65625q0.28125 -0.40625 0.609375 -0.5625q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.203125 0.046875 0.40625 0.046875q0.546875 0 0.859375 -0.375q0.3125 -0.375 0.3125 -1.125zm5.0546875 -0.171875q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm1.5859375 0.390625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm5.2734375 -2.625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0z" fill-rule="nonzero"/><path fill="#000000" d="m540.7344 107.601875q0 0.21875 -0.078125 0.390625q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.21875q-0.15625 0.078125 -0.34375 0.140625q-0.171875 0.0625 -0.375 0.09375q-0.1875 0.03125 -0.375 0.03125q-0.390625 0 -0.734375 -0.046875q-0.328125 -0.03125 -0.640625 -0.109375l0 -0.625q0.34375 0.09375 0.671875 0.15625q0.34375 0.046875 0.6875 0.046875q0.484375 0 0.71875 -0.125q0.234375 -0.140625 0.234375 -0.390625q0 -0.109375 -0.046875 -0.1875q-0.03125 -0.09375 -0.125 -0.15625q-0.09375 -0.078125 -0.3125 -0.15625q-0.203125 -0.09375 -0.546875 -0.203125q-0.265625 -0.078125 -0.5 -0.171875q-0.21875 -0.09375 -0.390625 -0.234375q-0.15625 -0.140625 -0.25 -0.3125q-0.09375 -0.1875 -0.09375 -0.4375q0 -0.171875 0.078125 -0.359375q0.078125 -0.203125 0.25 -0.359375q0.1875 -0.171875 0.5 -0.28125q0.3125 -0.125 0.78125 -0.125q0.234375 0 0.515625 0.03125q0.28125 0.03125 0.578125 0.09375l0 0.59375q-0.3125 -0.078125 -0.609375 -0.109375q-0.28125 -0.03125 -0.484375 -0.03125q-0.265625 0 -0.4375 0.046875q-0.171875 0.03125 -0.28125 0.09375q-0.109375 0.0625 -0.15625 0.15625q-0.046875 0.09375 -0.046875 0.203125q0 0.109375 0.046875 0.203125q0.046875 0.078125 0.15625 0.15625q0.109375 0.078125 0.296875 0.15625q0.203125 0.078125 0.53125 0.171875q0.34375 0.109375 0.578125 0.21875q0.25 0.109375 0.390625 0.25q0.15625 0.125 0.21875 0.296875q0.0625 0.171875 0.0625 0.390625zm4.4453125 1.015625q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125zm1.3984375 -3.859375l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.3984375 3.921875l-0.609375 0l-0.03125 -0.640625q-0.171875 0.203125 -0.328125 0.34375q-0.15625 0.140625 -0.3125 0.21875q-0.15625 0.078125 -0.3125 0.109375q-0.15625 0.046875 -0.34375 0.046875q-0.59375 0 -0.90625 -0.359375q-0.3125 -0.359375 -0.3125 -1.078125l0 -2.5625l0.6875 0l0 2.5q0 0.90625 0.671875 0.90625q0.125 0 0.234375 -0.03125q0.125 -0.046875 0.25 -0.125q0.140625 -0.09375 0.28125 -0.25q0.15625 -0.15625 0.34375 -0.40625l0 -2.59375l0.6875 0l0 3.921875zm4.2890625 -0.140625q-0.265625 0.09375 -0.546875 0.140625q-0.28125 0.0625 -0.578125 0.0625q-0.921875 0 -1.421875 -0.5q-0.5 -0.515625 -0.5 -1.484375q0 -0.46875 0.140625 -0.84375q0.140625 -0.375 0.40625 -0.640625q0.265625 -0.28125 0.625 -0.421875q0.359375 -0.15625 0.796875 -0.15625q0.3125 0 0.578125 0.046875q0.265625 0.046875 0.5 0.140625l0 0.65625q-0.25 -0.140625 -0.515625 -0.203125q-0.265625 -0.0625 -0.546875 -0.0625q-0.265625 0 -0.5 0.109375q-0.21875 0.09375 -0.40625 0.28125q-0.171875 0.1875 -0.28125 0.46875q-0.09375 0.265625 -0.09375 0.59375q0 0.71875 0.34375 1.078125q0.34375 0.34375 0.96875 0.34375q0.265625 0 0.53125 -0.0625q0.265625 -0.0625 0.5 -0.1875l0 0.640625zm4.5078125 0.078125q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m528.0 248.0l56.0 0l0 32.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m538.96875 253.32062l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm2.8203125 1.640625l0.609375 0l0.03125 0.625q0.171875 -0.203125 0.328125 -0.328125q0.15625 -0.140625 0.3125 -0.21875q0.15625 -0.078125 0.3125 -0.109375q0.15625 -0.046875 0.328125 -0.046875q0.609375 0 0.921875 0.359375q0.3125 0.359375 0.3125 1.078125l0 2.5625l-0.6875 0l0 -2.5q0 -0.46875 -0.171875 -0.6875q-0.171875 -0.21875 -0.5 -0.21875q-0.125 0 -0.25 0.046875q-0.125 0.03125 -0.25 0.125q-0.125 0.078125 -0.28125 0.234375q-0.140625 0.15625 -0.328125 0.390625l0 2.609375l-0.6875 0l0 -3.921875zm7.7578125 1.890625q0 0.515625 -0.15625 0.90625q-0.140625 0.390625 -0.40625 0.65625q-0.25 0.25 -0.609375 0.390625q-0.34375 0.125 -0.75 0.125q-0.1875 0 -0.375 -0.015625q-0.1875 -0.015625 -0.375 -0.078125l0 1.65625l-0.6875 0l0 -5.53125l0.609375 0l0.046875 0.65625q0.28125 -0.40625 0.609375 -0.5625q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.203125 0.046875 0.40625 0.046875q0.546875 0 0.859375 -0.375q0.3125 -0.375 0.3125 -1.125zm4.8984375 2.0l-0.609375 0l-0.03125 -0.640625q-0.171875 0.203125 -0.328125 0.34375q-0.15625 0.140625 -0.3125 0.21875q-0.15625 0.078125 -0.3125 0.109375q-0.15625 0.046875 -0.34375 0.046875q-0.59375 0 -0.90625 -0.359375q-0.3125 -0.359375 -0.3125 -1.078125l0 -2.5625l0.6875 0l0 2.5q0 0.90625 0.671875 0.90625q0.125 0 0.234375 -0.03125q0.125 -0.046875 0.25 -0.125q0.140625 -0.09375 0.28125 -0.25q0.15625 -0.15625 0.34375 -0.40625l0 -2.59375l0.6875 0l0 3.921875zm4.3984375 -0.0625q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125z" fill-rule="nonzero"/><path fill="#000000" d="m540.78125 266.6175q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125zm4.7265625 -3.859375l-1.328125 3.5q-0.203125 0.546875 -0.4375 0.921875q-0.21875 0.390625 -0.484375 0.640625q-0.25 0.25 -0.5625 0.359375q-0.3125 0.125 -0.703125 0.125q-0.09375 0 -0.1875 0q-0.078125 0 -0.171875 -0.015625l0 -0.609375q0.078125 0 0.171875 0.015625q0.109375 0.015625 0.234375 0.015625q0.1875 0 0.34375 -0.0625q0.15625 -0.046875 0.296875 -0.171875q0.15625 -0.125 0.28125 -0.328125q0.140625 -0.1875 0.265625 -0.46875l-1.5625 -3.921875l0.765625 0l1.0 2.59375l0.1875 0.609375l0.234375 -0.625l0.921875 -2.578125l0.734375 0zm4.2734375 1.890625q0 0.515625 -0.15625 0.90625q-0.140625 0.390625 -0.40625 0.65625q-0.25 0.25 -0.609375 0.390625q-0.34375 0.125 -0.75 0.125q-0.1875 0 -0.375 -0.015625q-0.1875 -0.015625 -0.375 -0.078125l0 1.65625l-0.6875 0l0 -5.53125l0.609375 0l0.046875 0.65625q0.28125 -0.40625 0.609375 -0.5625q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.203125 0.046875 0.40625 0.046875q0.546875 0 0.859375 -0.375q0.3125 -0.375 0.3125 -1.125zm5.0546875 -0.171875q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm1.5859375 0.390625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm5.2734375 -2.625q-0.53125 -0.109375 -0.921875 -0.109375q-0.921875 0 -0.921875 0.953125l0 0.6875l1.71875 0l0 0.578125l-1.71875 0l0 2.78125l-0.6875 0l0 -2.78125l-1.265625 0l0 -0.578125l1.265625 0l0 -0.640625q0 -1.5625 1.625 -1.5625q0.40625 0 0.90625 0.09375l0 0.578125zm-4.109375 0.96875l0 0z" fill-rule="nonzero"/><path fill="#000000" d="m540.7344 275.60187q0 0.21875 -0.078125 0.390625q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.21875q-0.15625 0.078125 -0.34375 0.140625q-0.171875 0.0625 -0.375 0.09375q-0.1875 0.03125 -0.375 0.03125q-0.390625 0 -0.734375 -0.046875q-0.328125 -0.03125 -0.640625 -0.109375l0 -0.625q0.34375 0.09375 0.671875 0.15625q0.34375 0.046875 0.6875 0.046875q0.484375 0 0.71875 -0.125q0.234375 -0.140625 0.234375 -0.390625q0 -0.109375 -0.046875 -0.1875q-0.03125 -0.09375 -0.125 -0.15625q-0.09375 -0.078125 -0.3125 -0.15625q-0.203125 -0.09375 -0.546875 -0.203125q-0.265625 -0.078125 -0.5 -0.171875q-0.21875 -0.09375 -0.390625 -0.234375q-0.15625 -0.140625 -0.25 -0.3125q-0.09375 -0.1875 -0.09375 -0.4375q0 -0.171875 0.078125 -0.359375q0.078125 -0.203125 0.25 -0.359375q0.1875 -0.171875 0.5 -0.28125q0.3125 -0.125 0.78125 -0.125q0.234375 0 0.515625 0.03125q0.28125 0.03125 0.578125 0.09375l0 0.59375q-0.3125 -0.078125 -0.609375 -0.109375q-0.28125 -0.03125 -0.484375 -0.03125q-0.265625 0 -0.4375 0.046875q-0.171875 0.03125 -0.28125 0.09375q-0.109375 0.0625 -0.15625 0.15625q-0.046875 0.09375 -0.046875 0.203125q0 0.109375 0.046875 0.203125q0.046875 0.078125 0.15625 0.15625q0.109375 0.078125 0.296875 0.15625q0.203125 0.078125 0.53125 0.171875q0.34375 0.109375 0.578125 0.21875q0.25 0.109375 0.390625 0.25q0.15625 0.125 0.21875 0.296875q0.0625 0.171875 0.0625 0.390625zm4.4453125 1.015625q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125zm1.3984375 -3.859375l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.3984375 3.921875l-0.609375 0l-0.03125 -0.640625q-0.171875 0.203125 -0.328125 0.34375q-0.15625 0.140625 -0.3125 0.21875q-0.15625 0.078125 -0.3125 0.109375q-0.15625 0.046875 -0.34375 0.046875q-0.59375 0 -0.90625 -0.359375q-0.3125 -0.359375 -0.3125 -1.078125l0 -2.5625l0.6875 0l0 2.5q0 0.90625 0.671875 0.90625q0.125 0 0.234375 -0.03125q0.125 -0.046875 0.25 -0.125q0.140625 -0.09375 0.28125 -0.25q0.15625 -0.15625 0.34375 -0.40625l0 -2.59375l0.6875 0l0 3.921875zm4.2890625 -0.140625q-0.265625 0.09375 -0.546875 0.140625q-0.28125 0.0625 -0.578125 0.0625q-0.921875 0 -1.421875 -0.5q-0.5 -0.515625 -0.5 -1.484375q0 -0.46875 0.140625 -0.84375q0.140625 -0.375 0.40625 -0.640625q0.265625 -0.28125 0.625 -0.421875q0.359375 -0.15625 0.796875 -0.15625q0.3125 0 0.578125 0.046875q0.265625 0.046875 0.5 0.140625l0 0.65625q-0.25 -0.140625 -0.515625 -0.203125q-0.265625 -0.0625 -0.546875 -0.0625q-0.265625 0 -0.5 0.109375q-0.21875 0.09375 -0.40625 0.28125q-0.171875 0.1875 -0.28125 0.46875q-0.09375 0.265625 -0.09375 0.59375q0 0.71875 0.34375 1.078125q0.34375 0.34375 0.96875 0.34375q0.265625 0 0.53125 -0.0625q0.265625 -0.0625 0.5 -0.1875l0 0.640625zm4.5078125 0.078125q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m496.0 112.0l128.0 0l0 24.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m506.78125 126.726875q-0.28125 0 -0.484375 -0.03125q-0.1875 -0.015625 -0.359375 -0.0625l-0.328125 1.6562424l-0.6875 0l0.75 -3.7343674q0.015625 -0.078125 0.03125 -0.1875q0.015625 -0.125 0.046875 -0.328125q0.03125 -0.203125 0.0625 -0.515625q0.03125 -0.3125 0.078125 -0.765625l0.640625 0l-0.09375 0.90625q0.265625 -0.46875 0.640625 -0.71875q0.390625 -0.265625 0.84375 -0.265625q0.28125 0 0.484375 0.109375q0.21875 0.09375 0.34375 0.265625q0.140625 0.171875 0.203125 0.421875q0.078125 0.234375 0.078125 0.53125q0 0.5625 -0.15625 1.0625q-0.140625 0.484375 -0.4375 0.859375q-0.28125 0.359375 -0.703125 0.578125q-0.40625 0.21875 -0.953125 0.21875zm1.5625 -2.65625q0 -0.375 -0.140625 -0.578125q-0.125 -0.21875 -0.40625 -0.21875q-0.171875 0 -0.34375 0.078125q-0.15625 0.078125 -0.328125 0.21875q-0.15625 0.140625 -0.296875 0.328125q-0.140625 0.1875 -0.265625 0.40625q-0.109375 0.203125 -0.203125 0.4375q-0.09375 0.21875 -0.140625 0.453125l-0.15625 0.8125q0.1875 0.078125 0.375 0.125q0.203125 0.046875 0.359375 0.046875q0.328125 0 0.5625 -0.109375q0.234375 -0.109375 0.40625 -0.28125q0.171875 -0.1875 0.28125 -0.40625q0.109375 -0.234375 0.171875 -0.46875q0.0625 -0.234375 0.09375 -0.453125q0.03125 -0.21875 0.03125 -0.390625zm2.1796875 0.859375q-0.015625 0.109375 -0.03125 0.203125q0 0.078125 0 0.171875q0 0.421875 0.25 0.65625q0.25 0.21875 0.78125 0.21875q0.34375 0 0.6875 -0.046875q0.34375 -0.046875 0.609375 -0.125l0 0.5625q-0.296875 0.078125 -0.671875 0.125q-0.359375 0.0625 -0.734375 0.0625q-0.828125 0 -1.21875 -0.375q-0.390625 -0.375 -0.390625 -1.078125q0 -0.53125 0.15625 -1.0q0.171875 -0.484375 0.46875 -0.84375q0.296875 -0.359375 0.703125 -0.5625q0.40625 -0.21875 0.890625 -0.21875q0.328125 0 0.59375 0.078125q0.265625 0.078125 0.4375 0.21875q0.1875 0.140625 0.28125 0.328125q0.09375 0.1875 0.09375 0.390625q0 0.28125 -0.125 0.515625q-0.125 0.21875 -0.390625 0.390625q-0.25 0.15625 -0.65625 0.25q-0.40625 0.078125 -1.0 0.078125l-0.734375 0zm0.734375 -0.515625q0.4375 0 0.71875 -0.046875q0.28125 -0.0625 0.4375 -0.140625q0.171875 -0.09375 0.234375 -0.203125q0.078125 -0.125 0.078125 -0.25q0 -0.109375 -0.046875 -0.203125q-0.046875 -0.109375 -0.140625 -0.171875q-0.09375 -0.078125 -0.234375 -0.125q-0.125 -0.046875 -0.3125 -0.046875q-0.265625 0 -0.46875 0.09375q-0.203125 0.078125 -0.375 0.234375q-0.171875 0.15625 -0.296875 0.375q-0.125 0.21875 -0.21875 0.484375l0.625 0zm3.3515625 0.140625q0.015625 -0.078125 0.03125 -0.1875q0.015625 -0.125 0.03125 -0.328125q0.03125 -0.203125 0.0625 -0.515625q0.046875 -0.3125 0.09375 -0.765625l0.640625 0l-0.109375 0.90625q0.140625 -0.203125 0.296875 -0.375q0.171875 -0.1875 0.359375 -0.3125q0.1875 -0.140625 0.40625 -0.21875q0.234375 -0.078125 0.5 -0.078125q0.65625 0 0.890625 0.40625q0.25 0.40625 0.078125 1.171875l-0.6875 0q0.0625 -0.28125 0.0625 -0.46875q0 -0.1875 -0.0625 -0.296875q-0.046875 -0.109375 -0.15625 -0.15625q-0.09375 -0.0625 -0.234375 -0.0625q-0.28125 0 -0.546875 0.171875q-0.265625 0.171875 -0.5 0.453125q-0.21875 0.265625 -0.375 0.609375q-0.15625 0.34375 -0.21875 0.6875l-0.296875 1.484375l-0.6875 0l0.421875 -2.125zm5.7109375 -2.890625q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.125 -0.1875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.234375 -0.046875q0.109375 0 0.203125 0.046875q0.09375 0.03125 0.15625 0.109375q0.078125 0.0625 0.109375 0.15625q0.03125 0.09375 0.03125 0.1875q0 0.125 -0.046875 0.234375q-0.03125 0.09375 -0.109375 0.171875q-0.078125 0.078125 -0.1875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.125 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.15625 -0.109375q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125zm1.609375 4.765625q-0.265625 0.15625 -0.5625 0.25q-0.296875 0.078125 -0.640625 0.078125q-0.28125 0 -0.46875 -0.078125q-0.1875 -0.09375 -0.296875 -0.25q-0.09375 -0.171875 -0.109375 -0.4375q0 -0.265625 0.078125 -0.65625l0.265625 -1.3125q0.046875 -0.21875 0.03125 -0.359375q0 -0.15625 -0.046875 -0.25q-0.03125 -0.09375 -0.109375 -0.125q-0.0625 -0.03125 -0.171875 -0.03125q-0.265625 0 -0.53125 0.109375q-0.25 0.09375 -0.515625 0.234375l0 -0.59375q0.265625 -0.15625 0.5625 -0.234375q0.3125 -0.09375 0.640625 -0.09375q0.28125 0 0.46875 0.078125q0.1875 0.078125 0.28125 0.25q0.109375 0.171875 0.109375 0.4375q0.015625 0.265625 -0.046875 0.640625l-0.265625 1.3125q-0.046875 0.234375 -0.046875 0.390625q0 0.140625 0.03125 0.234375q0.046875 0.078125 0.125 0.125q0.078125 0.03125 0.171875 0.03125q0.265625 0 0.515625 -0.09375q0.265625 -0.109375 0.53125 -0.25l0 0.59375zm2.4453125 0.296875q-0.28125 0 -0.484375 -0.03125q-0.1875 -0.015625 -0.359375 -0.0625l-0.328125 1.6562424l-0.6875 0l0.75 -3.7343674q0.015625 -0.078125 0.03125 -0.1875q0.015625 -0.125 0.046875 -0.328125q0.03125 -0.203125 0.0625 -0.515625q0.03125 -0.3125 0.078125 -0.765625l0.640625 0l-0.09375 0.90625q0.265625 -0.46875 0.640625 -0.71875q0.390625 -0.265625 0.84375 -0.265625q0.28125 0 0.484375 0.109375q0.21875 0.09375 0.34375 0.265625q0.140625 0.171875 0.203125 0.421875q0.078125 0.234375 0.078125 0.53125q0 0.5625 -0.15625 1.0625q-0.140625 0.484375 -0.4375 0.859375q-0.28125 0.359375 -0.703125 0.578125q-0.40625 0.21875 -0.953125 0.21875zm1.5625 -2.65625q0 -0.375 -0.140625 -0.578125q-0.125 -0.21875 -0.40625 -0.21875q-0.171875 0 -0.34375 0.078125q-0.15625 0.078125 -0.328125 0.21875q-0.15625 0.140625 -0.296875 0.328125q-0.140625 0.1875 -0.265625 0.40625q-0.109375 0.203125 -0.203125 0.4375q-0.09375 0.21875 -0.140625 0.453125l-0.15625 0.8125q0.1875 0.078125 0.375 0.125q0.203125 0.046875 0.359375 0.046875q0.328125 0 0.5625 -0.109375q0.234375 -0.109375 0.40625 -0.28125q0.171875 -0.1875 0.28125 -0.40625q0.109375 -0.234375 0.171875 -0.46875q0.0625 -0.234375 0.09375 -0.453125q0.03125 -0.21875 0.03125 -0.390625zm4.4296875 2.609375l-0.671875 0l0.5 -2.5q0.09375 -0.484375 -0.015625 -0.6875q-0.109375 -0.21875 -0.421875 -0.21875q-0.25 0 -0.5 0.171875q-0.25 0.171875 -0.46875 0.453125q-0.203125 0.265625 -0.375 0.609375q-0.15625 0.34375 -0.21875 0.6875l-0.296875 1.484375l-0.6875 0l1.109375 -5.515625l0.6875 0l-0.328125 1.59375l-0.21875 0.828125q0.109375 -0.203125 0.265625 -0.359375q0.15625 -0.171875 0.328125 -0.28125q0.1875 -0.125 0.390625 -0.1875q0.21875 -0.078125 0.4375 -0.078125q0.625 0 0.875 0.375q0.265625 0.375 0.109375 1.109375l-0.5 2.515625zm4.7109375 1.6093674l-4.40625 0l0.109375 -0.5624924l4.40625 0l-0.109375 0.5624924zm1.5234375 -3.7343674q0.015625 -0.078125 0.03125 -0.1875q0.015625 -0.125 0.03125 -0.328125q0.03125 -0.203125 0.0625 -0.515625q0.046875 -0.3125 0.09375 -0.765625l0.640625 0l-0.109375 0.90625q0.140625 -0.203125 0.296875 -0.375q0.171875 -0.1875 0.359375 -0.3125q0.1875 -0.140625 0.40625 -0.21875q0.234375 -0.078125 0.5 -0.078125q0.65625 0 0.890625 0.40625q0.25 0.40625 0.078125 1.171875l-0.6875 0q0.0625 -0.28125 0.0625 -0.46875q0 -0.1875 -0.0625 -0.296875q-0.046875 -0.109375 -0.15625 -0.15625q-0.09375 -0.0625 -0.234375 -0.0625q-0.28125 0 -0.546875 0.171875q-0.265625 0.171875 -0.5 0.453125q-0.21875 0.265625 -0.375 0.609375q-0.15625 0.34375 -0.21875 0.6875l-0.296875 1.484375l-0.6875 0l0.421875 -2.125zm4.7109375 0.375q-0.015625 0.109375 -0.03125 0.203125q0 0.078125 0 0.171875q0 0.421875 0.25 0.65625q0.25 0.21875 0.78125 0.21875q0.34375 0 0.6875 -0.046875q0.34375 -0.046875 0.609375 -0.125l0 0.5625q-0.296875 0.078125 -0.671875 0.125q-0.359375 0.0625 -0.734375 0.0625q-0.828125 0 -1.21875 -0.375q-0.390625 -0.375 -0.390625 -1.078125q0 -0.53125 0.15625 -1.0q0.171875 -0.484375 0.46875 -0.84375q0.296875 -0.359375 0.703125 -0.5625q0.40625 -0.21875 0.890625 -0.21875q0.328125 0 0.59375 0.078125q0.265625 0.078125 0.4375 0.21875q0.1875 0.140625 0.28125 0.328125q0.09375 0.1875 0.09375 0.390625q0 0.28125 -0.125 0.515625q-0.125 0.21875 -0.390625 0.390625q-0.25 0.15625 -0.65625 0.25q-0.40625 0.078125 -1.0 0.078125l-0.734375 0zm0.734375 -0.515625q0.4375 0 0.71875 -0.046875q0.28125 -0.0625 0.4375 -0.140625q0.171875 -0.09375 0.234375 -0.203125q0.078125 -0.125 0.078125 -0.25q0 -0.109375 -0.046875 -0.203125q-0.046875 -0.109375 -0.140625 -0.171875q-0.09375 -0.078125 -0.234375 -0.125q-0.125 -0.046875 -0.3125 -0.046875q-0.265625 0 -0.46875 0.09375q-0.203125 0.078125 -0.375 0.234375q-0.171875 0.15625 -0.296875 0.375q-0.125 0.21875 -0.21875 0.484375l0.625 0zm5.1953125 2.34375q0.0625 -0.25 0.125 -0.484375q0.0625 -0.25 0.125 -0.453125q-0.109375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.34375 0.296875q-0.1875 0.109375 -0.40625 0.171875q-0.203125 0.078125 -0.421875 0.078125q-0.28125 0 -0.5 -0.09375q-0.203125 -0.109375 -0.34375 -0.28125q-0.125 -0.171875 -0.203125 -0.40625q-0.0625 -0.25 -0.0625 -0.546875q0 -0.5625 0.140625 -1.046875q0.15625 -0.5 0.4375 -0.875q0.28125 -0.375 0.703125 -0.578125q0.421875 -0.21875 0.96875 -0.21875q0.25 0 0.484375 0.03125q0.234375 0.03125 0.4375 0.09375l0.625 -0.15625l-0.84375 4.203125q-0.0625 0.328125 -0.203125 0.578125q-0.125 0.265625 -0.34375 0.453125q-0.21875 0.20311737 -0.546875 0.29686737q-0.328125 0.109375 -0.75 0.109375q-0.453125 0 -0.796875 -0.125q-0.328125 -0.109375 -0.53125 -0.24999237l0.34375 -0.5q0.234375 0.140625 0.484375 0.234375q0.25 0.09375 0.5625 0.09375q0.296875 0 0.484375 -0.078125q0.203125 -0.078125 0.328125 -0.21875q0.125 -0.140625 0.1875 -0.328125q0.078125 -0.1875 0.125 -0.390625zm-1.609375 -1.390625q0 0.375 0.125 0.59375q0.140625 0.203125 0.421875 0.203125q0.171875 0 0.328125 -0.078125q0.171875 -0.078125 0.328125 -0.21875q0.171875 -0.140625 0.3125 -0.3125q0.140625 -0.1875 0.25 -0.390625q0.125 -0.21875 0.203125 -0.4375q0.09375 -0.234375 0.140625 -0.453125l0.171875 -0.84375q-0.1875 -0.078125 -0.390625 -0.125q-0.1875 -0.046875 -0.34375 -0.046875q-0.328125 0 -0.5625 0.109375q-0.234375 0.109375 -0.40625 0.296875q-0.171875 0.171875 -0.28125 0.40625q-0.109375 0.21875 -0.1875 0.453125q-0.0625 0.234375 -0.09375 0.453125q-0.015625 0.21875 -0.015625 0.390625zm6.8828125 1.3125l-3.359375 0l0.125 -0.609375l1.578125 -1.328125q0.453125 -0.375 0.71875 -0.65625q0.28125 -0.28125 0.4375 -0.5q0.15625 -0.234375 0.203125 -0.421875q0.0625 -0.1875 0.0625 -0.359375q0 -0.3125 -0.1875 -0.5q-0.171875 -0.203125 -0.546875 -0.203125q-0.3125 0 -0.609375 0.15625q-0.296875 0.140625 -0.5625 0.359375l-0.34375 -0.4375q0.171875 -0.15625 0.359375 -0.28125q0.1875 -0.125 0.390625 -0.203125q0.203125 -0.09375 0.421875 -0.140625q0.21875 -0.046875 0.46875 -0.046875q0.296875 0 0.53125 0.09375q0.25 0.078125 0.421875 0.234375q0.1875 0.15625 0.28125 0.390625q0.09375 0.21875 0.09375 0.5q0 0.3125 -0.09375 0.59375q-0.078125 0.265625 -0.28125 0.546875q-0.203125 0.265625 -0.53125 0.578125q-0.3125 0.296875 -0.765625 0.6875l-1.125 0.890625l2.453125 0l-0.140625 0.65625zm4.2421875 0l-0.671875 0l0.5 -2.5q0.09375 -0.484375 -0.015625 -0.6875q-0.109375 -0.21875 -0.421875 -0.21875q-0.25 0 -0.5 0.171875q-0.25 0.171875 -0.46875 0.453125q-0.203125 0.265625 -0.375 0.609375q-0.15625 0.34375 -0.21875 0.6875l-0.296875 1.484375l-0.6875 0l1.109375 -5.515625l0.6875 0l-0.328125 1.59375l-0.21875 0.828125q0.109375 -0.203125 0.265625 -0.359375q0.15625 -0.171875 0.328125 -0.28125q0.1875 -0.125 0.390625 -0.1875q0.21875 -0.078125 0.4375 -0.078125q0.625 0 0.875 0.375q0.265625 0.375 0.109375 1.109375l-0.5 2.515625zm5.5234375 -3.921875q-0.03125 0.515625 -0.140625 1.078125q-0.109375 0.546875 -0.296875 1.078125q-0.171875 0.515625 -0.421875 0.984375q-0.25 0.453125 -0.5625 0.78125l-0.578125 0l-0.265625 -1.640625l-0.03125 -0.40625l-0.203125 0.421875l-0.890625 1.625l-0.828125 0l0.265625 -3.921875l0.65625 0l-0.234375 2.65625l-0.0625 0.59375l0.28125 -0.515625l0.9375 -1.765625l0.484375 0l0.28125 1.75l0.078125 0.515625q0.1875 -0.296875 0.34375 -0.671875q0.15625 -0.375 0.25 -0.796875q0.109375 -0.421875 0.171875 -0.859375q0.0625 -0.453125 0.09375 -0.90625l0.671875 0zm3.5859375 5.5312424l-4.40625 0l0.109375 -0.5624924l4.40625 0l-0.109375 0.5624924zm4.1640625 -1.6718674q-0.234375 0.0625 -0.484375 0.09375q-0.234375 0.03125 -0.421875 0.03125q-0.40625 0 -0.6875 -0.09375q-0.28125 -0.09375 -0.453125 -0.28125q-0.15625 -0.1875 -0.203125 -0.484375q-0.03125 -0.3125 0.0625 -0.75l0.359375 -1.8125l-1.109375 0l0.125 -0.5625l1.09375 0l0.21875 -1.078125l0.71875 -0.171875l-0.265625 1.25l1.765625 0l-0.109375 0.5625l-1.765625 0l-0.359375 1.84375q-0.0625 0.265625 -0.046875 0.453125q0.015625 0.1875 0.09375 0.3125q0.078125 0.125 0.234375 0.1875q0.15625 0.046875 0.40625 0.046875q0.140625 0 0.359375 -0.03125q0.21875 -0.03125 0.46875 -0.09375l0 0.578125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m496.0 280.0l128.0 0l0 24.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m506.78125 294.72687q-0.28125 0 -0.484375 -0.03125q-0.1875 -0.015625 -0.359375 -0.0625l-0.328125 1.65625l-0.6875 0l0.75 -3.734375q0.015625 -0.078125 0.03125 -0.1875q0.015625 -0.125 0.046875 -0.328125q0.03125 -0.203125 0.0625 -0.515625q0.03125 -0.3125 0.078125 -0.765625l0.640625 0l-0.09375 0.90625q0.265625 -0.46875 0.640625 -0.71875q0.390625 -0.265625 0.84375 -0.265625q0.28125 0 0.484375 0.109375q0.21875 0.09375 0.34375 0.265625q0.140625 0.171875 0.203125 0.421875q0.078125 0.234375 0.078125 0.53125q0 0.5625 -0.15625 1.0625q-0.140625 0.484375 -0.4375 0.859375q-0.28125 0.359375 -0.703125 0.578125q-0.40625 0.21875 -0.953125 0.21875zm1.5625 -2.65625q0 -0.375 -0.140625 -0.578125q-0.125 -0.21875 -0.40625 -0.21875q-0.171875 0 -0.34375 0.078125q-0.15625 0.078125 -0.328125 0.21875q-0.15625 0.140625 -0.296875 0.328125q-0.140625 0.1875 -0.265625 0.40625q-0.109375 0.203125 -0.203125 0.4375q-0.09375 0.21875 -0.140625 0.453125l-0.15625 0.8125q0.1875 0.078125 0.375 0.125q0.203125 0.046875 0.359375 0.046875q0.328125 0 0.5625 -0.109375q0.234375 -0.109375 0.40625 -0.28125q0.171875 -0.1875 0.28125 -0.40625q0.109375 -0.234375 0.171875 -0.46875q0.0625 -0.234375 0.09375 -0.453125q0.03125 -0.21875 0.03125 -0.390625zm2.1796875 0.859375q-0.015625 0.109375 -0.03125 0.203125q0 0.078125 0 0.171875q0 0.421875 0.25 0.65625q0.25 0.21875 0.78125 0.21875q0.34375 0 0.6875 -0.046875q0.34375 -0.046875 0.609375 -0.125l0 0.5625q-0.296875 0.078125 -0.671875 0.125q-0.359375 0.0625 -0.734375 0.0625q-0.828125 0 -1.21875 -0.375q-0.390625 -0.375 -0.390625 -1.078125q0 -0.53125 0.15625 -1.0q0.171875 -0.484375 0.46875 -0.84375q0.296875 -0.359375 0.703125 -0.5625q0.40625 -0.21875 0.890625 -0.21875q0.328125 0 0.59375 0.078125q0.265625 0.078125 0.4375 0.21875q0.1875 0.140625 0.28125 0.328125q0.09375 0.1875 0.09375 0.390625q0 0.28125 -0.125 0.515625q-0.125 0.21875 -0.390625 0.390625q-0.25 0.15625 -0.65625 0.25q-0.40625 0.078125 -1.0 0.078125l-0.734375 0zm0.734375 -0.515625q0.4375 0 0.71875 -0.046875q0.28125 -0.0625 0.4375 -0.140625q0.171875 -0.09375 0.234375 -0.203125q0.078125 -0.125 0.078125 -0.25q0 -0.109375 -0.046875 -0.203125q-0.046875 -0.109375 -0.140625 -0.171875q-0.09375 -0.078125 -0.234375 -0.125q-0.125 -0.046875 -0.3125 -0.046875q-0.265625 0 -0.46875 0.09375q-0.203125 0.078125 -0.375 0.234375q-0.171875 0.15625 -0.296875 0.375q-0.125 0.21875 -0.21875 0.484375l0.625 0zm3.3515625 0.140625q0.015625 -0.078125 0.03125 -0.1875q0.015625 -0.125 0.03125 -0.328125q0.03125 -0.203125 0.0625 -0.515625q0.046875 -0.3125 0.09375 -0.765625l0.640625 0l-0.109375 0.90625q0.140625 -0.203125 0.296875 -0.375q0.171875 -0.1875 0.359375 -0.3125q0.1875 -0.140625 0.40625 -0.21875q0.234375 -0.078125 0.5 -0.078125q0.65625 0 0.890625 0.40625q0.25 0.40625 0.078125 1.171875l-0.6875 0q0.0625 -0.28125 0.0625 -0.46875q0 -0.1875 -0.0625 -0.296875q-0.046875 -0.109375 -0.15625 -0.15625q-0.09375 -0.0625 -0.234375 -0.0625q-0.28125 0 -0.546875 0.171875q-0.265625 0.171875 -0.5 0.453125q-0.21875 0.265625 -0.375 0.609375q-0.15625 0.34375 -0.21875 0.6875l-0.296875 1.484375l-0.6875 0l0.421875 -2.125zm5.7109375 -2.890625q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.125 -0.1875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.234375 -0.046875q0.109375 0 0.203125 0.046875q0.09375 0.03125 0.15625 0.109375q0.078125 0.0625 0.109375 0.15625q0.03125 0.09375 0.03125 0.1875q0 0.125 -0.046875 0.234375q-0.03125 0.09375 -0.109375 0.171875q-0.078125 0.078125 -0.1875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.125 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.15625 -0.109375q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125zm1.609375 4.765625q-0.265625 0.15625 -0.5625 0.25q-0.296875 0.078125 -0.640625 0.078125q-0.28125 0 -0.46875 -0.078125q-0.1875 -0.09375 -0.296875 -0.25q-0.09375 -0.171875 -0.109375 -0.4375q0 -0.265625 0.078125 -0.65625l0.265625 -1.3125q0.046875 -0.21875 0.03125 -0.359375q0 -0.15625 -0.046875 -0.25q-0.03125 -0.09375 -0.109375 -0.125q-0.0625 -0.03125 -0.171875 -0.03125q-0.265625 0 -0.53125 0.109375q-0.25 0.09375 -0.515625 0.234375l0 -0.59375q0.265625 -0.15625 0.5625 -0.234375q0.3125 -0.09375 0.640625 -0.09375q0.28125 0 0.46875 0.078125q0.1875 0.078125 0.28125 0.25q0.109375 0.171875 0.109375 0.4375q0.015625 0.265625 -0.046875 0.640625l-0.265625 1.3125q-0.046875 0.234375 -0.046875 0.390625q0 0.140625 0.03125 0.234375q0.046875 0.078125 0.125 0.125q0.078125 0.03125 0.171875 0.03125q0.265625 0 0.515625 -0.09375q0.265625 -0.109375 0.53125 -0.25l0 0.59375zm2.4453125 0.296875q-0.28125 0 -0.484375 -0.03125q-0.1875 -0.015625 -0.359375 -0.0625l-0.328125 1.65625l-0.6875 0l0.75 -3.734375q0.015625 -0.078125 0.03125 -0.1875q0.015625 -0.125 0.046875 -0.328125q0.03125 -0.203125 0.0625 -0.515625q0.03125 -0.3125 0.078125 -0.765625l0.640625 0l-0.09375 0.90625q0.265625 -0.46875 0.640625 -0.71875q0.390625 -0.265625 0.84375 -0.265625q0.28125 0 0.484375 0.109375q0.21875 0.09375 0.34375 0.265625q0.140625 0.171875 0.203125 0.421875q0.078125 0.234375 0.078125 0.53125q0 0.5625 -0.15625 1.0625q-0.140625 0.484375 -0.4375 0.859375q-0.28125 0.359375 -0.703125 0.578125q-0.40625 0.21875 -0.953125 0.21875zm1.5625 -2.65625q0 -0.375 -0.140625 -0.578125q-0.125 -0.21875 -0.40625 -0.21875q-0.171875 0 -0.34375 0.078125q-0.15625 0.078125 -0.328125 0.21875q-0.15625 0.140625 -0.296875 0.328125q-0.140625 0.1875 -0.265625 0.40625q-0.109375 0.203125 -0.203125 0.4375q-0.09375 0.21875 -0.140625 0.453125l-0.15625 0.8125q0.1875 0.078125 0.375 0.125q0.203125 0.046875 0.359375 0.046875q0.328125 0 0.5625 -0.109375q0.234375 -0.109375 0.40625 -0.28125q0.171875 -0.1875 0.28125 -0.40625q0.109375 -0.234375 0.171875 -0.46875q0.0625 -0.234375 0.09375 -0.453125q0.03125 -0.21875 0.03125 -0.390625zm4.4296875 2.609375l-0.671875 0l0.5 -2.5q0.09375 -0.484375 -0.015625 -0.6875q-0.109375 -0.21875 -0.421875 -0.21875q-0.25 0 -0.5 0.171875q-0.25 0.171875 -0.46875 0.453125q-0.203125 0.265625 -0.375 0.609375q-0.15625 0.34375 -0.21875 0.6875l-0.296875 1.484375l-0.6875 0l1.109375 -5.515625l0.6875 0l-0.328125 1.59375l-0.21875 0.828125q0.109375 -0.203125 0.265625 -0.359375q0.15625 -0.171875 0.328125 -0.28125q0.1875 -0.125 0.390625 -0.1875q0.21875 -0.078125 0.4375 -0.078125q0.625 0 0.875 0.375q0.265625 0.375 0.109375 1.109375l-0.5 2.515625zm4.7109375 1.609375l-4.40625 0l0.109375 -0.5625l4.40625 0l-0.109375 0.5625zm4.0859375 -1.609375l-0.671875 0l0.5 -2.5q0.09375 -0.484375 -0.015625 -0.6875q-0.109375 -0.21875 -0.421875 -0.21875q-0.25 0 -0.5 0.171875q-0.25 0.171875 -0.46875 0.453125q-0.203125 0.265625 -0.375 0.609375q-0.15625 0.34375 -0.21875 0.6875l-0.296875 1.484375l-0.6875 0l1.109375 -5.515625l0.6875 0l-0.328125 1.59375l-0.21875 0.828125q0.109375 -0.203125 0.265625 -0.359375q0.15625 -0.171875 0.328125 -0.28125q0.1875 -0.125 0.390625 -0.1875q0.21875 -0.078125 0.4375 -0.078125q0.625 0 0.875 0.375q0.265625 0.375 0.109375 1.109375l-0.5 2.515625zm5.5234375 -3.921875q-0.03125 0.515625 -0.140625 1.078125q-0.109375 0.546875 -0.296875 1.078125q-0.171875 0.515625 -0.421875 0.984375q-0.25 0.453125 -0.5625 0.78125l-0.578125 0l-0.265625 -1.640625l-0.03125 -0.40625l-0.203125 0.421875l-0.890625 1.625l-0.828125 0l0.265625 -3.921875l0.65625 0l-0.234375 2.65625l-0.0625 0.59375l0.28125 -0.515625l0.9375 -1.765625l0.484375 0l0.28125 1.75l0.078125 0.515625q0.1875 -0.296875 0.34375 -0.671875q0.15625 -0.375 0.25 -0.796875q0.109375 -0.421875 0.171875 -0.859375q0.0625 -0.453125 0.09375 -0.90625l0.671875 0zm3.4296875 3.921875l-3.359375 0l0.125 -0.609375l1.578125 -1.328125q0.453125 -0.375 0.71875 -0.65625q0.28125 -0.28125 0.4375 -0.5q0.15625 -0.234375 0.203125 -0.421875q0.0625 -0.1875 0.0625 -0.359375q0 -0.3125 -0.1875 -0.5q-0.171875 -0.203125 -0.546875 -0.203125q-0.3125 0 -0.609375 0.15625q-0.296875 0.140625 -0.5625 0.359375l-0.34375 -0.4375q0.171875 -0.15625 0.359375 -0.28125q0.1875 -0.125 0.390625 -0.203125q0.203125 -0.09375 0.421875 -0.140625q0.21875 -0.046875 0.46875 -0.046875q0.296875 0 0.53125 0.09375q0.25 0.078125 0.421875 0.234375q0.1875 0.15625 0.28125 0.390625q0.09375 0.21875 0.09375 0.5q0 0.3125 -0.09375 0.59375q-0.078125 0.265625 -0.28125 0.546875q-0.203125 0.265625 -0.53125 0.578125q-0.3125 0.296875 -0.765625 0.6875l-1.125 0.890625l2.453125 0l-0.140625 0.65625zm1.6796875 -2.125q0.015625 -0.078125 0.03125 -0.1875q0.015625 -0.125 0.03125 -0.328125q0.03125 -0.203125 0.0625 -0.515625q0.046875 -0.3125 0.09375 -0.765625l0.640625 0l-0.109375 0.90625q0.140625 -0.203125 0.296875 -0.375q0.171875 -0.1875 0.359375 -0.3125q0.1875 -0.140625 0.40625 -0.21875q0.234375 -0.078125 0.5 -0.078125q0.65625 0 0.890625 0.40625q0.25 0.40625 0.078125 1.171875l-0.6875 0q0.0625 -0.28125 0.0625 -0.46875q0 -0.1875 -0.0625 -0.296875q-0.046875 -0.109375 -0.15625 -0.15625q-0.09375 -0.0625 -0.234375 -0.0625q-0.28125 0 -0.546875 0.171875q-0.265625 0.171875 -0.5 0.453125q-0.21875 0.265625 -0.375 0.609375q-0.15625 0.34375 -0.21875 0.6875l-0.296875 1.484375l-0.6875 0l0.421875 -2.125zm4.7109375 0.375q-0.015625 0.109375 -0.03125 0.203125q0 0.078125 0 0.171875q0 0.421875 0.25 0.65625q0.25 0.21875 0.78125 0.21875q0.34375 0 0.6875 -0.046875q0.34375 -0.046875 0.609375 -0.125l0 0.5625q-0.296875 0.078125 -0.671875 0.125q-0.359375 0.0625 -0.734375 0.0625q-0.828125 0 -1.21875 -0.375q-0.390625 -0.375 -0.390625 -1.078125q0 -0.53125 0.15625 -1.0q0.171875 -0.484375 0.46875 -0.84375q0.296875 -0.359375 0.703125 -0.5625q0.40625 -0.21875 0.890625 -0.21875q0.328125 0 0.59375 0.078125q0.265625 0.078125 0.4375 0.21875q0.1875 0.140625 0.28125 0.328125q0.09375 0.1875 0.09375 0.390625q0 0.28125 -0.125 0.515625q-0.125 0.21875 -0.390625 0.390625q-0.25 0.15625 -0.65625 0.25q-0.40625 0.078125 -1.0 0.078125l-0.734375 0zm0.734375 -0.515625q0.4375 0 0.71875 -0.046875q0.28125 -0.0625 0.4375 -0.140625q0.171875 -0.09375 0.234375 -0.203125q0.078125 -0.125 0.078125 -0.25q0 -0.109375 -0.046875 -0.203125q-0.046875 -0.109375 -0.140625 -0.171875q-0.09375 -0.078125 -0.234375 -0.125q-0.125 -0.046875 -0.3125 -0.046875q-0.265625 0 -0.46875 0.09375q-0.203125 0.078125 -0.375 0.234375q-0.171875 0.15625 -0.296875 0.375q-0.125 0.21875 -0.21875 0.484375l0.625 0zm5.1953125 2.34375q0.0625 -0.25 0.125 -0.484375q0.0625 -0.25 0.125 -0.453125q-0.109375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.34375 0.296875q-0.1875 0.109375 -0.40625 0.171875q-0.203125 0.078125 -0.421875 0.078125q-0.28125 0 -0.5 -0.09375q-0.203125 -0.109375 -0.34375 -0.28125q-0.125 -0.171875 -0.203125 -0.40625q-0.0625 -0.25 -0.0625 -0.546875q0 -0.5625 0.140625 -1.046875q0.15625 -0.5 0.4375 -0.875q0.28125 -0.375 0.703125 -0.578125q0.421875 -0.21875 0.96875 -0.21875q0.25 0 0.484375 0.03125q0.234375 0.03125 0.4375 0.09375l0.625 -0.15625l-0.84375 4.203125q-0.0625 0.328125 -0.203125 0.578125q-0.125 0.265625 -0.34375 0.453125q-0.21875 0.203125 -0.546875 0.296875q-0.328125 0.109375 -0.75 0.109375q-0.453125 0 -0.796875 -0.125q-0.328125 -0.109375 -0.53125 -0.25l0.34375 -0.5q0.234375 0.140625 0.484375 0.234375q0.25 0.09375 0.5625 0.09375q0.296875 0 0.484375 -0.078125q0.203125 -0.078125 0.328125 -0.21875q0.125 -0.140625 0.1875 -0.328125q0.078125 -0.1875 0.125 -0.390625zm-1.609375 -1.390625q0 0.375 0.125 0.59375q0.140625 0.203125 0.421875 0.203125q0.171875 0 0.328125 -0.078125q0.171875 -0.078125 0.328125 -0.21875q0.171875 -0.140625 0.3125 -0.3125q0.140625 -0.1875 0.25 -0.390625q0.125 -0.21875 0.203125 -0.4375q0.09375 -0.234375 0.140625 -0.453125l0.171875 -0.84375q-0.1875 -0.078125 -0.390625 -0.125q-0.1875 -0.046875 -0.34375 -0.046875q-0.328125 0 -0.5625 0.109375q-0.234375 0.109375 -0.40625 0.296875q-0.171875 0.171875 -0.28125 0.40625q-0.109375 0.21875 -0.1875 0.453125q-0.0625 0.234375 -0.09375 0.453125q-0.015625 0.21875 -0.015625 0.390625zm7.0390625 2.921875l-4.40625 0l0.109375 -0.5625l4.40625 0l-0.109375 0.5625zm4.1640625 -1.671875q-0.234375 0.0625 -0.484375 0.09375q-0.234375 0.03125 -0.421875 0.03125q-0.40625 0 -0.6875 -0.09375q-0.28125 -0.09375 -0.453125 -0.28125q-0.15625 -0.1875 -0.203125 -0.484375q-0.03125 -0.3125 0.0625 -0.75l0.359375 -1.8125l-1.109375 0l0.125 -0.5625l1.09375 0l0.21875 -1.078125l0.71875 -0.171875l-0.265625 1.25l1.765625 0l-0.109375 0.5625l-1.765625 0l-0.359375 1.84375q-0.0625 0.265625 -0.046875 0.453125q0.015625 0.1875 0.09375 0.3125q0.078125 0.125 0.234375 0.1875q0.15625 0.046875 0.40625 0.046875q0.140625 0 0.359375 -0.03125q0.21875 -0.03125 0.46875 -0.09375l0 0.578125z" fill-rule="nonzero"/><path fill="#ffffff" d="m104.0 40.0l56.0 0l0 64.0l-56.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m104.0 40.0l56.0 0l0 64.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m116.140625 69.68l-0.015625 -0.53125q-0.3125 0.3125 -0.640625 0.46875q-0.328125 0.140625 -0.703125 0.140625q-0.328125 0 -0.578125 -0.09375q-0.234375 -0.09375 -0.390625 -0.234375q-0.140625 -0.15625 -0.21875 -0.359375q-0.078125 -0.203125 -0.078125 -0.4375q0 -0.59375 0.4375 -0.921875q0.453125 -0.34375 1.3125 -0.34375l0.8125 0l0 -0.34375q0 -0.34375 -0.234375 -0.546875q-0.21875 -0.21875 -0.671875 -0.21875q-0.328125 0 -0.65625 0.078125q-0.328125 0.078125 -0.671875 0.21875l0 -0.625q0.140625 -0.046875 0.296875 -0.078125q0.15625 -0.046875 0.328125 -0.078125q0.171875 -0.046875 0.359375 -0.0625q0.203125 -0.03125 0.390625 -0.03125q0.359375 0 0.640625 0.078125q0.296875 0.078125 0.484375 0.25q0.203125 0.15625 0.296875 0.40625q0.109375 0.234375 0.109375 0.5625l0 2.703125l-0.609375 0zm-0.0625 -1.78125l-0.875 0q-0.25 0 -0.4375 0.046875q-0.171875 0.046875 -0.296875 0.140625q-0.109375 0.09375 -0.171875 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.125 0.03125 0.234375q0.046875 0.09375 0.125 0.171875q0.078125 0.078125 0.203125 0.125q0.125 0.046875 0.296875 0.046875q0.234375 0 0.53125 -0.140625q0.3125 -0.140625 0.65625 -0.453125l0 -0.6875zm1.7421875 -0.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm3.6953125 0.046875q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm4.0546875 -2.0l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.8984375 1.1875q0 0.21875 -0.078125 0.390625q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.21875q-0.15625 0.078125 -0.34375 0.140625q-0.171875 0.0625 -0.375 0.09375q-0.1875 0.03125 -0.375 0.03125q-0.390625 0 -0.734375 -0.046875q-0.328125 -0.03125 -0.640625 -0.109375l0 -0.625q0.34375 0.09375 0.671875 0.15625q0.34375 0.046875 0.6875 0.046875q0.484375 0 0.71875 -0.125q0.234375 -0.140625 0.234375 -0.390625q0 -0.109375 -0.046875 -0.1875q-0.03125 -0.09375 -0.125 -0.15625q-0.09375 -0.078125 -0.3125 -0.15625q-0.203125 -0.09375 -0.546875 -0.203125q-0.265625 -0.078125 -0.5 -0.171875q-0.21875 -0.09375 -0.390625 -0.234375q-0.15625 -0.140625 -0.25 -0.3125q-0.09375 -0.1875 -0.09375 -0.4375q0 -0.171875 0.078125 -0.359375q0.078125 -0.203125 0.25 -0.359375q0.1875 -0.171875 0.5 -0.28125q0.3125 -0.125 0.78125 -0.125q0.234375 0 0.515625 0.03125q0.28125 0.03125 0.578125 0.09375l0 0.59375q-0.3125 -0.078125 -0.609375 -0.109375q-0.28125 -0.03125 -0.484375 -0.03125q-0.265625 0 -0.4375 0.046875q-0.171875 0.03125 -0.28125 0.09375q-0.109375 0.0625 -0.15625 0.15625q-0.046875 0.09375 -0.046875 0.203125q0 0.109375 0.046875 0.203125q0.046875 0.078125 0.15625 0.15625q0.109375 0.078125 0.296875 0.15625q0.203125 0.078125 0.53125 0.171875q0.34375 0.109375 0.578125 0.21875q0.25 0.109375 0.390625 0.25q0.15625 0.125 0.21875 0.296875q0.0625 0.171875 0.0625 0.390625zm4.3984375 0q0 0.21875 -0.078125 0.390625q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.21875q-0.15625 0.078125 -0.34375 0.140625q-0.171875 0.0625 -0.375 0.09375q-0.1875 0.03125 -0.375 0.03125q-0.390625 0 -0.734375 -0.046875q-0.328125 -0.03125 -0.640625 -0.109375l0 -0.625q0.34375 0.09375 0.671875 0.15625q0.34375 0.046875 0.6875 0.046875q0.484375 0 0.71875 -0.125q0.234375 -0.140625 0.234375 -0.390625q0 -0.109375 -0.046875 -0.1875q-0.03125 -0.09375 -0.125 -0.15625q-0.09375 -0.078125 -0.3125 -0.15625q-0.203125 -0.09375 -0.546875 -0.203125q-0.265625 -0.078125 -0.5 -0.171875q-0.21875 -0.09375 -0.390625 -0.234375q-0.15625 -0.140625 -0.25 -0.3125q-0.09375 -0.1875 -0.09375 -0.4375q0 -0.171875 0.078125 -0.359375q0.078125 -0.203125 0.25 -0.359375q0.1875 -0.171875 0.5 -0.28125q0.3125 -0.125 0.78125 -0.125q0.234375 0 0.515625 0.03125q0.28125 0.03125 0.578125 0.09375l0 0.59375q-0.3125 -0.078125 -0.609375 -0.109375q-0.28125 -0.03125 -0.484375 -0.03125q-0.265625 0 -0.4375 0.046875q-0.171875 0.03125 -0.28125 0.09375q-0.109375 0.0625 -0.15625 0.15625q-0.046875 0.09375 -0.046875 0.203125q0 0.109375 0.046875 0.203125q0.046875 0.078125 0.15625 0.15625q0.109375 0.078125 0.296875 0.15625q0.203125 0.078125 0.53125 0.171875q0.34375 0.109375 0.578125 0.21875q0.25 0.109375 0.390625 0.25q0.15625 0.125 0.21875 0.296875q0.0625 0.171875 0.0625 0.390625z" fill-rule="nonzero"/><path fill="#000000" d="m114.96875 74.71125l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm6.4765625 2.96875q0 0.46875 -0.140625 0.84375q-0.125 0.375 -0.375 0.65625q-0.234375 0.265625 -0.578125 0.421875q-0.34375 0.15625 -0.796875 0.15625q-0.421875 0 -0.75 -0.125q-0.328125 -0.140625 -0.5625 -0.390625q-0.234375 -0.265625 -0.359375 -0.640625q-0.125 -0.375 -0.125 -0.859375q0 -0.453125 0.125 -0.828125q0.140625 -0.390625 0.375 -0.65625q0.25 -0.265625 0.59375 -0.421875q0.34375 -0.15625 0.78125 -0.15625q0.421875 0 0.75 0.140625q0.34375 0.125 0.578125 0.375q0.234375 0.25 0.359375 0.625q0.125 0.375 0.125 0.859375zm-0.703125 0.03125q0 -0.359375 -0.078125 -0.625q-0.078125 -0.28125 -0.234375 -0.453125q-0.140625 -0.1875 -0.359375 -0.28125q-0.203125 -0.09375 -0.46875 -0.09375q-0.3125 0 -0.53125 0.125q-0.203125 0.125 -0.34375 0.328125q-0.140625 0.1875 -0.203125 0.453125q-0.0625 0.265625 -0.0625 0.546875q0 0.375 0.078125 0.65625q0.078125 0.265625 0.21875 0.453125q0.15625 0.171875 0.359375 0.265625q0.21875 0.09375 0.484375 0.09375q0.296875 0 0.515625 -0.125q0.21875 -0.125 0.359375 -0.3125q0.140625 -0.203125 0.203125 -0.46875q0.0625 -0.265625 0.0625 -0.5625zm5.1015625 -0.03125q0 0.46875 -0.140625 0.84375q-0.125 0.375 -0.375 0.65625q-0.234375 0.265625 -0.578125 0.421875q-0.34375 0.15625 -0.796875 0.15625q-0.421875 0 -0.75 -0.125q-0.328125 -0.140625 -0.5625 -0.390625q-0.234375 -0.265625 -0.359375 -0.640625q-0.125 -0.375 -0.125 -0.859375q0 -0.453125 0.125 -0.828125q0.140625 -0.390625 0.375 -0.65625q0.25 -0.265625 0.59375 -0.421875q0.34375 -0.15625 0.78125 -0.15625q0.421875 0 0.75 0.140625q0.34375 0.125 0.578125 0.375q0.234375 0.25 0.359375 0.625q0.125 0.375 0.125 0.859375zm-0.703125 0.03125q0 -0.359375 -0.078125 -0.625q-0.078125 -0.28125 -0.234375 -0.453125q-0.140625 -0.1875 -0.359375 -0.28125q-0.203125 -0.09375 -0.46875 -0.09375q-0.3125 0 -0.53125 0.125q-0.203125 0.125 -0.34375 0.328125q-0.140625 0.1875 -0.203125 0.453125q-0.0625 0.265625 -0.0625 0.546875q0 0.375 0.078125 0.65625q0.078125 0.265625 0.21875 0.453125q0.15625 0.171875 0.359375 0.265625q0.21875 0.09375 0.484375 0.09375q0.296875 0 0.515625 -0.125q0.21875 -0.125 0.359375 -0.3125q0.140625 -0.203125 0.203125 -0.46875q0.0625 -0.265625 0.0625 -0.5625zm5.2109375 1.96875l-0.9375 0l-1.828125 -2.109375l0 2.109375l-0.671875 0l0 -5.515625l0.671875 0l0 3.390625l1.765625 -1.796875l0.890625 0l-1.828125 1.8125l1.9375 2.109375zm4.0234375 0l-0.609375 0l-0.03125 -0.640625q-0.171875 0.203125 -0.328125 0.34375q-0.15625 0.140625 -0.3125 0.21875q-0.15625 0.078125 -0.3125 0.109375q-0.15625 0.046875 -0.34375 0.046875q-0.59375 0 -0.90625 -0.359375q-0.3125 -0.359375 -0.3125 -1.078125l0 -2.5625l0.6875 0l0 2.5q0 0.90625 0.671875 0.90625q0.125 0 0.234375 -0.03125q0.125 -0.046875 0.25 -0.125q0.140625 -0.09375 0.28125 -0.25q0.15625 -0.15625 0.34375 -0.40625l0 -2.59375l0.6875 0l0 3.921875zm4.6015625 -2.03125q0 0.515625 -0.15625 0.90625q-0.140625 0.390625 -0.40625 0.65625q-0.25 0.25 -0.609375 0.390625q-0.34375 0.125 -0.75 0.125q-0.1875 0 -0.375 -0.015625q-0.1875 -0.015625 -0.375 -0.078125l0 1.65625l-0.6875 0l0 -5.53125l0.609375 0l0.046875 0.65625q0.28125 -0.40625 0.609375 -0.5625q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.203125 0.046875 0.40625 0.046875q0.546875 0 0.859375 -0.375q0.3125 -0.375 0.3125 -1.125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m160.0 48.0l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 48.0l20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m180.5729 48.0l-1.1245728 1.1245842l3.0897675 -1.1245842l-3.0897675 -1.1245842z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 56.0l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 56.0l20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m180.5729 56.0l-1.1245728 1.1245842l3.0897675 -1.1245842l-3.0897675 -1.1245842z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 64.0l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 64.0l20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m180.5729 64.0l-1.1245728 1.1245804l3.0897675 -1.1245804l-3.0897675 -1.1245842z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 72.0l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 72.0l20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m180.5729 72.0l-1.1245728 1.1245804l3.0897675 -1.1245804l-3.0897675 -1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 72.0l80.0 0l0 32.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m169.78125 86.758125l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm11.765625 -1.28125l-0.578125 3.921875l-0.828125 0l-0.5625 -1.640625l-0.109375 -0.40625l-0.125 0.421875l-0.546875 1.625l-0.796875 0l-0.578125 -3.921875l0.671875 0l0.328125 2.65625l0.0625 0.59375l0.171875 -0.515625l0.578125 -1.765625l0.484375 0l0.609375 1.75l0.171875 0.515625l0.0625 -0.546875l0.3125 -2.6875l0.671875 0zm4.0703125 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm2.1015625 -1.25q0.1875 0.015625 0.375 -0.03125q0.1875 -0.046875 0.328125 -0.125q0.15625 -0.078125 0.234375 -0.203125q0.09375 -0.125 0.09375 -0.296875q0 -0.15625 -0.0625 -0.25q-0.0625 -0.109375 -0.140625 -0.1875q-0.0625 -0.09375 -0.125 -0.1875q-0.046875 -0.109375 -0.046875 -0.265625q0 -0.09375 0.03125 -0.171875q0.03125 -0.09375 0.09375 -0.15625q0.0625 -0.078125 0.15625 -0.125q0.109375 -0.046875 0.25 -0.046875q0.140625 0 0.265625 0.0625q0.125 0.046875 0.21875 0.171875q0.09375 0.109375 0.140625 0.28125q0.0625 0.171875 0.0625 0.40625q0 0.328125 -0.125 0.625q-0.109375 0.296875 -0.34375 0.53125q-0.234375 0.234375 -0.59375 0.375q-0.34375 0.125 -0.8125 0.125l0 -0.53125zm7.1953125 2.4375q0 0.21875 -0.078125 0.390625q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.21875q-0.15625 0.078125 -0.34375 0.140625q-0.171875 0.0625 -0.375 0.09375q-0.1875 0.03125 -0.375 0.03125q-0.390625 0 -0.734375 -0.046875q-0.328125 -0.03125 -0.640625 -0.109375l0 -0.625q0.34375 0.09375 0.671875 0.15625q0.34375 0.046875 0.6875 0.046875q0.484375 0 0.71875 -0.125q0.234375 -0.140625 0.234375 -0.390625q0 -0.109375 -0.046875 -0.1875q-0.03125 -0.09375 -0.125 -0.15625q-0.09375 -0.078125 -0.3125 -0.15625q-0.203125 -0.09375 -0.546875 -0.203125q-0.265625 -0.078125 -0.5 -0.171875q-0.21875 -0.09375 -0.390625 -0.234375q-0.15625 -0.140625 -0.25 -0.3125q-0.09375 -0.1875 -0.09375 -0.4375q0 -0.171875 0.078125 -0.359375q0.078125 -0.203125 0.25 -0.359375q0.1875 -0.171875 0.5 -0.28125q0.3125 -0.125 0.78125 -0.125q0.234375 0 0.515625 0.03125q0.28125 0.03125 0.578125 0.09375l0 0.59375q-0.3125 -0.078125 -0.609375 -0.109375q-0.28125 -0.03125 -0.484375 -0.03125q-0.265625 0 -0.4375 0.046875q-0.171875 0.03125 -0.28125 0.09375q-0.109375 0.0625 -0.15625 0.15625q-0.046875 0.09375 -0.046875 0.203125q0 0.109375 0.046875 0.203125q0.046875 0.078125 0.15625 0.15625q0.109375 0.078125 0.296875 0.15625q0.203125 0.078125 0.53125 0.171875q0.34375 0.109375 0.578125 0.21875q0.25 0.109375 0.390625 0.25q0.15625 0.125 0.21875 0.296875q0.0625 0.171875 0.0625 0.390625z" fill-rule="nonzero"/><path fill="#ffffff" d="m120.0 192.0l0 96.0l-16.0 -8.0l0 -80.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.0 192.0l0 96.0l-16.0 -8.0l0 -80.0z" fill-rule="evenodd"/><path fill="#ffffff" d="m72.0 68.0l16.0 0l0 -4.0l8.0 8.0l-8.0 8.0l0 -4.0l-16.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m72.0 68.0l16.0 0l0 -4.0l8.0 8.0l-8.0 8.0l0 -4.0l-16.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m56.0 72.0l56.0 0l0 32.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m75.30469 86.758125q-0.03125 0.515625 -0.140625 1.078125q-0.109375 0.546875 -0.296875 1.078125q-0.171875 0.515625 -0.421875 0.984375q-0.25 0.453125 -0.5625 0.78125l-0.578125 0l-0.265625 -1.640625l-0.03125 -0.40625l-0.203125 0.421875l-0.890625 1.625l-0.828125 0l0.265625 -3.921875l0.65625 0l-0.234375 2.65625l-0.0625 0.59375l0.28125 -0.515625l0.9375 -1.765625l0.484375 0l0.28125 1.75l0.078125 0.515625q0.1875 -0.296875 0.34375 -0.671875q0.15625 -0.375 0.25 -0.796875q0.109375 -0.421875 0.171875 -0.859375q0.0625 -0.453125 0.09375 -0.90625l0.671875 0zm0.7109375 1.796875q0.015625 -0.078125 0.03125 -0.1875q0.015625 -0.125 0.03125 -0.328125q0.03125 -0.203125 0.0625 -0.515625q0.046875 -0.3125 0.09375 -0.765625l0.640625 0l-0.109375 0.90625q0.140625 -0.203125 0.296875 -0.375q0.171875 -0.1875 0.359375 -0.3125q0.1875 -0.140625 0.40625 -0.21875q0.234375 -0.078125 0.5 -0.078125q0.65625 0 0.890625 0.40625q0.25 0.40625 0.078125 1.171875l-0.6875 0q0.0625 -0.28125 0.0625 -0.46875q0 -0.1875 -0.0625 -0.296875q-0.046875 -0.109375 -0.15625 -0.15625q-0.09375 -0.0625 -0.234375 -0.0625q-0.28125 0 -0.546875 0.171875q-0.265625 0.171875 -0.5 0.453125q-0.21875 0.265625 -0.375 0.609375q-0.15625 0.34375 -0.21875 0.6875l-0.296875 1.484375l-0.6875 0l0.421875 -2.125zm5.7109375 -2.890625q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.125 -0.1875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.234375 -0.046875q0.109375 0 0.203125 0.046875q0.09375 0.03125 0.15625 0.109375q0.078125 0.0625 0.109375 0.15625q0.03125 0.09375 0.03125 0.1875q0 0.125 -0.046875 0.234375q-0.03125 0.09375 -0.109375 0.171875q-0.078125 0.078125 -0.1875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.125 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.15625 -0.109375q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125zm1.609375 4.765625q-0.265625 0.15625 -0.5625 0.25q-0.296875 0.078125 -0.640625 0.078125q-0.28125 0 -0.46875 -0.078125q-0.1875 -0.09375 -0.296875 -0.25q-0.09375 -0.171875 -0.109375 -0.4375q0 -0.265625 0.078125 -0.65625l0.265625 -1.3125q0.046875 -0.21875 0.03125 -0.359375q0 -0.15625 -0.046875 -0.25q-0.03125 -0.09375 -0.109375 -0.125q-0.0625 -0.03125 -0.171875 -0.03125q-0.265625 0 -0.53125 0.109375q-0.25 0.09375 -0.515625 0.234375l0 -0.59375q0.265625 -0.15625 0.5625 -0.234375q0.3125 -0.09375 0.640625 -0.09375q0.28125 0 0.46875 0.078125q0.1875 0.078125 0.28125 0.25q0.109375 0.171875 0.109375 0.4375q0.015625 0.265625 -0.046875 0.640625l-0.265625 1.3125q-0.046875 0.234375 -0.046875 0.390625q0 0.140625 0.03125 0.234375q0.046875 0.078125 0.125 0.125q0.078125 0.03125 0.171875 0.03125q0.265625 0 0.515625 -0.09375q0.265625 -0.109375 0.53125 -0.25l0 0.59375zm4.1171875 0.1875q-0.234375 0.0625 -0.484375 0.09375q-0.234375 0.03125 -0.421875 0.03125q-0.40625 0 -0.6875 -0.09375q-0.28125 -0.09375 -0.453125 -0.28125q-0.15625 -0.1875 -0.203125 -0.484375q-0.03125 -0.3125 0.0625 -0.75l0.359375 -1.8125l-1.109375 0l0.125 -0.5625l1.09375 0l0.21875 -1.078125l0.71875 -0.171875l-0.265625 1.25l1.765625 0l-0.109375 0.5625l-1.765625 0l-0.359375 1.84375q-0.0625 0.265625 -0.046875 0.453125q0.015625 0.1875 0.09375 0.3125q0.078125 0.125 0.234375 0.1875q0.15625 0.046875 0.40625 0.046875q0.140625 0 0.359375 -0.03125q0.21875 -0.03125 0.46875 -0.09375l0 0.578125zm2.0703125 -1.6875q-0.015625 0.109375 -0.03125 0.203125q0 0.078125 0 0.171875q0 0.421875 0.25 0.65625q0.25 0.21875 0.78125 0.21875q0.34375 0 0.6875 -0.046875q0.34375 -0.046875 0.609375 -0.125l0 0.5625q-0.296875 0.078125 -0.671875 0.125q-0.359375 0.0625 -0.734375 0.0625q-0.828125 0 -1.21875 -0.375q-0.390625 -0.375 -0.390625 -1.078125q0 -0.53125 0.15625 -1.0q0.171875 -0.484375 0.46875 -0.84375q0.296875 -0.359375 0.703125 -0.5625q0.40625 -0.21875 0.890625 -0.21875q0.328125 0 0.59375 0.078125q0.265625 0.078125 0.4375 0.21875q0.1875 0.140625 0.28125 0.328125q0.09375 0.1875 0.09375 0.390625q0 0.28125 -0.125 0.515625q-0.125 0.21875 -0.390625 0.390625q-0.25 0.15625 -0.65625 0.25q-0.40625 0.078125 -1.0 0.078125l-0.734375 0zm0.734375 -0.515625q0.4375 0 0.71875 -0.046875q0.28125 -0.0625 0.4375 -0.140625q0.171875 -0.09375 0.234375 -0.203125q0.078125 -0.125 0.078125 -0.25q0 -0.109375 -0.046875 -0.203125q-0.046875 -0.109375 -0.140625 -0.171875q-0.09375 -0.078125 -0.234375 -0.125q-0.125 -0.046875 -0.3125 -0.046875q-0.265625 0 -0.46875 0.09375q-0.203125 0.078125 -0.375 0.234375q-0.171875 0.15625 -0.296875 0.375q-0.125 0.21875 -0.21875 0.484375l0.625 0zm6.3359375 -1.0q-0.359375 -0.078125 -0.640625 -0.109375q-0.28125 -0.046875 -0.5 -0.046875q-0.265625 0 -0.46875 0.046875q-0.1875 0.03125 -0.3125 0.109375q-0.125 0.078125 -0.1875 0.1875q-0.046875 0.109375 -0.046875 0.25q0 0.09375 0.03125 0.171875q0.046875 0.078125 0.140625 0.15625q0.109375 0.0625 0.265625 0.125q0.171875 0.0625 0.40625 0.140625q0.28125 0.09375 0.484375 0.203125q0.203125 0.09375 0.328125 0.21875q0.125 0.125 0.1875 0.28125q0.0625 0.140625 0.0625 0.34375q0 0.3125 -0.140625 0.546875q-0.125 0.234375 -0.375 0.390625q-0.234375 0.15625 -0.5625 0.25q-0.328125 0.078125 -0.734375 0.078125q-0.375 0 -0.71875 -0.03125q-0.328125 -0.03125 -0.625 -0.109375l0 -0.671875q0.359375 0.125 0.703125 0.1875q0.34375 0.046875 0.6875 0.046875q0.546875 0 0.796875 -0.15625q0.265625 -0.15625 0.265625 -0.484375q0 -0.09375 -0.046875 -0.15625q-0.03125 -0.078125 -0.125 -0.140625q-0.09375 -0.0625 -0.265625 -0.125q-0.15625 -0.078125 -0.40625 -0.15625q-0.234375 -0.0625 -0.4375 -0.140625q-0.1875 -0.09375 -0.328125 -0.21875q-0.140625 -0.125 -0.21875 -0.28125q-0.078125 -0.171875 -0.078125 -0.390625q0 -0.265625 0.109375 -0.484375q0.109375 -0.234375 0.328125 -0.390625q0.21875 -0.171875 0.546875 -0.265625q0.34375 -0.109375 0.796875 -0.109375q0.109375 0 0.234375 0.015625q0.140625 0 0.28125 0.03125q0.140625 0.015625 0.28125 0.046875q0.140625 0.015625 0.28125 0.046875l0 0.59375z" fill-rule="nonzero"/><path fill="#ffffff" d="m96.0 236.0l-16.0 0l0 -4.0l-8.0 8.0l8.0 8.0l0 -4.0l16.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m96.0 236.0l-16.0 0l0 -4.0l-8.0 8.0l8.0 8.0l0 -4.0l16.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m56.0 240.0l56.0 0l0 32.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m73.81641 256.555q0.015625 -0.078125 0.03125 -0.1875q0.015625 -0.125 0.03125 -0.328125q0.03125 -0.203125 0.0625 -0.515625q0.046875 -0.3125 0.09375 -0.765625l0.640625 0l-0.109375 0.90625q0.140625 -0.203125 0.296875 -0.375q0.171875 -0.1875 0.359375 -0.3125q0.1875 -0.140625 0.40625 -0.21875q0.234375 -0.078125 0.5 -0.078125q0.65625 0 0.890625 0.40625q0.25 0.40625 0.078125 1.171875l-0.6875 0q0.0625 -0.28125 0.0625 -0.46875q0 -0.1875 -0.0625 -0.296875q-0.046875 -0.109375 -0.15625 -0.15625q-0.09375 -0.0625 -0.234375 -0.0625q-0.28125 0 -0.546875 0.171875q-0.265625 0.171875 -0.5 0.453125q-0.21875 0.265625 -0.375 0.609375q-0.15625 0.34375 -0.21875 0.6875l-0.296875 1.484375l-0.6875 0l0.421875 -2.125zm4.7109375 0.375q-0.015625 0.109375 -0.03125 0.203125q0 0.078125 0 0.171875q0 0.421875 0.25 0.65625q0.25 0.21875 0.78125 0.21875q0.34375 0 0.6875 -0.046875q0.34375 -0.046875 0.609375 -0.125l0 0.5625q-0.296875 0.078125 -0.671875 0.125q-0.359375 0.0625 -0.734375 0.0625q-0.828125 0 -1.21875 -0.375q-0.390625 -0.375 -0.390625 -1.078125q0 -0.53125 0.15625 -1.0q0.171875 -0.484375 0.46875 -0.84375q0.296875 -0.359375 0.703125 -0.5625q0.40625 -0.21875 0.890625 -0.21875q0.328125 0 0.59375 0.078125q0.265625 0.078125 0.4375 0.21875q0.1875 0.140625 0.28125 0.328125q0.09375 0.1875 0.09375 0.390625q0 0.28125 -0.125 0.515625q-0.125 0.21875 -0.390625 0.390625q-0.25 0.15625 -0.65625 0.25q-0.40625 0.078125 -1.0 0.078125l-0.734375 0zm0.734375 -0.515625q0.4375 0 0.71875 -0.046875q0.28125 -0.0625 0.4375 -0.140625q0.171875 -0.09375 0.234375 -0.203125q0.078125 -0.125 0.078125 -0.25q0 -0.109375 -0.046875 -0.203125q-0.046875 -0.109375 -0.140625 -0.171875q-0.09375 -0.078125 -0.234375 -0.125q-0.125 -0.046875 -0.3125 -0.046875q-0.265625 0 -0.46875 0.09375q-0.203125 0.078125 -0.375 0.234375q-0.171875 0.15625 -0.296875 0.375q-0.125 0.21875 -0.21875 0.484375l0.625 0zm5.1484375 -1.703125q0.25 0 0.484375 0.03125q0.234375 0.03125 0.4375 0.09375l0.625 -0.15625l-0.4375 2.203125q-0.015625 0.0625 -0.046875 0.1875q-0.015625 0.125 -0.046875 0.328125q-0.015625 0.203125 -0.046875 0.515625q-0.03125 0.3125 -0.078125 0.765625l-0.640625 0l0.09375 -0.90625q-0.265625 0.46875 -0.65625 0.734375q-0.375 0.25 -0.828125 0.25q-0.28125 0 -0.5 -0.09375q-0.203125 -0.109375 -0.34375 -0.28125q-0.125 -0.171875 -0.203125 -0.40625q-0.0625 -0.25 -0.0625 -0.546875q0 -0.5625 0.140625 -1.046875q0.15625 -0.5 0.4375 -0.875q0.28125 -0.375 0.703125 -0.578125q0.421875 -0.21875 0.96875 -0.21875zm-1.5625 2.65625q0 0.375 0.125 0.59375q0.140625 0.203125 0.421875 0.203125q0.171875 0 0.328125 -0.078125q0.171875 -0.078125 0.328125 -0.21875q0.171875 -0.140625 0.3125 -0.328125q0.140625 -0.1875 0.25 -0.390625q0.125 -0.21875 0.203125 -0.453125q0.09375 -0.234375 0.140625 -0.453125l0.171875 -0.8125q-0.1875 -0.078125 -0.390625 -0.125q-0.1875 -0.046875 -0.34375 -0.046875q-0.328125 0 -0.5625 0.109375q-0.234375 0.109375 -0.40625 0.296875q-0.171875 0.171875 -0.28125 0.40625q-0.109375 0.21875 -0.1875 0.453125q-0.0625 0.234375 -0.09375 0.453125q-0.015625 0.21875 -0.015625 0.390625zm5.9609375 -2.65625q0.28125 0 0.46875 0.03125q0.203125 0.015625 0.375 0.0625l0.328125 -1.640625l0.671875 0l-0.734375 3.71875q-0.015625 0.0625 -0.046875 0.1875q-0.015625 0.125 -0.046875 0.328125q-0.015625 0.203125 -0.046875 0.515625q-0.03125 0.3125 -0.078125 0.765625l-0.640625 0l0.09375 -0.90625q-0.265625 0.46875 -0.65625 0.734375q-0.375 0.25 -0.828125 0.25q-0.28125 0 -0.5 -0.09375q-0.203125 -0.109375 -0.34375 -0.28125q-0.125 -0.171875 -0.203125 -0.40625q-0.0625 -0.25 -0.0625 -0.546875q0 -0.5625 0.140625 -1.046875q0.15625 -0.5 0.4375 -0.875q0.28125 -0.375 0.703125 -0.578125q0.421875 -0.21875 0.96875 -0.21875zm-1.5625 2.65625q0 0.375 0.125 0.59375q0.140625 0.203125 0.421875 0.203125q0.171875 0 0.328125 -0.078125q0.171875 -0.078125 0.328125 -0.21875q0.171875 -0.140625 0.3125 -0.328125q0.140625 -0.1875 0.25 -0.390625q0.125 -0.21875 0.203125 -0.453125q0.09375 -0.234375 0.140625 -0.453125l0.171875 -0.8125q-0.1875 -0.078125 -0.390625 -0.125q-0.1875 -0.046875 -0.34375 -0.046875q-0.328125 0 -0.5625 0.109375q-0.234375 0.109375 -0.40625 0.296875q-0.171875 0.171875 -0.28125 0.40625q-0.109375 0.21875 -0.1875 0.453125q-0.0625 0.234375 -0.09375 0.453125q-0.015625 0.21875 -0.015625 0.390625zm7.1484375 -1.953125q-0.359375 -0.078125 -0.640625 -0.109375q-0.28125 -0.046875 -0.5 -0.046875q-0.265625 0 -0.46875 0.046875q-0.1875 0.03125 -0.3125 0.109375q-0.125 0.078125 -0.1875 0.1875q-0.046875 0.109375 -0.046875 0.25q0 0.09375 0.03125 0.171875q0.046875 0.078125 0.140625 0.15625q0.109375 0.0625 0.265625 0.125q0.171875 0.0625 0.40625 0.140625q0.28125 0.09375 0.484375 0.203125q0.203125 0.09375 0.328125 0.21875q0.125 0.125 0.1875 0.28125q0.0625 0.140625 0.0625 0.34375q0 0.3125 -0.140625 0.546875q-0.125 0.234375 -0.375 0.390625q-0.234375 0.15625 -0.5625 0.25q-0.328125 0.078125 -0.734375 0.078125q-0.375 0 -0.71875 -0.03125q-0.328125 -0.03125 -0.625 -0.109375l0 -0.671875q0.359375 0.125 0.703125 0.1875q0.34375 0.046875 0.6875 0.046875q0.546875 0 0.796875 -0.15625q0.265625 -0.15625 0.265625 -0.484375q0 -0.09375 -0.046875 -0.15625q-0.03125 -0.078125 -0.125 -0.140625q-0.09375 -0.0625 -0.265625 -0.125q-0.15625 -0.078125 -0.40625 -0.15625q-0.234375 -0.0625 -0.4375 -0.140625q-0.1875 -0.09375 -0.328125 -0.21875q-0.140625 -0.125 -0.21875 -0.28125q-0.078125 -0.171875 -0.078125 -0.390625q0 -0.265625 0.109375 -0.484375q0.109375 -0.234375 0.328125 -0.390625q0.21875 -0.171875 0.546875 -0.265625q0.34375 -0.109375 0.796875 -0.109375q0.109375 0 0.234375 0.015625q0.140625 0 0.28125 0.03125q0.140625 0.015625 0.28125 0.046875q0.140625 0.015625 0.28125 0.046875l0 0.59375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m272.0 200.0l56.0 0l0 32.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m285.35938 218.96938q0.328125 0 0.609375 0.125q0.28125 0.125 0.484375 0.328125q0.203125 0.203125 0.3125 0.484375q0.125 0.28125 0.125 0.609375q0 0.3125 -0.125 0.59375q-0.109375 0.265625 -0.3125 0.484375q-0.203125 0.203125 -0.484375 0.328125q-0.28125 0.125 -0.609375 0.125q-0.3125 0 -0.59375 -0.125q-0.265625 -0.125 -0.46875 -0.328125q-0.203125 -0.21875 -0.328125 -0.484375q-0.109375 -0.28125 -0.109375 -0.59375q0 -0.328125 0.109375 -0.609375q0.125 -0.28125 0.328125 -0.484375q0.203125 -0.203125 0.46875 -0.328125q0.28125 -0.125 0.59375 -0.125zm8.796875 0q0.328125 0 0.609375 0.125q0.28125 0.125 0.484375 0.328125q0.203125 0.203125 0.3125 0.484375q0.125 0.28125 0.125 0.609375q0 0.3125 -0.125 0.59375q-0.109375 0.265625 -0.3125 0.484375q-0.203125 0.203125 -0.484375 0.328125q-0.28125 0.125 -0.609375 0.125q-0.3125 0 -0.59375 -0.125q-0.265625 -0.125 -0.46875 -0.328125q-0.203125 -0.21875 -0.328125 -0.484375q-0.109375 -0.28125 -0.109375 -0.59375q0 -0.328125 0.109375 -0.609375q0.125 -0.28125 0.328125 -0.484375q0.203125 -0.203125 0.46875 -0.328125q0.28125 -0.125 0.59375 -0.125zm8.796875 0q0.328125 0 0.609375 0.125q0.28125 0.125 0.484375 0.328125q0.203125 0.203125 0.3125 0.484375q0.125 0.28125 0.125 0.609375q0 0.3125 -0.125 0.59375q-0.109375 0.265625 -0.3125 0.484375q-0.203125 0.203125 -0.484375 0.328125q-0.28125 0.125 -0.609375 0.125q-0.3125 0 -0.59375 -0.125q-0.265625 -0.125 -0.46875 -0.328125q-0.203125 -0.21875 -0.328125 -0.484375q-0.109375 -0.28125 -0.109375 -0.59375q0 -0.328125 0.109375 -0.609375q0.125 -0.28125 0.328125 -0.484375q0.203125 -0.203125 0.46875 -0.328125q0.28125 -0.125 0.59375 -0.125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m144.0 200.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m144.0 200.0l-20.572914 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m123.427086 200.0l1.124588 -1.124588l-3.089775 1.124588l3.089775 1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m144.0 208.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m144.0 208.0l-20.572914 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m123.427086 208.0l1.124588 -1.124588l-3.089775 1.124588l3.089775 1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m144.0 216.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m144.0 216.0l-20.572914 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m123.427086 216.0l1.124588 -1.124588l-3.089775 1.124588l3.089775 1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m144.0 224.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m144.0 224.0l-20.572914 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m123.427086 224.0l1.124588 -1.124588l-3.089775 1.124588l3.089775 1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m120.0 224.0l80.0 0l0 32.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m129.78125 238.75812l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.6171875 -1.109375q0.109375 0.140625 0.171875 0.328125q0.0625 0.171875 0.0625 0.390625q0 0.296875 -0.125 0.5625q-0.109375 0.25 -0.3125 0.4375q-0.203125 0.171875 -0.484375 0.265625q-0.28125 0.09375 -0.625 0.09375q-0.234375 0 -0.453125 -0.046875q-0.21875 -0.046875 -0.34375 -0.125q-0.078125 0.109375 -0.125 0.203125q-0.046875 0.09375 -0.046875 0.21875q0 0.140625 0.140625 0.25q0.140625 0.09375 0.375 0.09375l1.03125 0.046875q0.296875 0 0.546875 0.078125q0.25 0.0625 0.421875 0.1875q0.171875 0.125 0.265625 0.3125q0.109375 0.1875 0.109375 0.421875q0 0.265625 -0.109375 0.5q-0.109375 0.234375 -0.359375 0.40625q-0.234375 0.1875 -0.59375 0.28125q-0.359375 0.109375 -0.859375 0.109375q-0.484375 0 -0.8125 -0.078125q-0.328125 -0.078125 -0.546875 -0.21875q-0.21875 -0.125 -0.3125 -0.3125q-0.09375 -0.1875 -0.09375 -0.40625q0 -0.28125 0.125 -0.5q0.140625 -0.21875 0.40625 -0.421875q-0.09375 -0.046875 -0.171875 -0.109375q-0.078125 -0.078125 -0.125 -0.15625q-0.046875 -0.078125 -0.078125 -0.171875q-0.015625 -0.09375 -0.015625 -0.1875q0 -0.25 0.125 -0.453125q0.125 -0.21875 0.28125 -0.40625q-0.078125 -0.09375 -0.140625 -0.171875q-0.046875 -0.09375 -0.09375 -0.1875q-0.03125 -0.109375 -0.0625 -0.21875q-0.015625 -0.125 -0.015625 -0.265625q0 -0.3125 0.109375 -0.5625q0.109375 -0.25 0.3125 -0.421875q0.203125 -0.1875 0.484375 -0.28125q0.28125 -0.109375 0.625 -0.109375q0.15625 0 0.28125 0.03125q0.140625 0.015625 0.234375 0.046875l1.421875 0l0 0.546875l-0.625 0zm-2.359375 3.9375q0 0.28125 0.28125 0.40625q0.296875 0.125 0.8125 0.125q0.328125 0 0.546875 -0.0625q0.21875 -0.046875 0.34375 -0.140625q0.140625 -0.09375 0.203125 -0.21875q0.0625 -0.125 0.0625 -0.25q0 -0.25 -0.203125 -0.359375q-0.1875 -0.109375 -0.59375 -0.140625l-1.03125 -0.03125q-0.125 0.09375 -0.21875 0.171875q-0.078125 0.078125 -0.125 0.15625q-0.046875 0.09375 -0.0625 0.171875q-0.015625 0.09375 -0.015625 0.171875zm0.203125 -3.203125q0 0.1875 0.0625 0.34375q0.0625 0.15625 0.171875 0.265625q0.125 0.109375 0.265625 0.171875q0.15625 0.0625 0.34375 0.0625q0.203125 0 0.359375 -0.0625q0.171875 -0.078125 0.265625 -0.1875q0.109375 -0.125 0.171875 -0.28125q0.0625 -0.15625 0.0625 -0.3125q0 -0.1875 -0.0625 -0.34375q-0.0625 -0.15625 -0.1875 -0.265625q-0.109375 -0.109375 -0.265625 -0.171875q-0.15625 -0.0625 -0.34375 -0.0625q-0.203125 0 -0.359375 0.078125q-0.15625 0.0625 -0.265625 0.1875q-0.109375 0.109375 -0.171875 0.265625q-0.046875 0.140625 -0.046875 0.3125zm7.921875 0.765625q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375zm7.0078125 0.84375q0 0.21875 -0.078125 0.390625q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.21875q-0.15625 0.078125 -0.34375 0.140625q-0.171875 0.0625 -0.375 0.09375q-0.1875 0.03125 -0.375 0.03125q-0.390625 0 -0.734375 -0.046875q-0.328125 -0.03125 -0.640625 -0.109375l0 -0.625q0.34375 0.09375 0.671875 0.15625q0.34375 0.046875 0.6875 0.046875q0.484375 0 0.71875 -0.125q0.234375 -0.140625 0.234375 -0.390625q0 -0.109375 -0.046875 -0.1875q-0.03125 -0.09375 -0.125 -0.15625q-0.09375 -0.078125 -0.3125 -0.15625q-0.203125 -0.09375 -0.546875 -0.203125q-0.265625 -0.078125 -0.5 -0.171875q-0.21875 -0.09375 -0.390625 -0.234375q-0.15625 -0.140625 -0.25 -0.3125q-0.09375 -0.1875 -0.09375 -0.4375q0 -0.171875 0.078125 -0.359375q0.078125 -0.203125 0.25 -0.359375q0.1875 -0.171875 0.5 -0.28125q0.3125 -0.125 0.78125 -0.125q0.234375 0 0.515625 0.03125q0.28125 0.03125 0.578125 0.09375l0 0.59375q-0.3125 -0.078125 -0.609375 -0.109375q-0.28125 -0.03125 -0.484375 -0.03125q-0.265625 0 -0.4375 0.046875q-0.171875 0.03125 -0.28125 0.09375q-0.109375 0.0625 -0.15625 0.15625q-0.046875 0.09375 -0.046875 0.203125q0 0.109375 0.046875 0.203125q0.046875 0.078125 0.15625 0.15625q0.109375 0.078125 0.296875 0.15625q0.203125 0.078125 0.53125 0.171875q0.34375 0.109375 0.578125 0.21875q0.25 0.109375 0.390625 0.25q0.15625 0.125 0.21875 0.296875q0.0625 0.171875 0.0625 0.390625zm1.6015625 -2.4375q0.1875 0.015625 0.375 -0.03125q0.1875 -0.046875 0.328125 -0.125q0.15625 -0.078125 0.234375 -0.203125q0.09375 -0.125 0.09375 -0.296875q0 -0.15625 -0.0625 -0.25q-0.0625 -0.109375 -0.140625 -0.1875q-0.0625 -0.09375 -0.125 -0.1875q-0.046875 -0.109375 -0.046875 -0.265625q0 -0.09375 0.03125 -0.171875q0.03125 -0.09375 0.09375 -0.15625q0.0625 -0.078125 0.15625 -0.125q0.109375 -0.046875 0.25 -0.046875q0.140625 0 0.265625 0.0625q0.125 0.046875 0.21875 0.171875q0.09375 0.109375 0.140625 0.28125q0.0625 0.171875 0.0625 0.40625q0 0.328125 -0.125 0.625q-0.109375 0.296875 -0.34375 0.53125q-0.234375 0.234375 -0.59375 0.375q-0.34375 0.125 -0.8125 0.125l0 -0.53125zm7.1953125 2.4375q0 0.21875 -0.078125 0.390625q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.21875q-0.15625 0.078125 -0.34375 0.140625q-0.171875 0.0625 -0.375 0.09375q-0.1875 0.03125 -0.375 0.03125q-0.390625 0 -0.734375 -0.046875q-0.328125 -0.03125 -0.640625 -0.109375l0 -0.625q0.34375 0.09375 0.671875 0.15625q0.34375 0.046875 0.6875 0.046875q0.484375 0 0.71875 -0.125q0.234375 -0.140625 0.234375 -0.390625q0 -0.109375 -0.046875 -0.1875q-0.03125 -0.09375 -0.125 -0.15625q-0.09375 -0.078125 -0.3125 -0.15625q-0.203125 -0.09375 -0.546875 -0.203125q-0.265625 -0.078125 -0.5 -0.171875q-0.21875 -0.09375 -0.390625 -0.234375q-0.15625 -0.140625 -0.25 -0.3125q-0.09375 -0.1875 -0.09375 -0.4375q0 -0.171875 0.078125 -0.359375q0.078125 -0.203125 0.25 -0.359375q0.1875 -0.171875 0.5 -0.28125q0.3125 -0.125 0.78125 -0.125q0.234375 0 0.515625 0.03125q0.28125 0.03125 0.578125 0.09375l0 0.59375q-0.3125 -0.078125 -0.609375 -0.109375q-0.28125 -0.03125 -0.484375 -0.03125q-0.265625 0 -0.4375 0.046875q-0.171875 0.03125 -0.28125 0.09375q-0.109375 0.0625 -0.15625 0.15625q-0.046875 0.09375 -0.046875 0.203125q0 0.109375 0.046875 0.203125q0.046875 0.078125 0.15625 0.15625q0.109375 0.078125 0.296875 0.15625q0.203125 0.078125 0.53125 0.171875q0.34375 0.109375 0.578125 0.21875q0.25 0.109375 0.390625 0.25q0.15625 0.125 0.21875 0.296875q0.0625 0.171875 0.0625 0.390625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m360.0 124.26749l56.0 0l0 32.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m369.42188 139.07248q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375z" fill-rule="nonzero"/><path fill="#000000" d="m369.42188 149.07248q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#ffffff" d="m240.0 116.26749l120.0 0l0 64.0l-120.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m240.0 116.26749l120.0 0l0 64.0l-120.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m292.02133 173.50748l-1.046875 0l-1.015625 -2.171875q-0.109375 -0.25 -0.234375 -0.40625q-0.125 -0.171875 -0.265625 -0.265625q-0.125 -0.09375 -0.296875 -0.140625q-0.15625 -0.046875 -0.359375 -0.046875l-0.4375 0l0 3.03125l-0.921875 0l0 -6.796875l1.8125 0q0.59375 0 1.015625 0.125q0.4375 0.125 0.703125 0.359375q0.28125 0.234375 0.40625 0.578125q0.125 0.328125 0.125 0.71875q0 0.328125 -0.09375 0.609375q-0.09375 0.28125 -0.28125 0.515625q-0.1875 0.234375 -0.46875 0.40625q-0.265625 0.15625 -0.609375 0.25q0.28125 0.09375 0.484375 0.34375q0.203125 0.234375 0.40625 0.65625l1.078125 2.234375zm-1.484375 -4.9375q0 -0.546875 -0.34375 -0.8125q-0.34375 -0.265625 -0.953125 -0.265625l-0.875 0l0 2.25l0.75 0q0.328125 0 0.578125 -0.078125q0.265625 -0.078125 0.453125 -0.21875q0.1875 -0.15625 0.28125 -0.375q0.109375 -0.21875 0.109375 -0.5zm6.780731 4.9375l-3.859375 0l0 -6.796875l3.859375 0l0 0.78125l-2.9375 0l0 2.109375l2.828125 0l0 0.78125l-2.828125 0l0 2.328125l2.9375 0l0 0.796875zm6.186981 -5.640625q-0.375 -0.203125 -0.78125 -0.3125q-0.40625 -0.109375 -0.875 -0.109375q-0.546875 0 -0.96875 0.203125q-0.40625 0.1875 -0.703125 0.546875q-0.28125 0.34375 -0.4375 0.84375q-0.15625 0.484375 -0.15625 1.078125q0 0.625 0.125 1.125q0.125 0.484375 0.390625 0.828125q0.265625 0.34375 0.671875 0.53125q0.421875 0.1875 1.0 0.1875q0.09375 0 0.203125 -0.015625q0.125 -0.015625 0.234375 -0.03125q0.109375 -0.015625 0.21875 -0.03125q0.109375 -0.03125 0.1875 -0.0625l0 -2.171875l-1.390625 0l0 -0.765625l2.3125 0l0 3.484375q-0.21875 0.09375 -0.453125 0.171875q-0.234375 0.078125 -0.484375 0.140625q-0.25 0.046875 -0.5 0.0625q-0.234375 0.03125 -0.46875 0.03125q-0.6875 0 -1.25 -0.21875q-0.5625 -0.21875 -0.953125 -0.640625q-0.390625 -0.4375 -0.609375 -1.078125q-0.203125 -0.640625 -0.203125 -1.484375q0 -0.828125 0.234375 -1.484375q0.234375 -0.671875 0.65625 -1.125q0.421875 -0.46875 1.015625 -0.703125q0.59375 -0.25 1.3125 -0.25q0.46875 0 0.875 0.078125q0.421875 0.078125 0.796875 0.25l0 0.921875zm6.030731 2.25q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm10.343231 5.5625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.468231 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm8.468231 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm8.186981 4.53125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm4.171356 -3.59375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm3.8119812 4.109375q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm9.546356 2.5625l-4.265625 0l0 -0.84375l1.75 0l0 -4.96875l-1.640625 0.890625l-0.328125 -0.765625l2.15625 -1.140625l0.8125 0l0 5.984375l1.515625 0l0 0.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m304.0 132.26749l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m304.0 132.26749l24.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m328.0 124.26749l24.0 0l0 40.0l-24.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m328.0 124.26749l24.0 0l0 40.0l-24.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m328.0 151.93161l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m328.0 151.93161l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path fill="#ffffff" d="m261.77835 137.57135l0 0c-0.51608276 -3.2267914 1.1782837 -6.4211426 4.3640747 -8.227539c3.1858215 -1.8063965 7.304352 -1.9080582 10.607971 -0.26182556l0 0c1.170227 -1.8762054 3.3121033 -3.1715927 5.7777405 -3.4943314c2.4656677 -0.32274628 4.965454 0.3650589 6.743286 1.8553696l0 0c0.9969177 -1.701088 2.9543152 -2.8439941 5.1776733 -3.0231705c2.2233582 -0.1791687 4.3979797 0.63075256 5.7521973 2.1423569l0 0c1.8010254 -1.8031387 4.6665344 -2.5623398 7.3565674 -1.9490814c2.690033 0.61325836 4.721466 2.488823 5.2152405 4.8151245l0 0c2.2065735 0.5121002 4.0446167 1.8139191 5.039215 3.5691223c0.9945984 1.7552032 1.0481873 3.7915955 0.14694214 5.5830536l0 0c2.172821 2.4061127 2.6811218 5.6122284 1.3351746 8.42189c-1.3459778 2.8096619 -4.343933 4.8007355 -7.875122 5.230194c-0.024902344 2.636963 -1.7246399 5.05661 -4.4440613 6.326294c-2.7194214 1.2696991 -6.033905 1.1911621 -8.665894 -0.20532227c-1.1210632 3.1582184 -4.2765503 5.48201 -8.103119 5.967407c-3.8265991 0.48539734 -7.6383057 -0.95462036 -9.78833 -3.6979065c-2.6354675 1.3521729 -5.797821 1.741684 -8.773712 1.0806885c-2.9758606 -0.66101074 -5.514557 -2.316864 -7.0434265 -4.5940247l0 0c-2.6931458 0.2681427 -5.2970276 -0.91903687 -6.5193787 -2.972351c-1.2223206 -2.0533142 -0.8029175 -4.53566 1.0500793 -6.2150574l0 0c-2.4023438 -1.2030334 -3.6281586 -3.590271 -3.0382385 -5.9168396c0.5899353 -2.3265686 2.861908 -4.065277 5.631195 -4.3094482z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m259.13217 147.92201l0 0c1.133667 0.5677185 2.4433289 0.8252411 3.7531128 0.7380066m1.7155762 8.4496c0.56326294 -0.05607605 1.1153564 -0.1748352 1.6420593 -0.35321045m14.174286 3.866394c-0.39614868 -0.50546265 -0.72784424 -1.0456085 -0.9894104 -1.6112213m18.881561 -0.6583557l0 0c0.20437622 -0.5757904 0.3368225 -1.1684113 0.39505005 -1.7679596m12.714386 -4.3527985c0.026489258 -2.8074493 -1.8476257 -5.3779907 -4.817383 -6.607483m11.357269 -7.0443115c-0.4809265 0.95599365 -1.2151489 1.8040466 -2.14505 2.4776611m-3.0406494 -11.630081l0 0c0.081970215 0.38604736 0.11987305 0.7779083 0.11325073 1.1701202m-12.684845 -4.0360413l0 0c-0.4492798 0.44979095 -0.819397 0.9524307 -1.098877 1.4922638m-9.831085 -0.6116562l0 0c-0.2394104 0.40854645 -0.4182129 0.84085846 -0.53222656 1.2869797m-11.989014 0.35202026l0 0c0.69903564 0.34832764 1.3457642 0.7675934 1.9259338 1.2485809m-16.897766 7.2408295l0 0c0.071136475 0.44474792 0.1835022 0.8840027 0.3360901 1.3136597" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m261.77835 137.57135l0 0c-0.51608276 -3.2267914 1.1782837 -6.4211426 4.3640747 -8.227539c3.1858215 -1.8063965 7.304352 -1.9080582 10.607971 -0.26182556l0 0c1.170227 -1.8762054 3.3121033 -3.1715927 5.7777405 -3.4943314c2.4656677 -0.32274628 4.965454 0.3650589 6.743286 1.8553696l0 0c0.9969177 -1.701088 2.9543152 -2.8439941 5.1776733 -3.0231705c2.2233582 -0.1791687 4.3979797 0.63075256 5.7521973 2.1423569l0 0c1.8010254 -1.8031387 4.6665344 -2.5623398 7.3565674 -1.9490814c2.690033 0.61325836 4.721466 2.488823 5.2152405 4.8151245l0 0c2.2065735 0.5121002 4.0446167 1.8139191 5.039215 3.5691223c0.9945984 1.7552032 1.0481873 3.7915955 0.14694214 5.5830536l0 0c2.172821 2.4061127 2.6811218 5.6122284 1.3351746 8.42189c-1.3459778 2.8096619 -4.343933 4.8007355 -7.875122 5.230194c-0.024902344 2.636963 -1.7246399 5.05661 -4.4440613 6.326294c-2.7194214 1.2696991 -6.033905 1.1911621 -8.665894 -0.20532227c-1.1210632 3.1582184 -4.2765503 5.48201 -8.103119 5.967407c-3.8265991 0.48539734 -7.6383057 -0.95462036 -9.78833 -3.6979065c-2.6354675 1.3521729 -5.797821 1.741684 -8.773712 1.0806885c-2.9758606 -0.66101074 -5.514557 -2.316864 -7.0434265 -4.5940247l0 0c-2.6931458 0.2681427 -5.2970276 -0.91903687 -6.5193787 -2.972351c-1.2223206 -2.0533142 -0.8029175 -4.53566 1.0500793 -6.2150574l0 0c-2.4023438 -1.2030334 -3.6281586 -3.590271 -3.0382385 -5.9168396c0.5899353 -2.3265686 2.861908 -4.065277 5.631195 -4.3094482z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m259.13217 147.92201l0 0c1.133667 0.5677185 2.4433289 0.8252411 3.7531128 0.7380066m1.7155762 8.4496c0.56326294 -0.05607605 1.1153564 -0.1748352 1.6420593 -0.35321045m14.174286 3.866394c-0.39614868 -0.50546265 -0.72784424 -1.0456085 -0.9894104 -1.6112213m18.881561 -0.6583557l0 0c0.20437622 -0.5757904 0.3368225 -1.1684113 0.39505005 -1.7679596m12.714386 -4.3527985c0.026489258 -2.8074493 -1.8476257 -5.3779907 -4.817383 -6.607483m11.357269 -7.0443115c-0.4809265 0.95599365 -1.2151489 1.8040466 -2.14505 2.4776611m-3.0406494 -11.630081l0 0c0.081970215 0.38604736 0.11987305 0.7779083 0.11325073 1.1701202m-12.684845 -4.0360413l0 0c-0.4492798 0.44979095 -0.819397 0.9524307 -1.098877 1.4922638m-9.831085 -0.6116562l0 0c-0.2394104 0.40854645 -0.4182129 0.84085846 -0.53222656 1.2869797m-11.989014 0.35202026l0 0c0.69903564 0.34832764 1.3457642 0.7675934 1.9259338 1.2485809m-16.897766 7.2408295l0 0c0.071136475 0.44474792 0.1835022 0.8840027 0.3360901 1.3136597" fill-rule="evenodd"/><path fill="#000000" d="m277.49347 145.8787q-0.265625 0.09375 -0.546875 0.140625q-0.28125 0.0625 -0.578125 0.0625q-0.921875 0 -1.421875 -0.5q-0.5 -0.515625 -0.5 -1.484375q0 -0.46875 0.140625 -0.84375q0.140625 -0.375 0.40625 -0.640625q0.265625 -0.28125 0.625 -0.421875q0.359375 -0.15625 0.796875 -0.15625q0.3125 0 0.578125 0.046875q0.265625 0.046875 0.5 0.140625l0 0.65625q-0.25 -0.140625 -0.515625 -0.203125q-0.265625 -0.0625 -0.546875 -0.0625q-0.265625 0 -0.5 0.109375q-0.21875 0.09375 -0.40625 0.28125q-0.171875 0.1875 -0.28125 0.46875q-0.09375 0.265625 -0.09375 0.59375q0 0.71875 0.34375 1.078125q0.34375 0.34375 0.96875 0.34375q0.265625 0 0.53125 -0.0625q0.265625 -0.0625 0.5 -0.1875l0 0.640625zm4.7734375 -1.859375q0 0.46875 -0.140625 0.84375q-0.125 0.375 -0.375 0.65625q-0.234375 0.265625 -0.578125 0.421875q-0.34375 0.15625 -0.796875 0.15625q-0.421875 0 -0.75 -0.125q-0.328125 -0.140625 -0.5625 -0.390625q-0.234375 -0.265625 -0.359375 -0.640625q-0.125 -0.375 -0.125 -0.859375q0 -0.453125 0.125 -0.828125q0.140625 -0.390625 0.375 -0.65625q0.25 -0.265625 0.59375 -0.421875q0.34375 -0.15625 0.78125 -0.15625q0.421875 0 0.75 0.140625q0.34375 0.125 0.578125 0.375q0.234375 0.25 0.359375 0.625q0.125 0.375 0.125 0.859375zm-0.703125 0.03125q0 -0.359375 -0.078125 -0.625q-0.078125 -0.28125 -0.234375 -0.453125q-0.140625 -0.1875 -0.359375 -0.28125q-0.203125 -0.09375 -0.46875 -0.09375q-0.3125 0 -0.53125 0.125q-0.203125 0.125 -0.34375 0.328125q-0.140625 0.1875 -0.203125 0.453125q-0.0625 0.265625 -0.0625 0.546875q0 0.375 0.078125 0.65625q0.078125 0.265625 0.21875 0.453125q0.15625 0.171875 0.359375 0.265625q0.21875 0.09375 0.484375 0.09375q0.296875 0 0.515625 -0.125q0.21875 -0.125 0.359375 -0.3125q0.140625 -0.203125 0.203125 -0.46875q0.0625 -0.265625 0.0625 -0.5625zm4.4765625 1.96875l0 -2.8125q0 -0.1875 -0.015625 -0.296875q-0.015625 -0.125 -0.046875 -0.1875q-0.015625 -0.078125 -0.0625 -0.09375q-0.046875 -0.03125 -0.109375 -0.03125q-0.078125 0 -0.15625 0.046875q-0.0625 0.046875 -0.140625 0.15625q-0.078125 0.09375 -0.171875 0.265625q-0.078125 0.171875 -0.203125 0.4375l0 2.515625l-0.625 0l0 -2.75q0 -0.203125 -0.015625 -0.328125q-0.015625 -0.140625 -0.046875 -0.21875q-0.03125 -0.078125 -0.078125 -0.09375q-0.046875 -0.03125 -0.109375 -0.03125q-0.0625 0 -0.125 0.046875q-0.0625 0.03125 -0.140625 0.140625q-0.078125 0.09375 -0.171875 0.265625q-0.09375 0.171875 -0.21875 0.453125l0 2.515625l-0.625 0l0 -3.921875l0.515625 0l0.03125 0.75q0.09375 -0.234375 0.1875 -0.390625q0.109375 -0.15625 0.203125 -0.25q0.109375 -0.09375 0.21875 -0.140625q0.109375 -0.046875 0.25 -0.046875q0.328125 0 0.484375 0.21875q0.171875 0.203125 0.171875 0.640625q0.09375 -0.203125 0.171875 -0.359375q0.09375 -0.171875 0.1875 -0.265625q0.109375 -0.109375 0.234375 -0.171875q0.125 -0.0625 0.296875 -0.0625q0.734375 0 0.734375 1.140625l0 2.859375l-0.625 0zm4.9609375 -2.03125q0 0.484375 -0.140625 0.875q-0.140625 0.375 -0.390625 0.65625q-0.234375 0.265625 -0.59375 0.40625q-0.359375 0.140625 -0.796875 0.140625q-0.359375 0 -0.71875 -0.0625q-0.359375 -0.078125 -0.71875 -0.21875l0 -5.28125l0.6875 0l0 1.515625l-0.03125 0.71875q0.28125 -0.390625 0.609375 -0.546875q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.21875 0.046875 0.40625 0.046875q0.234375 0 0.4375 -0.078125q0.21875 -0.078125 0.375 -0.25q0.171875 -0.171875 0.265625 -0.453125q0.09375 -0.296875 0.09375 -0.71875zm3.2890625 0.765625q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m352.0 132.26749l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m352.0 132.26749l20.572906 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m372.5729 132.26749l-1.1245728 1.1245728l3.0897522 -1.1245728l-3.0897522 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m184.0 114.0l56.0 0l0 72.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m226.46875 126.758125l-0.578125 3.9218674l-0.828125 0l-0.5625 -1.640625l-0.109375 -0.40625l-0.125 0.421875l-0.546875 1.625l-0.796875 0l-0.578125 -3.9218674l0.671875 0l0.328125 2.6562424l0.0625 0.59375l0.171875 -0.515625l0.578125 -1.7656174l0.484375 0l0.609375 1.7499924l0.171875 0.515625l0.0625 -0.546875l0.3125 -2.6874924l0.671875 0zm4.0703125 1.7499924q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.7812424q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.32811737 0.109375 0.7499924zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.48436737q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21874237 -0.09375 0.48436737l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" d="m226.46875 142.75812l-0.578125 3.921875l-0.828125 0l-0.5625 -1.640625l-0.109375 -0.40625l-0.125 0.421875l-0.546875 1.625l-0.796875 0l-0.578125 -3.921875l0.671875 0l0.328125 2.65625l0.0625 0.59375l0.171875 -0.515625l0.578125 -1.765625l0.484375 0l0.609375 1.75l0.171875 0.515625l0.0625 -0.546875l0.3125 -2.6875l0.671875 0zm0.5546875 2.046875q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m216.0 124.26749l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m216.0 124.26748l20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m236.5729 124.26748l-1.1245728 1.124588l3.0897675 -1.124588l-3.0897675 -1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m216.0 140.26749l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m216.0 140.26749l20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m236.5729 140.26749l-1.1245728 1.1245728l3.0897675 -1.1245728l-3.0897675 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m376.0 168.0l-16.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m376.0 168.0l-12.5729065 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m363.4271 168.0l1.1245728 -1.124588l-3.0897522 1.124588l3.0897522 1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m360.0 158.5l56.0 0l0 32.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m369.42188 173.305q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m369.42188 183.305q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m360.0 50.267483l56.0 0l0 32.000004l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m369.42188 65.07249q0 -0.421875 0.109375 -0.796875q0.125 -0.3906288 0.34375 -0.6718788q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609379l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.8281288q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.3750038 -0.296875 1.1093788z" fill-rule="nonzero"/><path fill="#000000" d="m369.42188 75.07249q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#ffffff" d="m240.0 42.267483l120.0 0l0 64.0l-120.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m240.0 42.267483l120.0 0l0 64.0l-120.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m292.02133 99.507484l-1.046875 0l-1.015625 -2.171875q-0.109375 -0.25 -0.234375 -0.40625q-0.125 -0.171875 -0.265625 -0.265625q-0.125 -0.09375 -0.296875 -0.140625q-0.15625 -0.046875 -0.359375 -0.046875l-0.4375 0l0 3.03125l-0.921875 0l0 -6.796875l1.8125 0q0.59375 0 1.015625 0.125q0.4375 0.125 0.703125 0.359375q0.28125 0.234375 0.40625 0.578125q0.125 0.328125 0.125 0.71875q0 0.328125 -0.09375 0.609375q-0.09375 0.28125 -0.28125 0.515625q-0.1875 0.234375 -0.46875 0.40625q-0.265625 0.15625 -0.609375 0.25q0.28125 0.09375 0.484375 0.34375q0.203125 0.234375 0.40625 0.65625l1.078125 2.234375zm-1.484375 -4.9375q0 -0.546875 -0.34375 -0.8125q-0.34375 -0.265625 -0.953125 -0.265625l-0.875 0l0 2.25l0.75 0q0.328125 0 0.578125 -0.078125q0.265625 -0.078125 0.453125 -0.21875q0.1875 -0.15625 0.28125 -0.375q0.109375 -0.21875 0.109375 -0.5zm6.780731 4.9375l-3.859375 0l0 -6.796875l3.859375 0l0 0.78125l-2.9375 0l0 2.109375l2.828125 0l0 0.78125l-2.828125 0l0 2.328125l2.9375 0l0 0.796875zm6.186981 -5.640625q-0.375 -0.203125 -0.78125 -0.3125q-0.40625 -0.109375 -0.875 -0.109375q-0.546875 0 -0.96875 0.203125q-0.40625 0.1875 -0.703125 0.546875q-0.28125 0.34375 -0.4375 0.84375q-0.15625 0.484375 -0.15625 1.078125q0 0.625 0.125 1.125q0.125 0.484375 0.390625 0.828125q0.265625 0.34375 0.671875 0.53125q0.421875 0.1875 1.0 0.1875q0.09375 0 0.203125 -0.015625q0.125 -0.015625 0.234375 -0.03125q0.109375 -0.015625 0.21875 -0.03125q0.109375 -0.03125 0.1875 -0.0625l0 -2.171875l-1.390625 0l0 -0.765625l2.3125 0l0 3.484375q-0.21875 0.09375 -0.453125 0.171875q-0.234375 0.078125 -0.484375 0.140625q-0.25 0.046875 -0.5 0.0625q-0.234375 0.03125 -0.46875 0.03125q-0.6875 0 -1.25 -0.21875q-0.5625 -0.21875 -0.953125 -0.640625q-0.390625 -0.4375 -0.609375 -1.078125q-0.203125 -0.640625 -0.203125 -1.484375q0 -0.828125 0.234375 -1.484375q0.234375 -0.671875 0.65625 -1.125q0.421875 -0.46875 1.015625 -0.703125q0.59375 -0.25 1.3125 -0.25q0.46875 0 0.875 0.078125q0.421875 0.078125 0.796875 0.25l0 0.921875zm6.030731 2.25q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm10.343231 5.5625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.468231 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm8.468231 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm8.186981 4.53125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm4.171356 -3.59375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm3.8119812 4.109375q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm9.765106 -0.828125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m304.0 58.267483l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m304.0 58.267483l24.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m328.0 50.267483l24.0 0l0 40.000004l-24.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m328.0 50.267483l24.0 0l0 40.000004l-24.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m328.0 77.93161l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m328.0 77.93161l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path fill="#ffffff" d="m261.77835 63.571358l0 0c-0.51608276 -3.2268028 1.1782837 -6.4211464 4.3640747 -8.227547c3.1858215 -1.8064003 7.304352 -1.9080544 10.607971 -0.2618332l0 0c1.170227 -1.8761978 3.3121033 -3.1715813 5.7777405 -3.4943237c2.4656677 -0.32274628 4.965454 0.3650589 6.743286 1.8553658l0 0c0.9969177 -1.7010803 2.9543152 -2.8439903 5.1776733 -3.0231628c2.2233582 -0.17917252 4.3979797 0.63074493 5.7521973 2.1423569l0 0c1.8010254 -1.8031425 4.6665344 -2.5623398 7.3565674 -1.9490852c2.690033 0.61325836 4.721466 2.4888191 5.2152405 4.8151245l0 0c2.2065735 0.5121002 4.0446167 1.8139229 5.039215 3.5691261c0.9945984 1.7552032 1.0481873 3.7915993 0.14694214 5.58305l0 0c2.172821 2.4061127 2.6811218 5.612236 1.3351746 8.42189c-1.3459778 2.8096542 -4.343933 4.8007355 -7.875122 5.230194c-0.024902344 2.636963 -1.7246399 5.05661 -4.4440613 6.326294c-2.7194214 1.2696915 -6.033905 1.1911621 -8.665894 -0.20532227c-1.1210632 3.158226 -4.2765503 5.48201 -8.103119 5.967407c-3.8265991 0.4853897 -7.6383057 -0.954628 -9.78833 -3.6979141c-2.6354675 1.3521805 -5.797821 1.7416992 -8.773712 1.0806885c-2.9758606 -0.6610031 -5.514557 -2.3168488 -7.0434265 -4.594017l0 0c-2.6931458 0.2681427 -5.2970276 -0.9190445 -6.5193787 -2.9723587c-1.2223206 -2.0533142 -0.8029175 -4.53566 1.0500793 -6.2150497l0 0c-2.4023438 -1.2030411 -3.6281586 -3.590271 -3.0382385 -5.9168396c0.5899353 -2.3265686 2.861908 -4.065277 5.631195 -4.3094482z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m259.13217 73.92201l0 0c1.133667 0.5677109 2.4433289 0.8252411 3.7531128 0.7380066m1.7155762 8.449608c0.56326294 -0.05608368 1.1153564 -0.17484283 1.6420593 -0.35321808m14.174286 3.8664017c-0.39614868 -0.5054779 -0.72784424 -1.0456238 -0.9894104 -1.611229m18.881561 -0.6583557l0 0c0.20437622 -0.5757904 0.3368225 -1.1684036 0.39505005 -1.7679596m12.714386 -4.3527985c0.026489258 -2.807457 -1.8476257 -5.3779907 -4.817383 -6.607483m11.357269 -7.044304c-0.4809265 0.95599365 -1.2151489 1.804039 -2.14505 2.4776459m-3.0406494 -11.630074l0 0c0.081970215 0.38605118 0.11987305 0.7779007 0.11325073 1.1701279m-12.684845 -4.036049l0 0c-0.4492798 0.44979477 -0.819397 0.9524307 -1.098877 1.4922676m-9.831085 -0.6116562l0 0c-0.2394104 0.40854263 -0.4182129 0.84085464 -0.53222656 1.286972m-11.989014 0.35201645l0 0c0.69903564 0.3483429 1.3457642 0.7676048 1.9259338 1.2485847m-16.897766 7.240841l0 0c0.071136475 0.4447403 0.1835022 0.88399506 0.3360901 1.313652" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m261.77835 63.571358l0 0c-0.51608276 -3.2268028 1.1782837 -6.4211464 4.3640747 -8.227547c3.1858215 -1.8064003 7.304352 -1.9080544 10.607971 -0.2618332l0 0c1.170227 -1.8761978 3.3121033 -3.1715813 5.7777405 -3.4943237c2.4656677 -0.32274628 4.965454 0.3650589 6.743286 1.8553658l0 0c0.9969177 -1.7010803 2.9543152 -2.8439903 5.1776733 -3.0231628c2.2233582 -0.17917252 4.3979797 0.63074493 5.7521973 2.1423569l0 0c1.8010254 -1.8031425 4.6665344 -2.5623398 7.3565674 -1.9490852c2.690033 0.61325836 4.721466 2.4888191 5.2152405 4.8151245l0 0c2.2065735 0.5121002 4.0446167 1.8139229 5.039215 3.5691261c0.9945984 1.7552032 1.0481873 3.7915993 0.14694214 5.58305l0 0c2.172821 2.4061127 2.6811218 5.612236 1.3351746 8.42189c-1.3459778 2.8096542 -4.343933 4.8007355 -7.875122 5.230194c-0.024902344 2.636963 -1.7246399 5.05661 -4.4440613 6.326294c-2.7194214 1.2696915 -6.033905 1.1911621 -8.665894 -0.20532227c-1.1210632 3.158226 -4.2765503 5.48201 -8.103119 5.967407c-3.8265991 0.4853897 -7.6383057 -0.954628 -9.78833 -3.6979141c-2.6354675 1.3521805 -5.797821 1.7416992 -8.773712 1.0806885c-2.9758606 -0.6610031 -5.514557 -2.3168488 -7.0434265 -4.594017l0 0c-2.6931458 0.2681427 -5.2970276 -0.9190445 -6.5193787 -2.9723587c-1.2223206 -2.0533142 -0.8029175 -4.53566 1.0500793 -6.2150497l0 0c-2.4023438 -1.2030411 -3.6281586 -3.590271 -3.0382385 -5.9168396c0.5899353 -2.3265686 2.861908 -4.065277 5.631195 -4.3094482z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m259.13217 73.92201l0 0c1.133667 0.5677109 2.4433289 0.8252411 3.7531128 0.7380066m1.7155762 8.449608c0.56326294 -0.05608368 1.1153564 -0.17484283 1.6420593 -0.35321808m14.174286 3.8664017c-0.39614868 -0.5054779 -0.72784424 -1.0456238 -0.9894104 -1.611229m18.881561 -0.6583557l0 0c0.20437622 -0.5757904 0.3368225 -1.1684036 0.39505005 -1.7679596m12.714386 -4.3527985c0.026489258 -2.807457 -1.8476257 -5.3779907 -4.817383 -6.607483m11.357269 -7.044304c-0.4809265 0.95599365 -1.2151489 1.804039 -2.14505 2.4776459m-3.0406494 -11.630074l0 0c0.081970215 0.38605118 0.11987305 0.7779007 0.11325073 1.1701279m-12.684845 -4.036049l0 0c-0.4492798 0.44979477 -0.819397 0.9524307 -1.098877 1.4922676m-9.831085 -0.6116562l0 0c-0.2394104 0.40854263 -0.4182129 0.84085464 -0.53222656 1.286972m-11.989014 0.35201645l0 0c0.69903564 0.3483429 1.3457642 0.7676048 1.9259338 1.2485847m-16.897766 7.240841l0 0c0.071136475 0.4447403 0.1835022 0.88399506 0.3360901 1.313652" fill-rule="evenodd"/><path fill="#000000" d="m277.49347 71.878685q-0.265625 0.09375 -0.546875 0.140625q-0.28125 0.0625 -0.578125 0.0625q-0.921875 0 -1.421875 -0.5q-0.5 -0.515625 -0.5 -1.484375q0 -0.46875 0.140625 -0.84375q0.140625 -0.375 0.40625 -0.640625q0.265625 -0.28125 0.625 -0.421875q0.359375 -0.15625 0.796875 -0.15625q0.3125 0 0.578125 0.046875q0.265625 0.046875 0.5 0.140625l0 0.65625q-0.25 -0.140625 -0.515625 -0.203125q-0.265625 -0.0625 -0.546875 -0.0625q-0.265625 0 -0.5 0.109375q-0.21875 0.09375 -0.40625 0.28125q-0.171875 0.1875 -0.28125 0.46875q-0.09375 0.265625 -0.09375 0.59375q0 0.71875 0.34375 1.078125q0.34375 0.34375 0.96875 0.34375q0.265625 0 0.53125 -0.0625q0.265625 -0.0625 0.5 -0.1875l0 0.640625zm4.7734375 -1.859375q0 0.46875 -0.140625 0.84375q-0.125 0.375 -0.375 0.65625q-0.234375 0.265625 -0.578125 0.421875q-0.34375 0.15625 -0.796875 0.15625q-0.421875 0 -0.75 -0.125q-0.328125 -0.140625 -0.5625 -0.390625q-0.234375 -0.265625 -0.359375 -0.640625q-0.125 -0.375 -0.125 -0.859375q0 -0.453125 0.125 -0.828125q0.140625 -0.390625 0.375 -0.65625q0.25 -0.265625 0.59375 -0.421875q0.34375 -0.15625 0.78125 -0.15625q0.421875 0 0.75 0.140625q0.34375 0.125 0.578125 0.375q0.234375 0.25 0.359375 0.625q0.125 0.375 0.125 0.859375zm-0.703125 0.03125q0 -0.359375 -0.078125 -0.625q-0.078125 -0.28125 -0.234375 -0.453125q-0.140625 -0.1875 -0.359375 -0.28125q-0.203125 -0.09375 -0.46875 -0.09375q-0.3125 0 -0.53125 0.125q-0.203125 0.125 -0.34375 0.328125q-0.140625 0.1875 -0.203125 0.453125q-0.0625 0.265625 -0.0625 0.546875q0 0.375 0.078125 0.65625q0.078125 0.265625 0.21875 0.453125q0.15625 0.171875 0.359375 0.265625q0.21875 0.09375 0.484375 0.09375q0.296875 0 0.515625 -0.125q0.21875 -0.125 0.359375 -0.3125q0.140625 -0.203125 0.203125 -0.46875q0.0625 -0.265625 0.0625 -0.5625zm4.4765625 1.96875l0 -2.8125q0 -0.1875 -0.015625 -0.296875q-0.015625 -0.125 -0.046875 -0.1875q-0.015625 -0.078125 -0.0625 -0.09375q-0.046875 -0.03125 -0.109375 -0.03125q-0.078125 0 -0.15625 0.046875q-0.0625 0.046875 -0.140625 0.15625q-0.078125 0.09375 -0.171875 0.265625q-0.078125 0.171875 -0.203125 0.4375l0 2.515625l-0.625 0l0 -2.75q0 -0.203125 -0.015625 -0.328125q-0.015625 -0.140625 -0.046875 -0.21875q-0.03125 -0.078125 -0.078125 -0.09375q-0.046875 -0.03125 -0.109375 -0.03125q-0.0625 0 -0.125 0.046875q-0.0625 0.03125 -0.140625 0.140625q-0.078125 0.09375 -0.171875 0.265625q-0.09375 0.171875 -0.21875 0.453125l0 2.515625l-0.625 0l0 -3.921875l0.515625 0l0.03125 0.75q0.09375 -0.234375 0.1875 -0.390625q0.109375 -0.15625 0.203125 -0.25q0.109375 -0.09375 0.21875 -0.140625q0.109375 -0.046875 0.25 -0.046875q0.328125 0 0.484375 0.21875q0.171875 0.203125 0.171875 0.640625q0.09375 -0.203125 0.171875 -0.359375q0.09375 -0.171875 0.1875 -0.265625q0.109375 -0.109375 0.234375 -0.171875q0.125 -0.0625 0.296875 -0.0625q0.734375 0 0.734375 1.140625l0 2.859375l-0.625 0zm4.9609375 -2.03125q0 0.484375 -0.140625 0.875q-0.140625 0.375 -0.390625 0.65625q-0.234375 0.265625 -0.59375 0.40625q-0.359375 0.140625 -0.796875 0.140625q-0.359375 0 -0.71875 -0.0625q-0.359375 -0.078125 -0.71875 -0.21875l0 -5.28125l0.6875 0l0 1.515625l-0.03125 0.71875q0.28125 -0.390625 0.609375 -0.546875q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.21875 0.046875 0.40625 0.046875q0.234375 0 0.4375 -0.078125q0.21875 -0.078125 0.375 -0.25q0.171875 -0.171875 0.265625 -0.453125q0.09375 -0.296875 0.09375 -0.71875zm3.2890625 0.765625q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m352.0 58.267483l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m352.0 58.267487l20.572906 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m372.5729 58.267487l-1.1245728 1.1245842l3.0897522 -1.1245842l-3.0897522 -1.1245842z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m184.0 40.0l56.0 0l0 72.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m226.46875 52.758125l-0.578125 3.921875l-0.828125 0l-0.5625 -1.640625l-0.109375 -0.40625l-0.125 0.421875l-0.546875 1.625l-0.796875 0l-0.578125 -3.921875l0.671875 0l0.328125 2.65625l0.0625 0.59375l0.171875 -0.515625l0.578125 -1.765625l0.484375 0l0.609375 1.75l0.171875 0.515625l0.0625 -0.546875l0.3125 -2.6875l0.671875 0zm4.0703125 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" d="m226.46875 68.758125l-0.578125 3.921875l-0.828125 0l-0.5625 -1.640625l-0.109375 -0.40625l-0.125 0.421875l-0.546875 1.625l-0.796875 0l-0.578125 -3.921875l0.671875 0l0.328125 2.65625l0.0625 0.59375l0.171875 -0.515625l0.578125 -1.765625l0.484375 0l0.609375 1.75l0.171875 0.515625l0.0625 -0.546875l0.3125 -2.6875l0.671875 0zm0.5546875 2.046875q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m216.0 50.267483l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m216.0 50.267487l20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m236.5729 50.267487l-1.1245728 1.1245842l3.0897675 -1.1245842l-3.0897675 -1.1245842z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m216.0 66.26749l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m216.0 66.26749l20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m236.5729 66.26749l-1.1245728 1.1245804l3.0897675 -1.1245804l-3.0897675 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m376.0 94.0l-16.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m376.0 94.0l-12.5729065 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m363.4271 94.0l1.1245728 -1.1245804l-3.0897522 1.1245804l3.0897522 1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m360.0 84.5l56.0 0l0 32.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m369.42188 99.305q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m369.42188 109.305q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m360.0 274.2675l56.0 0l0 32.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m369.42188 289.07248q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375z" fill-rule="nonzero"/><path fill="#000000" d="m369.42188 299.07248q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#ffffff" d="m240.0 266.2675l120.0 0l0 64.0l-120.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m240.0 266.2675l120.0 0l0 64.0l-120.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m292.02133 323.50748l-1.046875 0l-1.015625 -2.171875q-0.109375 -0.25 -0.234375 -0.40625q-0.125 -0.171875 -0.265625 -0.265625q-0.125 -0.09375 -0.296875 -0.140625q-0.15625 -0.046875 -0.359375 -0.046875l-0.4375 0l0 3.03125l-0.921875 0l0 -6.796875l1.8125 0q0.59375 0 1.015625 0.125q0.4375 0.125 0.703125 0.359375q0.28125 0.234375 0.40625 0.578125q0.125 0.328125 0.125 0.71875q0 0.328125 -0.09375 0.609375q-0.09375 0.28125 -0.28125 0.515625q-0.1875 0.234375 -0.46875 0.40625q-0.265625 0.15625 -0.609375 0.25q0.28125 0.09375 0.484375 0.34375q0.203125 0.234375 0.40625 0.65625l1.078125 2.234375zm-1.484375 -4.9375q0 -0.546875 -0.34375 -0.8125q-0.34375 -0.265625 -0.953125 -0.265625l-0.875 0l0 2.25l0.75 0q0.328125 0 0.578125 -0.078125q0.265625 -0.078125 0.453125 -0.21875q0.1875 -0.15625 0.28125 -0.375q0.109375 -0.21875 0.109375 -0.5zm6.780731 4.9375l-3.859375 0l0 -6.796875l3.859375 0l0 0.78125l-2.9375 0l0 2.109375l2.828125 0l0 0.78125l-2.828125 0l0 2.328125l2.9375 0l0 0.796875zm6.186981 -5.640625q-0.375 -0.203125 -0.78125 -0.3125q-0.40625 -0.109375 -0.875 -0.109375q-0.546875 0 -0.96875 0.203125q-0.40625 0.1875 -0.703125 0.546875q-0.28125 0.34375 -0.4375 0.84375q-0.15625 0.484375 -0.15625 1.078125q0 0.625 0.125 1.125q0.125 0.484375 0.390625 0.828125q0.265625 0.34375 0.671875 0.53125q0.421875 0.1875 1.0 0.1875q0.09375 0 0.203125 -0.015625q0.125 -0.015625 0.234375 -0.03125q0.109375 -0.015625 0.21875 -0.03125q0.109375 -0.03125 0.1875 -0.0625l0 -2.171875l-1.390625 0l0 -0.765625l2.3125 0l0 3.484375q-0.21875 0.09375 -0.453125 0.171875q-0.234375 0.078125 -0.484375 0.140625q-0.25 0.046875 -0.5 0.0625q-0.234375 0.03125 -0.46875 0.03125q-0.6875 0 -1.25 -0.21875q-0.5625 -0.21875 -0.953125 -0.640625q-0.390625 -0.4375 -0.609375 -1.078125q-0.203125 -0.640625 -0.203125 -1.484375q0 -0.828125 0.234375 -1.484375q0.234375 -0.671875 0.65625 -1.125q0.421875 -0.46875 1.015625 -0.703125q0.59375 -0.25 1.3125 -0.25q0.46875 0 0.875 0.078125q0.421875 0.078125 0.796875 0.25l0 0.921875zm5.702606 3.46875q0 0.5 -0.21875 0.921875q-0.21875 0.40625 -0.609375 0.71875q-0.375 0.296875 -0.90625 0.46875q-0.515625 0.15625 -1.109375 0.15625q-0.15625 0 -0.328125 -0.015625q-0.171875 0 -0.34375 -0.015625q-0.171875 -0.015625 -0.34375 -0.03125q-0.15625 -0.015625 -0.296875 -0.03125l0 -0.828125q0.28125 0.078125 0.640625 0.109375q0.375 0.03125 0.734375 0.03125q0.421875 0 0.75 -0.09375q0.328125 -0.109375 0.5625 -0.296875q0.234375 -0.1875 0.359375 -0.4375q0.125 -0.265625 0.125 -0.59375q0 -0.640625 -0.453125 -0.921875q-0.453125 -0.296875 -1.296875 -0.296875l-1.296875 0l0 -3.46875l3.65625 0l0 0.78125l-2.796875 0l0 1.921875l0.59375 0q0.484375 0 0.9375 0.09375q0.46875 0.078125 0.828125 0.296875q0.359375 0.21875 0.578125 0.59375q0.234375 0.375 0.234375 0.9375zm6.640106 4.3125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.468231 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm8.468231 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm8.186981 4.53125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm4.171356 -3.59375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm3.8119812 4.109375q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm9.765106 -0.828125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m304.0 282.2675l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m304.0 282.2675l24.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m328.0 274.2675l24.0 0l0 40.0l-24.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m328.0 274.2675l24.0 0l0 40.0l-24.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m328.0 301.9316l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m328.0 301.9316l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path fill="#ffffff" d="m261.77835 287.57135l0 0c-0.51608276 -3.2268066 1.1782837 -6.4211426 4.3640747 -8.227539c3.1858215 -1.8063965 7.304352 -1.9080505 10.607971 -0.26184082l0 0c1.170227 -1.8761902 3.3121033 -3.1715698 5.7777405 -3.4943237c2.4656677 -0.3227234 4.965454 0.3650818 6.743286 1.8553772l0 0c0.9969177 -1.7010803 2.9543152 -2.8439941 5.1776733 -3.0231628c2.2233582 -0.1791687 4.3979797 0.6307373 5.7521973 2.1423645l0 0c1.8010254 -1.8031616 4.6665344 -2.5623474 7.3565674 -1.9490967c2.690033 0.61325073 4.721466 2.4888306 5.2152405 4.8151245l0 0c2.2065735 0.51208496 4.0446167 1.8139343 5.039215 3.5691223c0.9945984 1.7552185 1.0481873 3.7915955 0.14694214 5.583069l0 0c2.172821 2.4060974 2.6811218 5.612213 1.3351746 8.421875c-1.3459778 2.8096619 -4.343933 4.80072 -7.875122 5.230194c-0.024902344 2.636963 -1.7246399 5.05661 -4.4440613 6.326294c-2.7194214 1.2696838 -6.033905 1.1911621 -8.665894 -0.20532227c-1.1210632 3.1582336 -4.2765503 5.482025 -8.103119 5.967407c-3.8265991 0.48538208 -7.6383057 -0.95462036 -9.78833 -3.6979065c-2.6354675 1.3521729 -5.797821 1.7416992 -8.773712 1.0806885c-2.9758606 -0.66101074 -5.514557 -2.316864 -7.0434265 -4.5940247l0 0c-2.6931458 0.26812744 -5.2970276 -0.91903687 -6.5193787 -2.972351c-1.2223206 -2.0533142 -0.8029175 -4.535675 1.0500793 -6.2150574l0 0c-2.4023438 -1.2030334 -3.6281586 -3.590271 -3.0382385 -5.9168396c0.5899353 -2.3265686 2.861908 -4.065277 5.631195 -4.3094482z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m259.13217 297.922l0 0c1.133667 0.5677185 2.4433289 0.82525635 3.7531128 0.7380371m1.7155762 8.449585c0.56326294 -0.05606079 1.1153564 -0.1748352 1.6420593 -0.35321045m14.174286 3.866394c-0.39614868 -0.50546265 -0.72784424 -1.0456238 -0.9894104 -1.6112366m18.881561 -0.6583557l0 0c0.20437622 -0.57577515 0.3368225 -1.168396 0.39505005 -1.7679443m12.714386 -4.3528137c0.026489258 -2.807434 -1.8476257 -5.37796 -4.817383 -6.607483m11.357269 -7.044281c-0.4809265 0.95599365 -1.2151489 1.8040161 -2.14505 2.4776306m-3.0406494 -11.630066l0 0c0.081970215 0.38604736 0.11987305 0.77789307 0.11325073 1.1701355m-12.684845 -4.036072l0 0c-0.4492798 0.44979858 -0.819397 0.9524536 -1.098877 1.492279m-9.831085 -0.6116638l0 0c-0.2394104 0.40856934 -0.4182129 0.84085083 -0.53222656 1.2869873m-11.989014 0.35202026l0 0c0.69903564 0.34832764 1.3457642 0.76760864 1.9259338 1.2485657m-16.897766 7.2408447l0 0c0.071136475 0.44473267 0.1835022 0.8840027 0.3360901 1.3136597" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m261.77835 287.57135l0 0c-0.51608276 -3.2268066 1.1782837 -6.4211426 4.3640747 -8.227539c3.1858215 -1.8063965 7.304352 -1.9080505 10.607971 -0.26184082l0 0c1.170227 -1.8761902 3.3121033 -3.1715698 5.7777405 -3.4943237c2.4656677 -0.3227234 4.965454 0.3650818 6.743286 1.8553772l0 0c0.9969177 -1.7010803 2.9543152 -2.8439941 5.1776733 -3.0231628c2.2233582 -0.1791687 4.3979797 0.6307373 5.7521973 2.1423645l0 0c1.8010254 -1.8031616 4.6665344 -2.5623474 7.3565674 -1.9490967c2.690033 0.61325073 4.721466 2.4888306 5.2152405 4.8151245l0 0c2.2065735 0.51208496 4.0446167 1.8139343 5.039215 3.5691223c0.9945984 1.7552185 1.0481873 3.7915955 0.14694214 5.583069l0 0c2.172821 2.4060974 2.6811218 5.612213 1.3351746 8.421875c-1.3459778 2.8096619 -4.343933 4.80072 -7.875122 5.230194c-0.024902344 2.636963 -1.7246399 5.05661 -4.4440613 6.326294c-2.7194214 1.2696838 -6.033905 1.1911621 -8.665894 -0.20532227c-1.1210632 3.1582336 -4.2765503 5.482025 -8.103119 5.967407c-3.8265991 0.48538208 -7.6383057 -0.95462036 -9.78833 -3.6979065c-2.6354675 1.3521729 -5.797821 1.7416992 -8.773712 1.0806885c-2.9758606 -0.66101074 -5.514557 -2.316864 -7.0434265 -4.5940247l0 0c-2.6931458 0.26812744 -5.2970276 -0.91903687 -6.5193787 -2.972351c-1.2223206 -2.0533142 -0.8029175 -4.535675 1.0500793 -6.2150574l0 0c-2.4023438 -1.2030334 -3.6281586 -3.590271 -3.0382385 -5.9168396c0.5899353 -2.3265686 2.861908 -4.065277 5.631195 -4.3094482z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m259.13217 297.922l0 0c1.133667 0.5677185 2.4433289 0.82525635 3.7531128 0.7380371m1.7155762 8.449585c0.56326294 -0.05606079 1.1153564 -0.1748352 1.6420593 -0.35321045m14.174286 3.866394c-0.39614868 -0.50546265 -0.72784424 -1.0456238 -0.9894104 -1.6112366m18.881561 -0.6583557l0 0c0.20437622 -0.57577515 0.3368225 -1.168396 0.39505005 -1.7679443m12.714386 -4.3528137c0.026489258 -2.807434 -1.8476257 -5.37796 -4.817383 -6.607483m11.357269 -7.044281c-0.4809265 0.95599365 -1.2151489 1.8040161 -2.14505 2.4776306m-3.0406494 -11.630066l0 0c0.081970215 0.38604736 0.11987305 0.77789307 0.11325073 1.1701355m-12.684845 -4.036072l0 0c-0.4492798 0.44979858 -0.819397 0.9524536 -1.098877 1.492279m-9.831085 -0.6116638l0 0c-0.2394104 0.40856934 -0.4182129 0.84085083 -0.53222656 1.2869873m-11.989014 0.35202026l0 0c0.69903564 0.34832764 1.3457642 0.76760864 1.9259338 1.2485657m-16.897766 7.2408447l0 0c0.071136475 0.44473267 0.1835022 0.8840027 0.3360901 1.3136597" fill-rule="evenodd"/><path fill="#000000" d="m277.49347 295.8787q-0.265625 0.09375 -0.546875 0.140625q-0.28125 0.0625 -0.578125 0.0625q-0.921875 0 -1.421875 -0.5q-0.5 -0.515625 -0.5 -1.484375q0 -0.46875 0.140625 -0.84375q0.140625 -0.375 0.40625 -0.640625q0.265625 -0.28125 0.625 -0.421875q0.359375 -0.15625 0.796875 -0.15625q0.3125 0 0.578125 0.046875q0.265625 0.046875 0.5 0.140625l0 0.65625q-0.25 -0.140625 -0.515625 -0.203125q-0.265625 -0.0625 -0.546875 -0.0625q-0.265625 0 -0.5 0.109375q-0.21875 0.09375 -0.40625 0.28125q-0.171875 0.1875 -0.28125 0.46875q-0.09375 0.265625 -0.09375 0.59375q0 0.71875 0.34375 1.078125q0.34375 0.34375 0.96875 0.34375q0.265625 0 0.53125 -0.0625q0.265625 -0.0625 0.5 -0.1875l0 0.640625zm4.7734375 -1.859375q0 0.46875 -0.140625 0.84375q-0.125 0.375 -0.375 0.65625q-0.234375 0.265625 -0.578125 0.421875q-0.34375 0.15625 -0.796875 0.15625q-0.421875 0 -0.75 -0.125q-0.328125 -0.140625 -0.5625 -0.390625q-0.234375 -0.265625 -0.359375 -0.640625q-0.125 -0.375 -0.125 -0.859375q0 -0.453125 0.125 -0.828125q0.140625 -0.390625 0.375 -0.65625q0.25 -0.265625 0.59375 -0.421875q0.34375 -0.15625 0.78125 -0.15625q0.421875 0 0.75 0.140625q0.34375 0.125 0.578125 0.375q0.234375 0.25 0.359375 0.625q0.125 0.375 0.125 0.859375zm-0.703125 0.03125q0 -0.359375 -0.078125 -0.625q-0.078125 -0.28125 -0.234375 -0.453125q-0.140625 -0.1875 -0.359375 -0.28125q-0.203125 -0.09375 -0.46875 -0.09375q-0.3125 0 -0.53125 0.125q-0.203125 0.125 -0.34375 0.328125q-0.140625 0.1875 -0.203125 0.453125q-0.0625 0.265625 -0.0625 0.546875q0 0.375 0.078125 0.65625q0.078125 0.265625 0.21875 0.453125q0.15625 0.171875 0.359375 0.265625q0.21875 0.09375 0.484375 0.09375q0.296875 0 0.515625 -0.125q0.21875 -0.125 0.359375 -0.3125q0.140625 -0.203125 0.203125 -0.46875q0.0625 -0.265625 0.0625 -0.5625zm4.4765625 1.96875l0 -2.8125q0 -0.1875 -0.015625 -0.296875q-0.015625 -0.125 -0.046875 -0.1875q-0.015625 -0.078125 -0.0625 -0.09375q-0.046875 -0.03125 -0.109375 -0.03125q-0.078125 0 -0.15625 0.046875q-0.0625 0.046875 -0.140625 0.15625q-0.078125 0.09375 -0.171875 0.265625q-0.078125 0.171875 -0.203125 0.4375l0 2.515625l-0.625 0l0 -2.75q0 -0.203125 -0.015625 -0.328125q-0.015625 -0.140625 -0.046875 -0.21875q-0.03125 -0.078125 -0.078125 -0.09375q-0.046875 -0.03125 -0.109375 -0.03125q-0.0625 0 -0.125 0.046875q-0.0625 0.03125 -0.140625 0.140625q-0.078125 0.09375 -0.171875 0.265625q-0.09375 0.171875 -0.21875 0.453125l0 2.515625l-0.625 0l0 -3.921875l0.515625 0l0.03125 0.75q0.09375 -0.234375 0.1875 -0.390625q0.109375 -0.15625 0.203125 -0.25q0.109375 -0.09375 0.21875 -0.140625q0.109375 -0.046875 0.25 -0.046875q0.328125 0 0.484375 0.21875q0.171875 0.203125 0.171875 0.640625q0.09375 -0.203125 0.171875 -0.359375q0.09375 -0.171875 0.1875 -0.265625q0.109375 -0.109375 0.234375 -0.171875q0.125 -0.0625 0.296875 -0.0625q0.734375 0 0.734375 1.140625l0 2.859375l-0.625 0zm4.9609375 -2.03125q0 0.484375 -0.140625 0.875q-0.140625 0.375 -0.390625 0.65625q-0.234375 0.265625 -0.59375 0.40625q-0.359375 0.140625 -0.796875 0.140625q-0.359375 0 -0.71875 -0.0625q-0.359375 -0.078125 -0.71875 -0.21875l0 -5.28125l0.6875 0l0 1.515625l-0.03125 0.71875q0.28125 -0.390625 0.609375 -0.546875q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.21875 0.046875 0.40625 0.046875q0.234375 0 0.4375 -0.078125q0.21875 -0.078125 0.375 -0.25q0.171875 -0.171875 0.265625 -0.453125q0.09375 -0.296875 0.09375 -0.71875zm3.2890625 0.765625q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m352.0 282.2675l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m352.0 282.2675l20.572906 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m372.5729 282.2675l-1.1245728 1.1245728l3.0897522 -1.1245728l-3.0897522 -1.1245728z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m184.0 264.0l56.0 0l0 72.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m226.46875 276.75812l-0.578125 3.921875l-0.828125 0l-0.5625 -1.640625l-0.109375 -0.40625l-0.125 0.421875l-0.546875 1.625l-0.796875 0l-0.578125 -3.921875l0.671875 0l0.328125 2.65625l0.0625 0.59375l0.171875 -0.515625l0.578125 -1.765625l0.484375 0l0.609375 1.75l0.171875 0.515625l0.0625 -0.546875l0.3125 -2.6875l0.671875 0zm4.0703125 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" d="m226.46875 292.75812l-0.578125 3.921875l-0.828125 0l-0.5625 -1.640625l-0.109375 -0.40625l-0.125 0.421875l-0.546875 1.625l-0.796875 0l-0.578125 -3.921875l0.671875 0l0.328125 2.65625l0.0625 0.59375l0.171875 -0.515625l0.578125 -1.765625l0.484375 0l0.609375 1.75l0.171875 0.515625l0.0625 -0.546875l0.3125 -2.6875l0.671875 0zm0.5546875 2.046875q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m216.0 274.2675l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m216.0 274.2675l20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m236.5729 274.2675l-1.1245728 1.1245728l3.0897675 -1.1245728l-3.0897675 -1.1245728z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m216.0 290.2675l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m216.0 290.2675l20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m236.5729 290.2675l-1.1245728 1.1245728l3.0897675 -1.1245728l-3.0897675 -1.1245728z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m376.0 318.0l-16.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m376.0 318.0l-12.5729065 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m363.4271 318.0l1.1245728 -1.1245728l-3.0897522 1.1245728l3.0897522 1.1245728z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m360.0 308.5l56.0 0l0 32.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m369.42188 323.305q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m369.42188 333.305q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m240.0 168.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m240.0 168.0l-20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m219.4271 168.0l1.1245728 -1.124588l-3.0897675 1.124588l3.0897675 1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m216.0 308.5l32.0 0l0 32.0l-32.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m225.42188 325.305q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375zm7.0078125 0.84375q0 0.21875 -0.078125 0.390625q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.21875q-0.15625 0.078125 -0.34375 0.140625q-0.171875 0.0625 -0.375 0.09375q-0.1875 0.03125 -0.375 0.03125q-0.390625 0 -0.734375 -0.046875q-0.328125 -0.03125 -0.640625 -0.109375l0 -0.625q0.34375 0.09375 0.671875 0.15625q0.34375 0.046875 0.6875 0.046875q0.484375 0 0.71875 -0.125q0.234375 -0.140625 0.234375 -0.390625q0 -0.109375 -0.046875 -0.1875q-0.03125 -0.09375 -0.125 -0.15625q-0.09375 -0.078125 -0.3125 -0.15625q-0.203125 -0.09375 -0.546875 -0.203125q-0.265625 -0.078125 -0.5 -0.171875q-0.21875 -0.09375 -0.390625 -0.234375q-0.15625 -0.140625 -0.25 -0.3125q-0.09375 -0.1875 -0.09375 -0.4375q0 -0.171875 0.078125 -0.359375q0.078125 -0.203125 0.25 -0.359375q0.1875 -0.171875 0.5 -0.28125q0.3125 -0.125 0.78125 -0.125q0.234375 0 0.515625 0.03125q0.28125 0.03125 0.578125 0.09375l0 0.59375q-0.3125 -0.078125 -0.609375 -0.109375q-0.28125 -0.03125 -0.484375 -0.03125q-0.265625 0 -0.4375 0.046875q-0.171875 0.03125 -0.28125 0.09375q-0.109375 0.0625 -0.15625 0.15625q-0.046875 0.09375 -0.046875 0.203125q0 0.109375 0.046875 0.203125q0.046875 0.078125 0.15625 0.15625q0.109375 0.078125 0.296875 0.15625q0.203125 0.078125 0.53125 0.171875q0.34375 0.109375 0.578125 0.21875q0.25 0.109375 0.390625 0.25q0.15625 0.125 0.21875 0.296875q0.0625 0.171875 0.0625 0.390625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m240.0 320.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m240.0 320.0l-20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m219.4271 320.0l1.1245728 -1.1245728l-3.0897675 1.1245728l3.0897675 1.1245728z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m216.0 85.5l32.0 0l0 32.0l-32.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m225.42188 102.305q0 -0.421875 0.109375 -0.796875q0.125 -0.390625 0.34375 -0.671875q0.234375 -0.296875 0.59375 -0.453125q0.375 -0.171875 0.875 -0.171875q0.203125 0 0.390625 0.03125q0.203125 0.03125 0.421875 0.09375l0.59375 -0.15625l0 5.609375l-0.671875 0l0 -1.484375l0.03125 -0.84375q-0.5625 0.796875 -1.328125 0.796875q-0.34375 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.265625 -0.265625 -0.625q-0.078125 -0.359375 -0.078125 -0.78125zm0.703125 -0.046875q0 0.328125 0.046875 0.59375q0.046875 0.25 0.140625 0.4375q0.109375 0.1875 0.25 0.28125q0.15625 0.09375 0.359375 0.09375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.15625 -0.078125 -0.359375 -0.125q-0.203125 -0.046875 -0.421875 -0.046875q-0.578125 0 -0.875 0.390625q-0.296875 0.375 -0.296875 1.109375zm7.0078125 0.84375q0 0.21875 -0.078125 0.390625q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.21875q-0.15625 0.078125 -0.34375 0.140625q-0.171875 0.0625 -0.375 0.09375q-0.1875 0.03125 -0.375 0.03125q-0.390625 0 -0.734375 -0.046875q-0.328125 -0.03125 -0.640625 -0.109375l0 -0.625q0.34375 0.09375 0.671875 0.15625q0.34375 0.046875 0.6875 0.046875q0.484375 0 0.71875 -0.125q0.234375 -0.140625 0.234375 -0.390625q0 -0.109375 -0.046875 -0.1875q-0.03125 -0.09375 -0.125 -0.15625q-0.09375 -0.078125 -0.3125 -0.15625q-0.203125 -0.09375 -0.546875 -0.203125q-0.265625 -0.078125 -0.5 -0.171875q-0.21875 -0.09375 -0.390625 -0.234375q-0.15625 -0.140625 -0.25 -0.3125q-0.09375 -0.1875 -0.09375 -0.4375q0 -0.171875 0.078125 -0.359375q0.078125 -0.203125 0.25 -0.359375q0.1875 -0.171875 0.5 -0.28125q0.3125 -0.125 0.78125 -0.125q0.234375 0 0.515625 0.03125q0.28125 0.03125 0.578125 0.09375l0 0.59375q-0.3125 -0.078125 -0.609375 -0.109375q-0.28125 -0.03125 -0.484375 -0.03125q-0.265625 0 -0.4375 0.046875q-0.171875 0.03125 -0.28125 0.09375q-0.109375 0.0625 -0.15625 0.15625q-0.046875 0.09375 -0.046875 0.203125q0 0.109375 0.046875 0.203125q0.046875 0.078125 0.15625 0.15625q0.109375 0.078125 0.296875 0.15625q0.203125 0.078125 0.53125 0.171875q0.34375 0.109375 0.578125 0.21875q0.25 0.109375 0.390625 0.25q0.15625 0.125 0.21875 0.296875q0.0625 0.171875 0.0625 0.390625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m240.0 96.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m240.0 96.0l-20.572922 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m219.4271 96.0l1.1245728 -1.1245804l-3.0897675 1.1245804l3.0897675 1.1245804z" fill-rule="evenodd"/><path fill="#ffffff" d="m128.0 368.0l243.9685 0l0 32.0l-243.9685 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m128.0 368.0l243.9685 0l0 32.0l-243.9685 0z" fill-rule="evenodd"/><path fill="#000000" d="m221.18347 379.08624q0 0.6875 -0.171875 1.1875q-0.15625 0.5 -0.4375 0.828125q-0.265625 0.328125 -0.640625 0.5q-0.359375 0.15625 -0.78125 0.15625q-0.484375 0 -0.859375 -0.171875q-0.359375 -0.1875 -0.609375 -0.515625q-0.234375 -0.34375 -0.359375 -0.828125q-0.109375 -0.484375 -0.109375 -1.09375q0 -0.671875 0.15625 -1.171875q0.171875 -0.5 0.4375 -0.828125q0.28125 -0.328125 0.640625 -0.484375q0.375 -0.15625 0.78125 -0.15625q0.5 0 0.859375 0.1875q0.375 0.171875 0.609375 0.5q0.25 0.328125 0.359375 0.8125q0.125 0.484375 0.125 1.078125zm-0.71875 0.0625q0 -0.453125 -0.078125 -0.828125q-0.0625 -0.375 -0.21875 -0.640625q-0.15625 -0.265625 -0.390625 -0.40625q-0.234375 -0.15625 -0.578125 -0.15625q-0.328125 0 -0.5625 0.15625q-0.234375 0.15625 -0.390625 0.4375q-0.15625 0.265625 -0.234375 0.625q-0.0625 0.359375 -0.0625 0.78125q0 0.453125 0.0625 0.828125q0.078125 0.359375 0.21875 0.640625q0.15625 0.265625 0.390625 0.421875q0.234375 0.140625 0.578125 0.140625q0.328125 0 0.5625 -0.15625q0.234375 -0.171875 0.390625 -0.4375q0.15625 -0.265625 0.234375 -0.625q0.078125 -0.375 0.078125 -0.78125zm4.9140625 0.5q0 0.515625 -0.15625 0.90625q-0.140625 0.390625 -0.40625 0.65625q-0.25 0.25 -0.609375 0.390625q-0.34375 0.125 -0.75 0.125q-0.1875 0 -0.375 -0.015625q-0.1875 -0.015625 -0.375 -0.078125l0 1.65625l-0.6875 0l0 -5.53125l0.609375 0l0.046875 0.65625q0.28125 -0.40625 0.609375 -0.5625q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.203125 0.046875 0.40625 0.046875q0.546875 0 0.859375 -0.375q0.3125 -0.375 0.3125 -1.125zm4.8984375 1.9375q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125zm2.5859375 -3.296875l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm6.2421875 3.5625q0 0.46875 -0.140625 0.84375q-0.125 0.375 -0.375 0.65625q-0.234375 0.265625 -0.578125 0.421875q-0.34375 0.15625 -0.796875 0.15625q-0.421875 0 -0.75 -0.125q-0.328125 -0.140625 -0.5625 -0.390625q-0.234375 -0.265625 -0.359375 -0.640625q-0.125 -0.375 -0.125 -0.859375q0 -0.453125 0.125 -0.828125q0.140625 -0.390625 0.375 -0.65625q0.25 -0.265625 0.59375 -0.421875q0.34375 -0.15625 0.78125 -0.15625q0.421875 0 0.75 0.140625q0.34375 0.125 0.578125 0.375q0.234375 0.25 0.359375 0.625q0.125 0.375 0.125 0.859375zm-0.703125 0.03125q0 -0.359375 -0.078125 -0.625q-0.078125 -0.28125 -0.234375 -0.453125q-0.140625 -0.1875 -0.359375 -0.28125q-0.203125 -0.09375 -0.46875 -0.09375q-0.3125 0 -0.53125 0.125q-0.203125 0.125 -0.34375 0.328125q-0.140625 0.1875 -0.203125 0.453125q-0.0625 0.265625 -0.0625 0.546875q0 0.375 0.078125 0.65625q0.078125 0.265625 0.21875 0.453125q0.15625 0.171875 0.359375 0.265625q0.21875 0.09375 0.484375 0.09375q0.296875 0 0.515625 -0.125q0.21875 -0.125 0.359375 -0.3125q0.140625 -0.203125 0.203125 -0.46875q0.0625 -0.265625 0.0625 -0.5625zm1.6796875 -1.953125l0.609375 0l0.03125 0.625q0.171875 -0.203125 0.328125 -0.328125q0.15625 -0.140625 0.3125 -0.21875q0.15625 -0.078125 0.3125 -0.109375q0.15625 -0.046875 0.328125 -0.046875q0.609375 0 0.921875 0.359375q0.3125 0.359375 0.3125 1.078125l0 2.5625l-0.6875 0l0 -2.5q0 -0.46875 -0.171875 -0.6875q-0.171875 -0.21875 -0.5 -0.21875q-0.125 0 -0.25 0.046875q-0.125 0.03125 -0.25 0.125q-0.125 0.078125 -0.28125 0.234375q-0.140625 0.15625 -0.328125 0.390625l0 2.609375l-0.6875 0l0 -3.921875zm6.9140625 3.921875l-0.015625 -0.53125q-0.3125 0.3125 -0.640625 0.46875q-0.328125 0.140625 -0.703125 0.140625q-0.328125 0 -0.578125 -0.09375q-0.234375 -0.09375 -0.390625 -0.234375q-0.140625 -0.15625 -0.21875 -0.359375q-0.078125 -0.203125 -0.078125 -0.4375q0 -0.59375 0.4375 -0.921875q0.453125 -0.34375 1.3125 -0.34375l0.8125 0l0 -0.34375q0 -0.34375 -0.234375 -0.546875q-0.21875 -0.21875 -0.671875 -0.21875q-0.328125 0 -0.65625 0.078125q-0.328125 0.078125 -0.671875 0.21875l0 -0.625q0.140625 -0.046875 0.296875 -0.078125q0.15625 -0.046875 0.328125 -0.078125q0.171875 -0.046875 0.359375 -0.0625q0.203125 -0.03125 0.390625 -0.03125q0.359375 0 0.640625 0.078125q0.296875 0.078125 0.484375 0.25q0.203125 0.15625 0.296875 0.40625q0.109375 0.234375 0.109375 0.5625l0 2.703125l-0.609375 0zm-0.0625 -1.78125l-0.875 0q-0.25 0 -0.4375 0.046875q-0.171875 0.046875 -0.296875 0.140625q-0.109375 0.09375 -0.171875 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.125 0.03125 0.234375q0.046875 0.09375 0.125 0.171875q0.078125 0.078125 0.203125 0.125q0.125 0.046875 0.296875 0.046875q0.234375 0 0.53125 -0.140625q0.3125 -0.140625 0.65625 -0.453125l0 -0.6875zm3.2890625 -3.1875l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm11.09375 1.046875l-0.578125 3.921875l-0.828125 0l-0.5625 -1.640625l-0.109375 -0.40625l-0.125 0.421875l-0.546875 1.625l-0.796875 0l-0.578125 -3.921875l0.671875 0l0.328125 2.65625l0.0625 0.59375l0.171875 -0.515625l0.578125 -1.765625l0.484375 0l0.609375 1.75l0.171875 0.515625l0.0625 -0.546875l0.3125 -2.6875l0.671875 0zm2.1015625 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm2.8203125 1.640625l0.609375 0l0.03125 0.625q0.171875 -0.203125 0.328125 -0.328125q0.15625 -0.140625 0.3125 -0.21875q0.15625 -0.078125 0.3125 -0.109375q0.15625 -0.046875 0.328125 -0.046875q0.609375 0 0.921875 0.359375q0.3125 0.359375 0.3125 1.078125l0 2.5625l-0.6875 0l0 -2.5q0 -0.46875 -0.171875 -0.6875q-0.171875 -0.21875 -0.5 -0.21875q-0.125 0 -0.25 0.046875q-0.125 0.03125 -0.25 0.125q-0.125 0.078125 -0.28125 0.234375q-0.140625 0.15625 -0.328125 0.390625l0 2.609375l-0.6875 0l0 -3.921875zm4.1953125 2.046875q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.3203125 -0.078125q0 0.46875 -0.140625 0.84375q-0.125 0.375 -0.375 0.65625q-0.234375 0.265625 -0.578125 0.421875q-0.34375 0.15625 -0.796875 0.15625q-0.421875 0 -0.75 -0.125q-0.328125 -0.140625 -0.5625 -0.390625q-0.234375 -0.265625 -0.359375 -0.640625q-0.125 -0.375 -0.125 -0.859375q0 -0.453125 0.125 -0.828125q0.140625 -0.390625 0.375 -0.65625q0.25 -0.265625 0.59375 -0.421875q0.34375 -0.15625 0.78125 -0.15625q0.421875 0 0.75 0.140625q0.34375 0.125 0.578125 0.375q0.234375 0.25 0.359375 0.625q0.125 0.375 0.125 0.859375zm-0.703125 0.03125q0 -0.359375 -0.078125 -0.625q-0.078125 -0.28125 -0.234375 -0.453125q-0.140625 -0.1875 -0.359375 -0.28125q-0.203125 -0.09375 -0.46875 -0.09375q-0.3125 0 -0.53125 0.125q-0.203125 0.125 -0.34375 0.328125q-0.140625 0.1875 -0.203125 0.453125q-0.0625 0.265625 -0.0625 0.546875q0 0.375 0.078125 0.65625q0.078125 0.265625 0.21875 0.453125q0.15625 0.171875 0.359375 0.265625q0.21875 0.09375 0.484375 0.09375q0.296875 0 0.515625 -0.125q0.21875 -0.125 0.359375 -0.3125q0.140625 -0.203125 0.203125 -0.46875q0.0625 -0.265625 0.0625 -0.5625zm5.3203125 -1.953125l-0.578125 3.921875l-0.828125 0l-0.5625 -1.640625l-0.109375 -0.40625l-0.125 0.421875l-0.546875 1.625l-0.796875 0l-0.578125 -3.921875l0.671875 0l0.328125 2.65625l0.0625 0.59375l0.171875 -0.515625l0.578125 -1.765625l0.484375 0l0.609375 1.75l0.171875 0.515625l0.0625 -0.546875l0.3125 -2.6875l0.671875 0z" fill-rule="nonzero"/><path fill="#000000" d="m222.33582 391.68l-0.015625 -0.53125q-0.3125 0.3125 -0.640625 0.46875q-0.328125 0.140625 -0.703125 0.140625q-0.328125 0 -0.578125 -0.09375q-0.234375 -0.09375 -0.390625 -0.234375q-0.140625 -0.15625 -0.21875 -0.359375q-0.078125 -0.203125 -0.078125 -0.4375q0 -0.59375 0.4375 -0.921875q0.453125 -0.34375 1.3125 -0.34375l0.8125 0l0 -0.34375q0 -0.34375 -0.234375 -0.546875q-0.21875 -0.21875 -0.671875 -0.21875q-0.328125 0 -0.65625 0.078125q-0.328125 0.078125 -0.671875 0.21875l0 -0.625q0.140625 -0.046875 0.296875 -0.078125q0.15625 -0.046875 0.328125 -0.078125q0.171875 -0.046875 0.359375 -0.0625q0.203125 -0.03125 0.390625 -0.03125q0.359375 0 0.640625 0.078125q0.296875 0.078125 0.484375 0.25q0.203125 0.15625 0.296875 0.40625q0.109375 0.234375 0.109375 0.5625l0 2.703125l-0.609375 0zm-0.0625 -1.78125l-0.875 0q-0.25 0 -0.4375 0.046875q-0.171875 0.046875 -0.296875 0.140625q-0.109375 0.09375 -0.171875 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.125 0.03125 0.234375q0.046875 0.09375 0.125 0.171875q0.078125 0.078125 0.203125 0.125q0.125 0.046875 0.296875 0.046875q0.234375 0 0.53125 -0.140625q0.3125 -0.140625 0.65625 -0.453125l0 -0.6875zm1.7421875 -0.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm3.6953125 0.046875q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm4.0546875 -2.0l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875zm7.5546875 1.75q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.8984375 1.1875q0 0.21875 -0.078125 0.390625q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.21875q-0.15625 0.078125 -0.34375 0.140625q-0.171875 0.0625 -0.375 0.09375q-0.1875 0.03125 -0.375 0.03125q-0.390625 0 -0.734375 -0.046875q-0.328125 -0.03125 -0.640625 -0.109375l0 -0.625q0.34375 0.09375 0.671875 0.15625q0.34375 0.046875 0.6875 0.046875q0.484375 0 0.71875 -0.125q0.234375 -0.140625 0.234375 -0.390625q0 -0.109375 -0.046875 -0.1875q-0.03125 -0.09375 -0.125 -0.15625q-0.09375 -0.078125 -0.3125 -0.15625q-0.203125 -0.09375 -0.546875 -0.203125q-0.265625 -0.078125 -0.5 -0.171875q-0.21875 -0.09375 -0.390625 -0.234375q-0.15625 -0.140625 -0.25 -0.3125q-0.09375 -0.1875 -0.09375 -0.4375q0 -0.171875 0.078125 -0.359375q0.078125 -0.203125 0.25 -0.359375q0.1875 -0.171875 0.5 -0.28125q0.3125 -0.125 0.78125 -0.125q0.234375 0 0.515625 0.03125q0.28125 0.03125 0.578125 0.09375l0 0.59375q-0.3125 -0.078125 -0.609375 -0.109375q-0.28125 -0.03125 -0.484375 -0.03125q-0.265625 0 -0.4375 0.046875q-0.171875 0.03125 -0.28125 0.09375q-0.109375 0.0625 -0.15625 0.15625q-0.046875 0.09375 -0.046875 0.203125q0 0.109375 0.046875 0.203125q0.046875 0.078125 0.15625 0.15625q0.109375 0.078125 0.296875 0.15625q0.203125 0.078125 0.53125 0.171875q0.34375 0.109375 0.578125 0.21875q0.25 0.109375 0.390625 0.25q0.15625 0.125 0.21875 0.296875q0.0625 0.171875 0.0625 0.390625zm4.3984375 0q0 0.21875 -0.078125 0.390625q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.28125 0.21875q-0.15625 0.078125 -0.34375 0.140625q-0.171875 0.0625 -0.375 0.09375q-0.1875 0.03125 -0.375 0.03125q-0.390625 0 -0.734375 -0.046875q-0.328125 -0.03125 -0.640625 -0.109375l0 -0.625q0.34375 0.09375 0.671875 0.15625q0.34375 0.046875 0.6875 0.046875q0.484375 0 0.71875 -0.125q0.234375 -0.140625 0.234375 -0.390625q0 -0.109375 -0.046875 -0.1875q-0.03125 -0.09375 -0.125 -0.15625q-0.09375 -0.078125 -0.3125 -0.15625q-0.203125 -0.09375 -0.546875 -0.203125q-0.265625 -0.078125 -0.5 -0.171875q-0.21875 -0.09375 -0.390625 -0.234375q-0.15625 -0.140625 -0.25 -0.3125q-0.09375 -0.1875 -0.09375 -0.4375q0 -0.171875 0.078125 -0.359375q0.078125 -0.203125 0.25 -0.359375q0.1875 -0.171875 0.5 -0.28125q0.3125 -0.125 0.78125 -0.125q0.234375 0 0.515625 0.03125q0.28125 0.03125 0.578125 0.09375l0 0.59375q-0.3125 -0.078125 -0.609375 -0.109375q-0.28125 -0.03125 -0.484375 -0.03125q-0.265625 0 -0.4375 0.046875q-0.171875 0.03125 -0.28125 0.09375q-0.109375 0.0625 -0.15625 0.15625q-0.046875 0.09375 -0.046875 0.203125q0 0.109375 0.046875 0.203125q0.046875 0.078125 0.15625 0.15625q0.109375 0.078125 0.296875 0.15625q0.203125 0.078125 0.53125 0.171875q0.34375 0.109375 0.578125 0.21875q0.25 0.109375 0.390625 0.25q0.15625 0.125 0.21875 0.296875q0.0625 0.171875 0.0625 0.390625zm5.484375 -0.796875q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm4.8359375 2.125q-0.265625 0.09375 -0.546875 0.140625q-0.28125 0.0625 -0.578125 0.0625q-0.921875 0 -1.421875 -0.5q-0.5 -0.515625 -0.5 -1.484375q0 -0.46875 0.140625 -0.84375q0.140625 -0.375 0.40625 -0.640625q0.265625 -0.28125 0.625 -0.421875q0.359375 -0.15625 0.796875 -0.15625q0.3125 0 0.578125 0.046875q0.265625 0.046875 0.5 0.140625l0 0.65625q-0.25 -0.140625 -0.515625 -0.203125q-0.265625 -0.0625 -0.546875 -0.0625q-0.265625 0 -0.5 0.109375q-0.21875 0.09375 -0.40625 0.28125q-0.171875 0.1875 -0.28125 0.46875q-0.09375 0.265625 -0.09375 0.59375q0 0.71875 0.34375 1.078125q0.34375 0.34375 0.96875 0.34375q0.265625 0 0.53125 -0.0625q0.265625 -0.0625 0.5 -0.1875l0 0.640625zm4.7734375 -1.859375q0 0.46875 -0.140625 0.84375q-0.125 0.375 -0.375 0.65625q-0.234375 0.265625 -0.578125 0.421875q-0.34375 0.15625 -0.796875 0.15625q-0.421875 0 -0.75 -0.125q-0.328125 -0.140625 -0.5625 -0.390625q-0.234375 -0.265625 -0.359375 -0.640625q-0.125 -0.375 -0.125 -0.859375q0 -0.453125 0.125 -0.828125q0.140625 -0.390625 0.375 -0.65625q0.25 -0.265625 0.59375 -0.421875q0.34375 -0.15625 0.78125 -0.15625q0.421875 0 0.75 0.140625q0.34375 0.125 0.578125 0.375q0.234375 0.25 0.359375 0.625q0.125 0.375 0.125 0.859375zm-0.703125 0.03125q0 -0.359375 -0.078125 -0.625q-0.078125 -0.28125 -0.234375 -0.453125q-0.140625 -0.1875 -0.359375 -0.28125q-0.203125 -0.09375 -0.46875 -0.09375q-0.3125 0 -0.53125 0.125q-0.203125 0.125 -0.34375 0.328125q-0.140625 0.1875 -0.203125 0.453125q-0.0625 0.265625 -0.0625 0.546875q0 0.375 0.078125 0.65625q0.078125 0.265625 0.21875 0.453125q0.15625 0.171875 0.359375 0.265625q0.21875 0.09375 0.484375 0.09375q0.296875 0 0.515625 -0.125q0.21875 -0.125 0.359375 -0.3125q0.140625 -0.203125 0.203125 -0.46875q0.0625 -0.265625 0.0625 -0.5625zm1.4765625 0.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0z" fill-rule="nonzero"/><path fill="#ffffff" d="m128.0 408.0l243.9685 0l0 32.0l-243.9685 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m128.0 408.0l243.9685 0l0 32.0l-243.9685 0z" fill-rule="evenodd"/><path fill="#000000" d="m218.85925 422.16437l-1.515625 0l0 4.515625l-0.703125 0l0 -4.515625l-1.5 0l0 -0.59375l3.71875 0l0 0.59375zm4.1796875 4.515625l-2.9375 0l0 -5.109375l0.71875 0l0 4.515625l2.21875 0l0 0.59375zm4.5390625 -1.78125q0 0.421875 -0.125 0.765625q-0.125 0.34375 -0.359375 0.59375q-0.21875 0.234375 -0.5625 0.375q-0.328125 0.125 -0.765625 0.125q-0.46875 0 -0.8125 -0.125q-0.328125 -0.140625 -0.53125 -0.359375q-0.203125 -0.234375 -0.3125 -0.546875q-0.09375 -0.328125 -0.09375 -0.71875l0 -3.4375l0.703125 0l0 3.375q0 0.3125 0.046875 0.546875q0.0625 0.21875 0.1875 0.375q0.140625 0.140625 0.34375 0.21875q0.203125 0.078125 0.5 0.078125q0.546875 0 0.8125 -0.3125q0.265625 -0.328125 0.265625 -0.90625l0 -3.375l0.703125 0l0 3.328125zm4.2578125 1.78125l-2.9375 0l0 -5.109375l0.71875 0l0 4.515625l2.21875 0l0 0.59375zm8.84375 0l-3.203125 0l0 -0.640625l1.3125 0l0 -3.71875l-1.21875 0.65625l-0.25 -0.578125l1.625 -0.84375l0.59375 0l0 4.484375l1.140625 0l0 0.640625zm2.7109375 -4.0q0.125 0 0.234375 0.0625q0.109375 0.046875 0.1875 0.125q0.078125 0.078125 0.125 0.1875q0.046875 0.109375 0.046875 0.234375q0 0.125 -0.046875 0.234375q-0.046875 0.109375 -0.125 0.1875q-0.078125 0.078125 -0.1875 0.125q-0.109375 0.046875 -0.234375 0.046875q-0.125 0 -0.234375 -0.046875q-0.109375 -0.046875 -0.1875 -0.125q-0.078125 -0.078125 -0.125 -0.1875q-0.046875 -0.109375 -0.046875 -0.234375q0 -0.125 0.046875 -0.234375q0.046875 -0.109375 0.125 -0.1875q0.078125 -0.078125 0.1875 -0.125q0.109375 -0.0625 0.234375 -0.0625zm0 2.875q0.125 0 0.234375 0.046875q0.109375 0.046875 0.1875 0.125q0.078125 0.078125 0.125 0.1875q0.046875 0.109375 0.046875 0.234375q0 0.125 -0.046875 0.234375q-0.046875 0.109375 -0.125 0.1875q-0.078125 0.078125 -0.1875 0.125q-0.109375 0.0625 -0.234375 0.0625q-0.125 0 -0.234375 -0.0625q-0.109375 -0.046875 -0.1875 -0.125q-0.078125 -0.078125 -0.125 -0.1875q-0.046875 -0.109375 -0.046875 -0.234375q0 -0.125 0.046875 -0.234375q0.046875 -0.109375 0.125 -0.1875q0.078125 -0.078125 0.1875 -0.125q0.109375 -0.046875 0.234375 -0.046875zm6.1328125 1.125l-0.90625 0l-1.484375 -3.1875l-0.4375 -1.015625l0 2.5625l0 1.640625l-0.640625 0l0 -5.109375l0.890625 0l1.421875 3.015625l0.515625 1.171875l0 -2.734375l0 -1.453125l0.640625 0l0 5.109375zm9.21875 0l-0.75 0l-0.359375 -1.109375l-2.125 0l-0.359375 1.109375l-0.71875 0l1.6875 -5.109375l0.953125 0l1.671875 5.109375zm-1.3125 -1.734375l-0.859375 -2.734375l-0.859375 2.734375l1.71875 0zm1.7734375 -0.140625q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm6.4140625 1.921875l-0.015625 -0.53125q-0.3125 0.3125 -0.640625 0.46875q-0.328125 0.140625 -0.703125 0.140625q-0.328125 0 -0.578125 -0.09375q-0.234375 -0.09375 -0.390625 -0.234375q-0.140625 -0.15625 -0.21875 -0.359375q-0.078125 -0.203125 -0.078125 -0.4375q0 -0.59375 0.4375 -0.921875q0.453125 -0.34375 1.3125 -0.34375l0.8125 0l0 -0.34375q0 -0.34375 -0.234375 -0.546875q-0.21875 -0.21875 -0.671875 -0.21875q-0.328125 0 -0.65625 0.078125q-0.328125 0.078125 -0.671875 0.21875l0 -0.625q0.140625 -0.046875 0.296875 -0.078125q0.15625 -0.046875 0.328125 -0.078125q0.171875 -0.046875 0.359375 -0.0625q0.203125 -0.03125 0.390625 -0.03125q0.359375 0 0.640625 0.078125q0.296875 0.078125 0.484375 0.25q0.203125 0.15625 0.296875 0.40625q0.109375 0.234375 0.109375 0.5625l0 2.703125l-0.609375 0zm-0.0625 -1.78125l-0.875 0q-0.25 0 -0.4375 0.046875q-0.171875 0.046875 -0.296875 0.140625q-0.109375 0.09375 -0.171875 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.125 0.03125 0.234375q0.046875 0.09375 0.125 0.171875q0.078125 0.078125 0.203125 0.125q0.125 0.046875 0.296875 0.046875q0.234375 0 0.53125 -0.140625q0.3125 -0.140625 0.65625 -0.453125l0 -0.6875zm5.3046875 -0.25q0 0.515625 -0.15625 0.90625q-0.140625 0.390625 -0.40625 0.65625q-0.25 0.25 -0.609375 0.390625q-0.34375 0.125 -0.75 0.125q-0.1875 0 -0.375 -0.015625q-0.1875 -0.015625 -0.375 -0.078125l0 1.65625l-0.6875 0l0 -5.53125l0.609375 0l0.046875 0.65625q0.28125 -0.40625 0.609375 -0.5625q0.34375 -0.171875 0.734375 -0.171875q0.328125 0 0.578125 0.140625q0.265625 0.140625 0.4375 0.40625q0.171875 0.25 0.25 0.609375q0.09375 0.359375 0.09375 0.8125zm-0.703125 0.03125q0 -0.3125 -0.046875 -0.5625q-0.046875 -0.265625 -0.140625 -0.4375q-0.09375 -0.1875 -0.25 -0.296875q-0.15625 -0.109375 -0.359375 -0.109375q-0.125 0 -0.265625 0.046875q-0.125 0.046875 -0.28125 0.140625q-0.140625 0.09375 -0.296875 0.25q-0.15625 0.15625 -0.328125 0.390625l0 1.90625q0.1875 0.078125 0.390625 0.125q0.203125 0.046875 0.40625 0.046875q0.546875 0 0.859375 -0.375q0.3125 -0.375 0.3125 -1.125zm4.8984375 1.9375q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125zm4.5546875 -2.109375q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm1.9453125 -1.65625l0.625 0l0.015625 0.71875q0.359375 -0.421875 0.6875 -0.609375q0.34375 -0.1875 0.6875 -0.1875q0.609375 0 0.921875 0.40625q0.3125 0.390625 0.296875 1.171875l-0.6875 0q0 -0.515625 -0.15625 -0.75q-0.15625 -0.234375 -0.46875 -0.234375q-0.140625 0 -0.28125 0.046875q-0.140625 0.046875 -0.296875 0.15625q-0.140625 0.109375 -0.3125 0.28125q-0.15625 0.15625 -0.34375 0.40625l0 2.515625l-0.6875 0l0 -3.921875z" fill-rule="nonzero"/><path fill="#ffffff" d="m160.0 440.0l8.0 8.0l-4.0 0l0 16.0l4.0 0l-8.0 8.0l-8.0 -8.0l4.0 0l0 -16.0l-4.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m160.0 440.0l8.0 8.0l-4.0 0l0 16.0l4.0 0l-8.0 8.0l-8.0 -8.0l4.0 0l0 -16.0l-4.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m248.0 440.0l8.0 8.0l-4.0 0l0 16.0l4.0 0l-8.0 8.0l-8.0 -8.0l4.0 0l0 -16.0l-4.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m248.0 440.0l8.0 8.0l-4.0 0l0 16.0l4.0 0l-8.0 8.0l-8.0 -8.0l4.0 0l0 -16.0l-4.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m336.0 440.0l8.0 8.0l-4.0 0l0 16.0l4.0 0l-8.0 8.0l-8.0 -8.0l4.0 0l0 -16.0l-4.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m336.0 440.0l8.0 8.0l-4.0 0l0 16.0l4.0 0l-8.0 8.0l-8.0 -8.0l4.0 0l0 -16.0l-4.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m136.0 464.0l48.0 0l0 32.0l-48.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m153.68854 477.22437l-2.015625 0l0 6.015625l-0.921875 0l0 -6.015625l-2.015625 0l0 -0.78125l4.953125 0l0 0.78125zm5.577606 6.015625l-3.90625 0l0 -6.796875l0.9375 0l0 6.0l2.96875 0l0 0.796875zm6.030731 -2.375q0 0.5625 -0.15625 1.03125q-0.15625 0.453125 -0.46875 0.78125q-0.3125 0.3125 -0.765625 0.484375q-0.4375 0.171875 -1.015625 0.171875q-0.625 0 -1.078125 -0.15625q-0.4375 -0.171875 -0.71875 -0.46875q-0.265625 -0.3125 -0.40625 -0.734375q-0.125 -0.4375 -0.125 -0.96875l0 -4.5625l0.9375 0l0 4.5q0 0.390625 0.0625 0.703125q0.078125 0.296875 0.25 0.5q0.171875 0.203125 0.4375 0.3125q0.28125 0.09375 0.671875 0.09375q0.75 0 1.09375 -0.421875q0.359375 -0.421875 0.359375 -1.203125l0 -4.484375l0.921875 0l0 4.421875zm5.686981 2.375l-3.90625 0l0 -6.796875l0.9375 0l0 6.0l2.96875 0l0 0.796875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m224.0 464.0l48.0 0l0 32.0l-48.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m241.68854 477.22437l-2.015625 0l0 6.015625l-0.921875 0l0 -6.015625l-2.015625 0l0 -0.78125l4.953125 0l0 0.78125zm5.577606 6.015625l-3.90625 0l0 -6.796875l0.9375 0l0 6.0l2.96875 0l0 0.796875zm6.030731 -2.375q0 0.5625 -0.15625 1.03125q-0.15625 0.453125 -0.46875 0.78125q-0.3125 0.3125 -0.765625 0.484375q-0.4375 0.171875 -1.015625 0.171875q-0.625 0 -1.078125 -0.15625q-0.4375 -0.171875 -0.71875 -0.46875q-0.265625 -0.3125 -0.40625 -0.734375q-0.125 -0.4375 -0.125 -0.96875l0 -4.5625l0.9375 0l0 4.5q0 0.390625 0.0625 0.703125q0.078125 0.296875 0.25 0.5q0.171875 0.203125 0.4375 0.3125q0.28125 0.09375 0.671875 0.09375q0.75 0 1.09375 -0.421875q0.359375 -0.421875 0.359375 -1.203125l0 -4.484375l0.921875 0l0 4.421875zm5.686981 2.375l-3.90625 0l0 -6.796875l0.9375 0l0 6.0l2.96875 0l0 0.796875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m312.0 464.0l48.0 0l0 32.0l-48.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m329.68854 477.22437l-2.015625 0l0 6.015625l-0.921875 0l0 -6.015625l-2.015625 0l0 -0.78125l4.953125 0l0 0.78125zm5.577606 6.015625l-3.90625 0l0 -6.796875l0.9375 0l0 6.0l2.96875 0l0 0.796875zm6.030731 -2.375q0 0.5625 -0.15625 1.03125q-0.15625 0.453125 -0.46875 0.78125q-0.3125 0.3125 -0.765625 0.484375q-0.4375 0.171875 -1.015625 0.171875q-0.625 0 -1.078125 -0.15625q-0.4375 -0.171875 -0.71875 -0.46875q-0.265625 -0.3125 -0.40625 -0.734375q-0.125 -0.4375 -0.125 -0.96875l0 -4.5625l0.9375 0l0 4.5q0 0.390625 0.0625 0.703125q0.078125 0.296875 0.25 0.5q0.171875 0.203125 0.4375 0.3125q0.28125 0.09375 0.671875 0.09375q0.75 0 1.09375 -0.421875q0.359375 -0.421875 0.359375 -1.203125l0 -4.484375l0.921875 0l0 4.421875zm5.686981 2.375l-3.90625 0l0 -6.796875l0.9375 0l0 6.0l2.96875 0l0 0.796875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m136.0 480.0l224.0 0l0 32.0l-224.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m188.0039 498.6175q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125zm2.5859375 -4.90625l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm6.8359375 6.578125l-4.40625 0l0 -0.5625l4.40625 0l0 0.5625zm4.2578125 -5.53125l-0.578125 3.921875l-0.828125 0l-0.5625 -1.640625l-0.109375 -0.40625l-0.125 0.421875l-0.546875 1.625l-0.796875 0l-0.578125 -3.921875l0.671875 0l0.328125 2.65625l0.0625 0.59375l0.171875 -0.515625l0.578125 -1.765625l0.484375 0l0.609375 1.75l0.171875 0.515625l0.0625 -0.546875l0.3125 -2.6875l0.671875 0zm2.1015625 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm2.8203125 1.640625l0.609375 0l0.03125 0.625q0.171875 -0.203125 0.328125 -0.328125q0.15625 -0.140625 0.3125 -0.21875q0.15625 -0.078125 0.3125 -0.109375q0.15625 -0.046875 0.328125 -0.046875q0.609375 0 0.921875 0.359375q0.3125 0.359375 0.3125 1.078125l0 2.5625l-0.6875 0l0 -2.5q0 -0.46875 -0.171875 -0.6875q-0.171875 -0.21875 -0.5 -0.21875q-0.125 0 -0.25 0.046875q-0.125 0.03125 -0.25 0.125q-0.125 0.078125 -0.28125 0.234375q-0.140625 0.15625 -0.328125 0.390625l0 2.609375l-0.6875 0l0 -3.921875zm8.1796875 5.53125l-4.40625 0l0 -0.5625l4.40625 0l0 0.5625zm4.0390625 -3.609375q0 0.46875 -0.140625 0.84375q-0.125 0.375 -0.375 0.65625q-0.234375 0.265625 -0.578125 0.421875q-0.34375 0.15625 -0.796875 0.15625q-0.421875 0 -0.75 -0.125q-0.328125 -0.140625 -0.5625 -0.390625q-0.234375 -0.265625 -0.359375 -0.640625q-0.125 -0.375 -0.125 -0.859375q0 -0.453125 0.125 -0.828125q0.140625 -0.390625 0.375 -0.65625q0.25 -0.265625 0.59375 -0.421875q0.34375 -0.15625 0.78125 -0.15625q0.421875 0 0.75 0.140625q0.34375 0.125 0.578125 0.375q0.234375 0.25 0.359375 0.625q0.125 0.375 0.125 0.859375zm-0.703125 0.03125q0 -0.359375 -0.078125 -0.625q-0.078125 -0.28125 -0.234375 -0.453125q-0.140625 -0.1875 -0.359375 -0.28125q-0.203125 -0.09375 -0.46875 -0.09375q-0.3125 0 -0.53125 0.125q-0.203125 0.125 -0.34375 0.328125q-0.140625 0.1875 -0.203125 0.453125q-0.0625 0.265625 -0.0625 0.546875q0 0.375 0.078125 0.65625q0.078125 0.265625 0.21875 0.453125q0.15625 0.171875 0.359375 0.265625q0.21875 0.09375 0.484375 0.09375q0.296875 0 0.515625 -0.125q0.21875 -0.125 0.359375 -0.3125q0.140625 -0.203125 0.203125 -0.46875q0.0625 -0.265625 0.0625 -0.5625zm8.734375 3.578125l-1.9375 0l0 -7.265625l1.9375 0l0 0.546875l-1.28125 0l0 6.171875l1.28125 0l0 0.546875zm5.0546875 -1.609375l-0.90625 0l-1.484375 -3.1875l-0.4375 -1.015625l0 2.5625l0 1.640625l-0.640625 0l0 -5.109375l0.890625 0l1.421875 3.015625l0.515625 1.171875l0 -2.734375l0 -1.453125l0.640625 0l0 5.109375zm3.5078125 1.609375l-1.921875 0l0 -0.546875l1.265625 0l0 -6.171875l-1.265625 0l0 -0.546875l1.921875 0l0 7.265625zm8.890625 -1.609375l-0.015625 -0.53125q-0.3125 0.3125 -0.640625 0.46875q-0.328125 0.140625 -0.703125 0.140625q-0.328125 0 -0.578125 -0.09375q-0.234375 -0.09375 -0.390625 -0.234375q-0.140625 -0.15625 -0.21875 -0.359375q-0.078125 -0.203125 -0.078125 -0.4375q0 -0.59375 0.4375 -0.921875q0.453125 -0.34375 1.3125 -0.34375l0.8125 0l0 -0.34375q0 -0.34375 -0.234375 -0.546875q-0.21875 -0.21875 -0.671875 -0.21875q-0.328125 0 -0.65625 0.078125q-0.328125 0.078125 -0.671875 0.21875l0 -0.625q0.140625 -0.046875 0.296875 -0.078125q0.15625 -0.046875 0.328125 -0.078125q0.171875 -0.046875 0.359375 -0.0625q0.203125 -0.03125 0.390625 -0.03125q0.359375 0 0.640625 0.078125q0.296875 0.078125 0.484375 0.25q0.203125 0.15625 0.296875 0.40625q0.109375 0.234375 0.109375 0.5625l0 2.703125l-0.609375 0zm-0.0625 -1.78125l-0.875 0q-0.25 0 -0.4375 0.046875q-0.171875 0.046875 -0.296875 0.140625q-0.109375 0.09375 -0.171875 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.125 0.03125 0.234375q0.046875 0.09375 0.125 0.171875q0.078125 0.078125 0.203125 0.125q0.125 0.046875 0.296875 0.046875q0.234375 0 0.53125 -0.140625q0.3125 -0.140625 0.65625 -0.453125l0 -0.6875zm1.9453125 -2.140625l0.609375 0l0.03125 0.625q0.171875 -0.203125 0.328125 -0.328125q0.15625 -0.140625 0.3125 -0.21875q0.15625 -0.078125 0.3125 -0.109375q0.15625 -0.046875 0.328125 -0.046875q0.609375 0 0.921875 0.359375q0.3125 0.359375 0.3125 1.078125l0 2.5625l-0.6875 0l0 -2.5q0 -0.46875 -0.171875 -0.6875q-0.171875 -0.21875 -0.5 -0.21875q-0.125 0 -0.25 0.046875q-0.125 0.03125 -0.25 0.125q-0.125 0.078125 -0.28125 0.234375q-0.140625 0.15625 -0.328125 0.390625l0 2.609375l-0.6875 0l0 -3.921875zm4.1953125 2.046875q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm11.453125 1.859375q-0.21875 0.0625 -0.46875 0.09375q-0.25 0.03125 -0.5 0.03125q-0.734375 0 -1.109375 -0.328125q-0.359375 -0.34375 -0.359375 -1.046875l0 -2.046875l-1.09375 0l0 -0.5625l1.09375 0l0 -1.078125l0.6875 -0.171875l0 1.25l1.75 0l0 0.5625l-1.75 0l0 2.0q0 0.421875 0.21875 0.640625q0.21875 0.203125 0.65625 0.203125q0.1875 0 0.40625 -0.03125q0.234375 -0.03125 0.46875 -0.09375l0 0.578125zm2.5859375 -4.90625l-1.171875 0l0 -0.546875l1.859375 0l0 4.953125l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -4.40625zm6.8359375 6.578125l-4.40625 0l0 -0.5625l4.40625 0l0 0.5625zm4.2578125 -5.53125l-0.578125 3.921875l-0.828125 0l-0.5625 -1.640625l-0.109375 -0.40625l-0.125 0.421875l-0.546875 1.625l-0.796875 0l-0.578125 -3.921875l0.671875 0l0.328125 2.65625l0.0625 0.59375l0.171875 -0.515625l0.578125 -1.765625l0.484375 0l0.609375 1.75l0.171875 0.515625l0.0625 -0.546875l0.3125 -2.6875l0.671875 0zm2.1015625 0.5625l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm2.8203125 1.640625l0.609375 0l0.03125 0.625q0.171875 -0.203125 0.328125 -0.328125q0.15625 -0.140625 0.3125 -0.21875q0.15625 -0.078125 0.3125 -0.109375q0.15625 -0.046875 0.328125 -0.046875q0.609375 0 0.921875 0.359375q0.3125 0.359375 0.3125 1.078125l0 2.5625l-0.6875 0l0 -2.5q0 -0.46875 -0.171875 -0.6875q-0.171875 -0.21875 -0.5 -0.21875q-0.125 0 -0.25 0.046875q-0.125 0.03125 -0.25 0.125q-0.125 0.078125 -0.28125 0.234375q-0.140625 0.15625 -0.328125 0.390625l0 2.609375l-0.6875 0l0 -3.921875zm8.1796875 5.53125l-4.40625 0l0 -0.5625l4.40625 0l0 0.5625zm1.9609375 -4.96875l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875zm9.875 7.171875l-1.9375 0l0 -7.265625l1.9375 0l0 0.546875l-1.28125 0l0 6.171875l1.28125 0l0 0.546875zm5.0546875 -1.609375l-0.90625 0l-1.484375 -3.1875l-0.4375 -1.015625l0 2.5625l0 1.640625l-0.640625 0l0 -5.109375l0.890625 0l1.421875 3.015625l0.515625 1.171875l0 -2.734375l0 -1.453125l0.640625 0l0 5.109375zm3.5078125 1.609375l-1.921875 0l0 -0.546875l1.265625 0l0 -6.171875l-1.265625 0l0 -0.546875l1.921875 0l0 7.265625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m32.0 304.0l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m32.0 304.0l20.57291 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m52.572914 304.0l-1.1245842 1.1245728l3.0897675 -1.1245728l-3.0897675 -1.1245728z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m0 296.0l80.0 0l0 32.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m9.421875 312.805q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm1.4296875 -1.65625l0.765625 0l0.96875 2.59375l0.203125 0.625l0.21875 -0.640625l0.953125 -2.578125l0.734375 0l-1.53125 3.921875l-0.78125 0l-1.53125 -3.921875zm7.5546875 3.921875l0 -2.8125q0 -0.1875 -0.015625 -0.296875q-0.015625 -0.125 -0.046875 -0.1875q-0.015625 -0.078125 -0.0625 -0.09375q-0.046875 -0.03125 -0.109375 -0.03125q-0.078125 0 -0.15625 0.046875q-0.0625 0.046875 -0.140625 0.15625q-0.078125 0.09375 -0.171875 0.265625q-0.078125 0.171875 -0.203125 0.4375l0 2.515625l-0.625 0l0 -2.75q0 -0.203125 -0.015625 -0.328125q-0.015625 -0.140625 -0.046875 -0.21875q-0.03125 -0.078125 -0.078125 -0.09375q-0.046875 -0.03125 -0.109375 -0.03125q-0.0625 0 -0.125 0.046875q-0.0625 0.03125 -0.140625 0.140625q-0.078125 0.09375 -0.171875 0.265625q-0.09375 0.171875 -0.21875 0.453125l0 2.515625l-0.625 0l0 -3.921875l0.515625 0l0.03125 0.75q0.09375 -0.234375 0.1875 -0.390625q0.109375 -0.15625 0.203125 -0.25q0.109375 -0.09375 0.21875 -0.140625q0.109375 -0.046875 0.25 -0.046875q0.328125 0 0.484375 0.21875q0.171875 0.203125 0.171875 0.640625q0.09375 -0.203125 0.171875 -0.359375q0.09375 -0.171875 0.1875 -0.265625q0.109375 -0.109375 0.234375 -0.171875q0.125 -0.0625 0.296875 -0.0625q0.734375 0 0.734375 1.140625l0 2.859375l-0.625 0zm5.0234375 -2.0q0 0.46875 -0.140625 0.84375q-0.125 0.375 -0.375 0.65625q-0.234375 0.265625 -0.578125 0.421875q-0.34375 0.15625 -0.796875 0.15625q-0.421875 0 -0.75 -0.125q-0.328125 -0.140625 -0.5625 -0.390625q-0.234375 -0.265625 -0.359375 -0.640625q-0.125 -0.375 -0.125 -0.859375q0 -0.453125 0.125 -0.828125q0.140625 -0.390625 0.375 -0.65625q0.25 -0.265625 0.59375 -0.421875q0.34375 -0.15625 0.78125 -0.15625q0.421875 0 0.75 0.140625q0.34375 0.125 0.578125 0.375q0.234375 0.25 0.359375 0.625q0.125 0.375 0.125 0.859375zm-0.703125 0.03125q0 -0.359375 -0.078125 -0.625q-0.078125 -0.28125 -0.234375 -0.453125q-0.140625 -0.1875 -0.359375 -0.28125q-0.203125 -0.09375 -0.46875 -0.09375q-0.3125 0 -0.53125 0.125q-0.203125 0.125 -0.34375 0.328125q-0.140625 0.1875 -0.203125 0.453125q-0.0625 0.265625 -0.0625 0.546875q0 0.375 0.078125 0.65625q0.078125 0.265625 0.21875 0.453125q0.15625 0.171875 0.359375 0.265625q0.21875 0.09375 0.484375 0.09375q0.296875 0 0.515625 -0.125q0.21875 -0.125 0.359375 -0.3125q0.140625 -0.203125 0.203125 -0.46875q0.0625 -0.265625 0.0625 -0.5625zm1.4765625 0.09375q0 -0.5 0.140625 -0.890625q0.140625 -0.390625 0.390625 -0.65625q0.25 -0.265625 0.59375 -0.40625q0.359375 -0.140625 0.796875 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.375 0.0625l0 -1.640625l0.671875 0l0 5.515625l-0.609375 0l-0.015625 -0.75q-0.28125 0.421875 -0.625 0.625q-0.328125 0.203125 -0.71875 0.203125q-0.328125 0 -0.59375 -0.140625q-0.25 -0.15625 -0.421875 -0.40625q-0.171875 -0.25 -0.265625 -0.609375q-0.078125 -0.359375 -0.078125 -0.796875zm0.703125 -0.046875q0 0.703125 0.203125 1.0625q0.21875 0.34375 0.59375 0.34375q0.265625 0 0.546875 -0.21875q0.28125 -0.234375 0.609375 -0.6875l0 -1.828125q-0.171875 -0.078125 -0.375 -0.109375q-0.203125 -0.046875 -0.40625 -0.046875q-0.546875 0 -0.859375 0.359375q-0.3125 0.359375 -0.3125 1.125zm7.2109375 -0.25q0 0.140625 0 0.25q0 0.09375 -0.015625 0.171875l-2.75 0q0 0.609375 0.328125 0.9375q0.34375 0.3125 0.96875 0.3125q0.171875 0 0.34375 -0.015625q0.171875 -0.015625 0.328125 -0.03125q0.171875 -0.03125 0.3125 -0.046875q0.15625 -0.03125 0.28125 -0.078125l0 0.5625q-0.28125 0.078125 -0.640625 0.125q-0.34375 0.0625 -0.71875 0.0625q-0.5 0 -0.875 -0.140625q-0.359375 -0.140625 -0.59375 -0.390625q-0.21875 -0.265625 -0.34375 -0.640625q-0.109375 -0.390625 -0.109375 -0.859375q0 -0.421875 0.125 -0.78125q0.125 -0.375 0.34375 -0.65625q0.234375 -0.28125 0.5625 -0.4375q0.328125 -0.171875 0.75 -0.171875q0.421875 0 0.734375 0.140625q0.3125 0.125 0.53125 0.359375q0.21875 0.234375 0.328125 0.578125q0.109375 0.328125 0.109375 0.75zm-0.703125 -0.09375q0.015625 -0.265625 -0.046875 -0.484375q-0.0625 -0.21875 -0.203125 -0.375q-0.125 -0.15625 -0.328125 -0.234375q-0.1875 -0.09375 -0.453125 -0.09375q-0.21875 0 -0.40625 0.09375q-0.171875 0.078125 -0.3125 0.234375q-0.125 0.15625 -0.21875 0.375q-0.078125 0.21875 -0.09375 0.484375l2.0625 0zm5.5703125 3.875l-4.40625 0l0 -0.5625l4.40625 0l0 0.5625zm1.9609375 -4.96875l-1.171875 0l0 -0.5625l1.859375 0l0 3.359375l1.15625 0l0 0.5625l-3.140625 0l0 -0.5625l1.296875 0l0 -2.796875zm0.234375 -2.203125q0.109375 0 0.203125 0.046875q0.109375 0.03125 0.171875 0.109375q0.078125 0.0625 0.109375 0.171875q0.046875 0.09375 0.046875 0.203125q0 0.109375 -0.046875 0.203125q-0.03125 0.09375 -0.109375 0.171875q-0.0625 0.078125 -0.171875 0.125q-0.09375 0.03125 -0.203125 0.03125q-0.109375 0 -0.21875 -0.03125q-0.09375 -0.046875 -0.171875 -0.125q-0.0625 -0.078125 -0.109375 -0.171875q-0.03125 -0.09375 -0.03125 -0.203125q0 -0.109375 0.03125 -0.203125q0.046875 -0.109375 0.109375 -0.171875q0.078125 -0.078125 0.171875 -0.109375q0.109375 -0.046875 0.21875 -0.046875z" fill-rule="nonzero"/></g></svg>
diff --git a/doc/rm/register_tool.md b/doc/rm/register_tool.md
new file mode 100644
index 0000000..e3d2262
--- /dev/null
+++ b/doc/rm/register_tool.md
@@ -0,0 +1,629 @@
+{{% lowrisc-doc-hdr Register Tool }}
+
+The register tool is used to construct register documentation, register RTL and header files.
+It is either used stand-alone or by being invoked as part of markdown processing.
+
+{{% toc 3 }}
+
+## Running standalone regtool.py
+
+The standalone `regtool.py` is a python3 tool to read configuration and register descriptions in Hjson and generate various output formats.
+Currently it can output html documentation, standard json, compact standard json (whitespace removed), Hjson, verilog RTL and various forms of C header files.
+
+The standard `--help` and `--version` command line flags are supported to print the usage and version information.
+Because the version includes information on libraries (which may be different between systems) reporting the version output is sometimes useful when issues are reported.
+
+### Setup and Examples
+
+Setup and examples of the tool are given in the README.md file in the `util/reggen` directory.
+
+## Configuration and Register Definition File Format
+
+The tool input is an Hjson file containing the Comportable description of the IP block and its registers.
+
+A description of Hjson (a varient of json) and the recommended style is in the [Hjson Usage and Style Guide](hjson_usage_style.md).
+
+The tables below describe valid keys for each context.
+It is an error if *required* keys are missing from the input json.
+*Optional* keys may be provided in the input file as needed, as noted in the tables the tool may insert them (with default or computed values) during validation so the output generators do not have to special case them.
+Keys marked as "inserted by tool" should not be in the input json (they will be silently overwritten if they are there), they are derived by the tool during validation of the input and available to the output generators.
+
+{{% include !../../util/regtool.py --doc }}
+
+The tool will normally generate the register address offset by starting from 0 and allocating the registers in the order they are in the input file.
+Between each register the offset is incremented by the number of bytes in the `regwidth` (4 bytes for the default 32-bit `regwidth`), so the registers end up packed into the smallest space.
+
+Space may be held for future registers (or to match some other layout) by reserving register slots.
+A group containing just the reserved key can be inserted in the list of registers to reserve space.
+For example to reserve space for four registers between REGA and REGB (thus make REGB offset be REGA offset plus 5 times the size in bytes of a register):
+
+```hjson
+    { name: "REGA",
+      ...register definition...
+    }
+    { reserved: "4" }
+    { name: "REGB",
+      ...register definition...
+    }
+```
+
+In other cases, such as separating functional groups of registers, the absolute offset can be specified.
+The next register will have the offset specified.
+It is an error if the requested offset is less than the current offset.
+For example to place ITCR at offset 0x100:
+
+```hjson
+    { skipto: "0x100" }
+    { name: "ITCR",
+      ...register definition...
+    }
+
+```
+
+Historically, peripherals have put multiple registers at the same offset either different based on read or write, or with some other bit controlling the overlay.
+This is not permitted for Coportable peripherals but may be required for compatibility.
+These registers are grouped in a list.
+For example to have REGA and REGB (and more) at the same offest:
+
+```hjson
+    { sameaddr: [
+      { name: "REGA",
+        ...register definition...
+      }
+      { name: "REGB",
+        ...register definition...
+      }
+      ...register definitions...
+      ]
+    }
+```
+
+The tool can reserve an area of the memory space for something that is not a simple register, for example access to a buffer memory.
+This is done with a `window` declaration.
+The window size is specified as `items:` where each item is a `regwidth` wide word.
+The size in bytes is thus `(items * (regwidth/8))` bytes.
+If byte writes are supported the `byte-write: "True"` flag can be given.
+The tool will normally increment the offset to align the region based on its size.
+
+```hjson
+    {window: {
+         name: "win1"
+         items: "64"
+         swaccess: "rw"
+         desc: '''
+               A simple 256 byte window that will be aligned.
+           '''
+      }
+    },
+
+```
+
+The tool will give a warning if the size is not a power of 2.
+The tool will also give a warning if the window has software access other than read-only, write-only or read-write.
+Both of these warnings are supressed if the description acknowledges there is something special about this window by setting `unusual: "True"` in the window declaration.
+
+The tool will normally increment the offset to align the region based on its size.
+The start address is aligned such that the base item in the window is at an address with all zeros in the low bits.
+For instance, if the current offset is 0x104, and the window size in 32-bit words is between 0x11 and 0x20 (inclusive) (i.e. 65-128 bytes), the window base will be set to 0x180.
+The alignment may be prevented by seting `noalign: "True"` in which case the hardware design must take care of the addressing offset.
+The next register will immedately follow the window, so will be at the window base address plus the window size in bytes.
+
+Putting these together an unaligned 60 byte window (15 32-bit words) could follow a single aligned register:
+
+
+```hjson
+	{skipto: "0x200"}
+	{name: "aligned_reg" ... }
+	{window: {
+         name: "unaligned_win"
+         items: "15"
+		 noalign: "True"
+		 unusual: "True"
+		 byte-write: "True"
+         swaccess: "rw"
+         desc: '''
+               A 60 byte window that slots in after the register.
+               The addresses used in the window will be 0x204-0x23C.
+               The implementation must take account of the first
+               item being at address 0x04.
+           '''
+      }
+    },
+
+```
+
+Sometimes the window may need to map a structure that is not a full word wide (for example providing debug access to a the memory in a 12-bit wide fifo).
+In this case it may be convenient to have only the low bits of each word valid and use the word address directly as an index (rather than presenting a "packed" structure with the sub-word items packed into as few words as possible).
+The window declaration can be annotated to document this.
+For example debug access to a 64 entry 12-bit wide fifo could use a window:
+
+```hjson
+    {window: {
+         name: "fifodebug"
+         items: "64"
+         validbits: "12"
+         swaccess: "ro"
+         desc: '''
+               The 64 entry fifo is mapped into the low 12-bits
+               of each regwidth bit wide word.
+           '''
+      }
+    },
+
+```
+
+The tool can generate registers that follow a base pattern, for example when there are configuration fields for multiple instances.
+The base pattern defines the bits (which need not be contiguous) used for the first instance and the tool uses this to pack the required number of instances into one or more registers.
+
+For example a fancy gpio interrupt configiration may have 4 bits per GPIO to allow generation on rising and falling edge and a two bit enum to determing the interrupt severity.
+In this case the multireg can be used to build the multiple registers needed.
+The description below shows the fields given for GPIO0 and requests generation of 32 instances.
+If the registers are 32 bits wide then the tool will pack the four bit instances into four registers `INT_CTRL0`, `INT_CTRL1`, `INT_CTRL2` and `INT_CTRL3`.
+
+```hjson
+    { multireg: {
+          name: "INT_CTRL",
+      desc: "GPIO Interrupt control",
+      count: "32",
+      cname: "GPIO",
+      swaccess: "rw",
+      fields: [
+          { bits: "0", name: "POS", resval: "0",
+            desc: "Set to interrupt on rising edge"
+          }
+          { bits: "1", name: "NEG", resval: "0",
+            desc: "Set to interrupt on falling edge"
+          }
+          { bits: "3:2", name: "TYPE", resval: "0",
+            desc: "Type of interrupt to raise"
+        enum: [
+          {value: "0", name: "none", desc: "no interrupt, only log" },
+          {value: "1", name: "low", desc: "low priotiry interrupt" },
+          {value: "2", name: "high", desc: "high priotiry interrupt" },
+          {value: "3", name: "nmi", desc: "non maskable interrupt" }
+        ]
+          }
+      ]
+      }
+    },
+
+```
+
+Note that the definition bits for the base instance need not be contiguous.
+In this case the tool will match the patten for the other instances.
+For example the data bits and mask bits could be in the lower and upper parts of a register:
+
+```hjson
+    { multireg: {
+          name: "WDATA",
+      desc: "Write with mask to GPIO out register",
+      count: "32",
+      cname: "GPIO",
+      swaccess: "rw",
+      fields: [
+          { bits: "0", name: "D", resval: "0",
+            desc: "Data to write if mask bit is 1"
+          }
+          { bits: "16", name: "M", resval: "0",
+            desc: "Mask, set to allow data write"
+          }
+      ]
+      }
+    }
+```
+
+In this case instance 1 will use bits 1 and 17, instance 2 will use 2 and 18 and so on.
+Instance 16 does not fit, so will start a new register.
+
+## Register Tool Hardware Generation
+
+This section details the register generation for hardware instantiation.
+The input to the tool for this generation is the same `.hjson` file described above.
+The output is two verilog files that can be instantiated by a peripheral that follows the [Comportability Guidelines](comportability_specification.md).
+
+The register generation tool will generate the RTL if it is invoked with the `-r` flag.
+The `-t <directory>` flag is used to specify the output directory where the two files will be written.
+As an example the tool can be invoked to generate the uart registers with:
+
+```console
+$ cd hw/ip/uart/doc
+$ ../../../../util/regtool.py -r -t ../rtl uart.hjson
+```
+
+The first created file (`name_reg_pkg.sv`, from `name.hjson`) contains a SystemVerilog package definition that includes type definitions for two packed structures that have details of the registers and fields (all names are converted to lowercase).
+The `name_reg2hw_t` structure contains the signals that are driven from the register module to the rest of the hardware (this contains any required `.q, .qe`, and `.re` signals described below).
+The `name_hw2reg_t` structure contains the signals that are driven from the rest of the hardware to the register module (this contains any required `.d` and `.de` signals described below).
+The file also contains parameters giving the byte address offsets of the registers (these are prefixed with the peripheral `name` and converted to uppercase).
+
+The second file (`name_reg_top.sv`) is a SystemVerilog file that contains a module (`name_reg_top`) that instantiates the registers.
+This module connects to the TL-UL system bus interface and provides the register connections to the rest of the hardware.
+If the register definition contains memory windows then there will be subordinate TL-UL bus connections for each window.
+The module signature is:
+
+```systemverilog
+module name_reg_top (
+  input clk_i,
+  input rst_ni,
+
+  // Below Regster interface can be changed
+  input  tlul_pkg::tl_h2d_t tl_i,
+  output tlul_pkg::tl_d2h_t tl_o,
+
+  // This section is only provided if the definition includes
+  // 1 or more "window" definitions and contains an array of
+  // secondary TL-UL bus connectors for each window
+  // Output port for window
+  output tlul_pkg::tl_h2d_t tl_win_o  [1],
+  input  tlul_pkg::tl_d2h_t tl_win_i  [1],
+
+  // To HW
+  output uart_reg_pkg::uart_reg2hw_t reg2hw, // Write
+  input  uart_reg_pkg::uart_hw2reg_t hw2reg  // Read
+);
+```
+
+The sections below describe the hardware functionality of each register type both in terms of the RTL created, and the wires in the structures that will come along with the register.
+
+## Overall block diagram
+
+The diagram below gives an overview of the register module, `name_reg_top`.
+
+![reg_top](reg_top.svg)
+
+In this diagram, the TL-UL bus is shown on the left.
+Logic then breaks down individual write requests and read requests based upon the assigned address of the bus requests.
+Writes that match an address create an internal write enable to an individual register (or collection of registers in the case of a field), and return a successful write response.
+Reads that match an address return the associated data content for that register.
+See the section below on requests that don't match any register address.
+
+In the middle are the collections of registers, which are a function of the `hjson` input, and a definition of the functionality of each register (read-only, read-write, etc), detailed below.
+These are instantiations of the primitives `prim_subreg` and `prim_subreg_ext` found in the lowRISC primitive library (lowrisc:prim:all).
+These take as inputs the write requests from the bus as well as the hardware struct inputs associated with that register.
+They create as output the current state of the register and a potential write enable.
+The `prim_subreg` module takes a parameter `SWACCESS` that is used to adjust the implementation to the access type required.
+
+On the right are the typedef structs that gather the `q` and `qe`s into one output bundle, and receive the bundled `d` and `de` inputs.
+
+The addess decode and TL-UL 1:N adapter shown at the bottom are created only if the register definition includes one or more `window:` descriptions.
+Each window is given its own TL-UL connection and the implementation must provide a device interface.
+
+It is notable that in the current definition, each field of a register has its own register instantiation.
+This is required because the definitions allow unique `swaccess` and `hwaccess` values per field, but could be done at the register level otherwise.
+The individual bundled wires are associated with the fields rather than the full register, so the designer of the rest of the peripheral does not need to know the bit range association of the individual fields.
+
+### Error responses
+
+Writes and reads that target addresses that are not represented within the register list typically return an error.
+However, for security modules (Comportability definition forthcoming), this is under the control of a register module input signal `devmode_i`.
+This signal indicates whether the whole SOC device is in development or production mode.
+For security peripherals in production mode, it is desired to **not** send an error response, so write misses silently fail, and read misses silently fail, but return either random data (TBD) or all `1`s for response data (i.e. `0xFFFFFFFF` for a 32b register).
+For non-security peripherals, or when in development mode (`devmode_i == 1`) these writes and reads to undefined addresses will return with TL-UL error response.
+
+Other error responses (always sent, regardless of `devmode_i`) include for the following reasons:
+
+* TL-UL `a_opcode` illegal value
+* TL-UL writes of size smaller than register size
+  * I.e. writes of size 8b to registers > 8b will cause error (explicitly: if it has field bits within `[31:08]`)
+  * I.e. writes of size 16b to registers > 16b will cause error (explicitly: if it has field bits within `[31:16]`)
+* TL-UL writes of size smaller than 32b that are not word-aligned
+  * I.e. writes of size 8b or 16b that are not to an address that is 4B aligned return in error.
+
+Reads of size smaller than full word (32b) return the full register content and do not signal error.
+Reads response data is always in its byte-channel, i.e. a one-byte read to `address 0x3` will return the full word with the correct MSB in bits `[31:24]` on the TL-UL response bus (as well as the not-asked-for bytes 2:0 in `[23:0]`).
+
+Note with the windowing option, a new TL-UL bus (or more) is spawned and managed outside of this register module.
+Any window that makes use of the byte masks will include the `byte-write: "true"` keyword in their definition.
+Error handling by that TL-UL bus is **completely under the control of the logic that manages this bus.**
+It is recommended to follow the above error rules (including `devmode_i` for address misses on security peripherals) based on the declared number of `validbits`: for the window, but there are some cases where this might be relaxed.
+For example, if the termination of the TL-UL bus is a memory that handles byte and halfword writes via masking, errors do not need be returned for unaligned sub-word writes.
+
+## Register definitions per type
+
+The definition of what exactly is in each register type is described in this section.
+As shown above, the maximally featured register has inputs and outputs to/from both the bus interface side of the design as well as the hardware interface side.
+Some register types don’t require all of these inputs and outputs.
+For instance, a read-only register does not require write data from the bus interface (this is configured by the `SWACCESS` parameter to the `prim_subreg` module).
+The maximally defined inputs to this register block (termed the `subreg` from here forward) are given in the table below.
+Note that these are instantiated per field, not per register, so the width is the width of the field.
+The direction is the Verilog signal definition of `subreg` for that type.
+
+<table>
+  <tr>
+   <td><strong>name</strong>
+   </td>
+   <td><strong>direction</strong>
+   </td>
+   <td><strong>description</strong>
+   </td>
+  </tr>
+  <tr>
+   <td><code>we</code>
+   </td>
+   <td>input
+   </td>
+   <td>Write enable from the bus interface, scalar
+   </td>
+  </tr>
+  <tr>
+   <td><code>wd[]</code>
+   </td>
+   <td>input
+   </td>
+   <td>Write data from the bus interface, size == field size
+   </td>
+  </tr>
+  <tr>
+   <td><code>qs[]</code>
+   </td>
+   <td>output
+   </td>
+   <td>Output to read response data mux, size == field_size. This is typically the same as <code>q[]</code> except for <code>hwext</code> registers.
+   </td>
+  </tr>
+  <tr>
+   <td><code>de</code>
+   </td>
+   <td>input
+   </td>
+   <td>Hardware data enable from peripheral logic, scalar, should be set when the hardware wishes to update the register field
+   </td>
+  </tr>
+  <tr>
+   <td><code>d[]</code>
+   </td>
+   <td>input
+   </td>
+   <td>Hardware data from peripheral logic, size == field size
+   </td>
+  </tr>
+  <tr>
+   <td><code>qe</code>
+   </td>
+   <td>output
+   </td>
+   <td>Output register enable, scalar, true when bus interface writes to subreg
+   </td>
+  </tr>
+  <tr>
+   <td><code>q[]</code>
+   </td>
+   <td>output
+   </td>
+   <td>Output register content, size == field size. This output typically goes to both the hardware bundle output <code>q</code> as well as the software read response mux output <code>qs[]</code>.
+   </td>
+  </tr>
+  <tr>
+   <td><code>re</code>
+   </td>
+   <td>output
+   </td>
+   <td>Indicates to hwext registers that the bus is reading the register.
+   </td>
+  </tr>
+</table>
+
+
+
+### Type RW
+
+The first register type is the read-write register, invoked with an `hjson` attribute `swaccess` type of `rw`.
+There is a variant of this below, this is the default variant.
+This uses the `prim_subreg` with the connections shown.
+The connectivity to the hardware struct bundles are a function of the `hwaccess` and `hwqe` attributes, and will be discussed here as well.
+
+![subreg_rw](subreg_rw.svg)
+
+
+In this diagram, the maximum connection for subreg_rw is shown.
+Coming in from the left (bus) are the software write enable and write data, which has the highest priority in modifying the register contents.
+These are present for all RW types.
+The “final answer” for the register content is stored in the subreg module, and presented to the peripheral hardware as the output `q` and to bus reads as the output `qs`.
+Optionally, if the `hwaccess` attribute allows writes from the hardware, the hardware can present updated values in the form of data enable (`de`) and update data (`d`).
+If the data enable is true, the register content is updated with the update data.
+If both software and hardware request an update in the same clock cycle (i.e. both `de` and `we` are true), the software updated value is used, as shown in the diagram.
+
+The `hwaccess` attribute value does not change the contents of the subreg, but the connections are potentially modified.
+The attribute `hwaccess` has four potential values, as shown earlier in the document: `hrw, hro, hwo, none`.
+A `hwaccess` value of `hrw` means that the hardware wants the ability to update the register content (i.e. needs connection to `d` and `de`), as well as see the updated output (`q`).
+`hwo` doesn’t care about the output `q`, but wants to update the register value.
+This is the default for registers marked for software read-only access.
+`hro` conversely indicates the hardware doesn’t need to update the content, but just wants to see the value written by software.
+This is the default for fields where the software access is read-write or write-only.
+Finally an attribute value of `none` asks for no interface to the hardware, and might be used for things like scratch registers or DV test registers where only software can modify the value, or informational registers like version numbers that are read-only by the software.
+
+Another attribute in the register description `hwqe`, when true indicates that the hardware wants to see the software write enable exported to the peripheral logic.
+This is just a registered version of the bus side write-enable `we` so that its rising edge aligns with the change in the `q` output.
+There only needs to be one instantiated `qe` flop per register, but it is provided in the reg2hw structure for each field.
+
+### Type RW HWExt
+
+There is an attribute called `hwext` which indicates, when true, that the register value will be maintained **outside** the auto-generated logic.
+It is up to the external logic to implement the correct functionality as indicated by the `swaccess` attribute.
+In other words, **there is no guarantee** that the custom logic will correctly implement `rw`, or whichever attribute is included in the register definition.
+It is expected that this functionality is only needed for custom features outside the scope of the list of supported swaccess features, such as masked writes or access to FIFOs.
+Note that these could be done non-hwext as well, with `swaccess==rw` and `hwaccess=rw`, but could lose atomicity due to the register included within the autogenerated region.
+The block diagram below shows the maximally functional `hwext` `RW` register, with some assumption of the implementation of the register on the outside.
+This is implemented by the `prim_subreg_ext` module which is implemented with `assign` statements just as the wiring shown suggests.
+In this diagram the `q` is the `q` output to the hardware, while the `qs` is the output to the read response mux on the software side.
+The storage register is shown in the custom portion of the logic.
+Finally, note that no `de` input is required from the rest of the peripheral hardware, only the `d` is added to the struct bundle.
+
+![subreg_ext](subreg_ext.svg)
+
+Note the timing of `qe` is one cycle earlier in this model than in the non-hwext model.
+
+
+### Type RO, with hwext and zero-gate options
+
+Read-only type registers can be thought of as identical as `RW` types with no `wd` and `we` input.
+They are implemented as `prim_subreg` with those inputs disabled.
+Similarly `hwext RO` registers simply pass the d input from the outside world to the data mux for software read response.
+
+There is one special case here [not yet implemented] where `swaccess` is `ro` and `hwaccess` is `none` or `hro` and `hwext` is true.
+In this case, a hardwired value is returned for a software read equal to the default value assigned to the register this can be useful for auto-generated register values with no storage register required.
+
+### Type RC
+
+Registers of software access type `rc` are special cases of `RO`, but require an additional signal from the address decode logic.
+This signal `re` indicates that this register is being read, in which case the contents should be set to zero.
+Note this register is not recommended but might be required for backwards compatibility to other IP functionality.
+At the moment `hwext` is not allowed to be true for `RC` since there is no exporting of the `re` signal.
+If this is required, please add a feature request.
+
+### Type WO
+
+Write only registers are variants of `prim_subreg` where there is no output back to the software read response mux, so the `d` and `de` pins are tied off.
+If there is no storage required, only an indication of the act of writing, then `hwext` should be set to true and the outside hardware can handle the write event.
+
+### Type R0W1C, RW1S, RW1C and RW0C
+
+Certain `RW` register types must be implemented with special configuration of `prim_subreg` since the act of writing causes the values to be set in unique ways.
+These types are shown in the block diagrams below.
+Type `R0W1C` not shown is just a special case of `RW1C` where the q output is not sent back to the software read response mux, but the value `0` is sent instead.
+Note the `qe` is removed for readability but is available with the hwqe attribute.
+
+![subreg_rw1c](subreg_rw1c.svg)
+
+![subreg_rw0c](subreg_rw0c.svg)
+
+![subreg_rw1s](subreg_rw1s.svg)
+
+
+#### Simultaneous SW and HW access
+
+As shown in the module descriptions, the subreg needs to handle the case when both hardware and software attempt to write at the same time.
+As is true with the RW type, the software has precedence, but it is more tricky here.
+The goal for these types of registers is to have software clear or set certain bits at the same time hardware is clearing or setting other bits.
+So in theory what software is clearing, hardware is setting, or vice-versa.
+An example would be where hardware is setting interrupt status bits, and software is clearing them, using RW1C.
+The logic for RW1C shows how this is implemented in the module:
+
+```systemverilog
+q <= (de ? d : q) & (we ? ~wd : '1)
+```
+
+In this description if the hardware is writing, its value is sent to the logic that potentially clears that value or the stored value.
+So if the hardware accidentally clears fields that the software hasn’t cleared yet, there is a risk that events will not be seen by software.
+The recommendation is that the hardware feed the `q` value back into `d`, only setting bits with new events.
+Then there will be no “collision” between hardware setting events and software clearing events.
+The HW could have chosen to simply treat `d` and `de` as set-only, but the preference is to leave the `subreg` simple and allow the hardware to do either “the right thing” or whatever it feels is appropriate for its needs.
+(Perhaps it is a feature to clear all events in the hardware.)
+
+The one “conflict” that is common and worth mentioning is `RW1C` on an interrupt vector.
+This is the typical scenario where hardware sets bits (representing an interrupt event), and software clears bits (indicating the event has been handled).
+The assumption is that between the hardware setting and software clearing, **software has cleaned up whatever caused the event in the first place**.
+But if the event is still true (the HW `d` input is still `1`) then the clear should still have effect for one cycle in order to create a new interrupt edge.
+Since `d` is still `1` the `q` will return to `1` after one cycle, since the clean up was not successful.
+
+#### HWExt RW1C etc.
+
+It is legal to create `RW1C`, `RW1S`, etc. with `hwext` true.
+In these cases the auto-generated hardware is simply the same as the hwext `RW` register shown earlier.
+This causes all of the implementation to be done outside of the generated register block.
+There is no way to guarantee that hardware is doing the right thing, but at least the `RW1C` conveys the notion to software the intended effect.
+
+Similarly it is legal to set `hwqe` true for any of these register types if the clearing wants to be monitored by the hardware outside.
+
+## Generating C Header Files
+
+The register tool can be used to generate C header files.
+It is intended that there will be several generators to output different formats of header file.
+
+### Simple hello_world test headers
+
+The register generation tool will generate simple headers if it is invoked with the `-D` flag.
+The `-o <file.h>` flag may be used to specify the output file.
+As an example the tool can be invoked to generate the uart headers with:
+
+```console
+$ cd hw/ip/uart/doc
+$ ../../../../util/regtool.py -D -o ~/src/uart.h uart.hjson
+```
+
+This format assumes that there is a base address `NAME`n`_BASE_ADDR` defined where n is an identifying number to allow for multiple instantiations of peripherals.
+It provides a definition `NAME_REG(n)` that provides the address of the register in instantiation n.
+Single-bit fields have a define with their bit offset.
+Multi-bit fields have a define for the bit offset and an mask and may have defines giving the enumerated names and values.
+For example:
+
+```c
+// UART control register
+#define UART_CTRL(id)                    (UART ## id ## _BASE_ADDR  + 0x0)
+# define UART_CTRL_TX                    0
+# define UART_CTRL_RX                    1
+# define UART_CTRL_NF                    2
+# define UART_CTRL_SLPBK                 4
+# define UART_CTRL_LLPBK                 5
+# define UART_CTRL_PARITY_EN             6
+# define UART_CTRL_PARITY_ODD            7
+# define UART_CTRL_RXBLVL_MASK           0x3
+# define UART_CTRL_RXBLVL_OFFSET         8
+# define UART_CTRL_RXBLVL_BREAK2         0
+# define UART_CTRL_RXBLVL_BREAK4         1
+# define UART_CTRL_RXBLVL_BREAK8         2
+# define UART_CTRL_RXBLVL_BREAK16        3
+```
+
+### Titan style headers
+
+The register generation tool will generate Titan project style headers if it is invoked with the `-T` flag.
+The `-o <file.h>` flag may be used to specify the output file.
+As an example the tool can be invoked to generate the uart headers with:
+
+```console
+$ cd hw/ip/uart/doc
+$ ../../../../util/regtool.py -T -o ~/src/titan/uart.h uart.hjson
+```
+
+This format assumes that there is a base address `NAME`n`_BASE_ADDR` defined where n is an identifying number to allow for multiple instantiations of peripherals.
+It provides a definition `NAME_REG(n)` that provides the address of the register in instantiation n and also a definition `NAME_REG_OFFSET` that has the byte offset of the register from the base address.
+Fields have a define for the LSB bit offset, the access mask, the size in bits and the default value and may have defines giving the enumerated names and values.
+For example:
+
+
+```c
+// UART control register
+#define UART_CTRL(id)                            (UART ## id ## _BASE_ADDR  + 0x0)
+#define UART_CTRL_OFFSET                         0x0
+# define UART_CTRL_TX_LSB                        0x0
+# define UART_CTRL_TX_MASK                       0x1
+# define UART_CTRL_TX_SIZE                       0x1
+# define UART_CTRL_TX_DEFAULT                    0x0
+# define UART_CTRL_RX_LSB                        0x1
+# define UART_CTRL_RX_MASK                       0x1
+# define UART_CTRL_RX_SIZE                       0x1
+# define UART_CTRL_RX_DEFAULT                    0x0
+# define UART_CTRL_NF_LSB                        0x2
+# define UART_CTRL_NF_MASK                       0x1
+# define UART_CTRL_NF_SIZE                       0x1
+# define UART_CTRL_NF_DEFAULT                    0x0
+# define UART_CTRL_SLPBK_LSB                     0x4
+# define UART_CTRL_SLPBK_MASK                    0x1
+# define UART_CTRL_SLPBK_SIZE                    0x1
+# define UART_CTRL_SLPBK_DEFAULT                 0x0
+# define UART_CTRL_LLPBK_LSB                     0x5
+# define UART_CTRL_LLPBK_MASK                    0x1
+# define UART_CTRL_LLPBK_SIZE                    0x1
+# define UART_CTRL_LLPBK_DEFAULT                 0x0
+# define UART_CTRL_PARITY_EN_LSB                 0x6
+# define UART_CTRL_PARITY_EN_MASK                0x1
+# define UART_CTRL_PARITY_EN_SIZE                0x1
+# define UART_CTRL_PARITY_EN_DEFAULT             0x0
+# define UART_CTRL_PARITY_ODD_LSB                0x7
+# define UART_CTRL_PARITY_ODD_MASK               0x1
+# define UART_CTRL_PARITY_ODD_SIZE               0x1
+# define UART_CTRL_PARITY_ODD_DEFAULT            0x0
+# define UART_CTRL_RXBLVL_LSB                    0x8
+# define UART_CTRL_RXBLVL_MASK                   0x3
+# define UART_CTRL_RXBLVL_SIZE                   0x2
+# define UART_CTRL_RXBLVL_DEFAULT                0x0
+# define UART_CTRL_RXBLVL_BREAK2                 0x0
+# define UART_CTRL_RXBLVL_BREAK4                 0x1
+# define UART_CTRL_RXBLVL_BREAK8                 0x2
+# define UART_CTRL_RXBLVL_BREAK16                0x3
+```
+
+## Generating documentation
+
+The register tool can be used standalone to generate html documentation of the registers.
+However, this is normally done as part of the markdown documentation using the special tags to include the register definition file and insert the configuration and register information.
diff --git a/doc/rm/subreg_ext.svg b/doc/rm/subreg_ext.svg
new file mode 100644
index 0000000..e17e679
--- /dev/null
+++ b/doc/rm/subreg_ext.svg
@@ -0,0 +1 @@
+<svg version="1.1" viewBox="0.0 0.0 800.0 260.0" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l800.0 0l0 600.0l-800.0 0l0 -600.0z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l800.0 0l0 600.0l-800.0 0z" fill-rule="evenodd"/><path fill="#f3f3f3" d="m80.0 48.0l288.0 0l0 184.0l-288.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m80.0 48.0l288.0 0l0 184.0l-288.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m97.703125 72.42q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.366669 2.5l-1.421875 0l-0.0625 -1.46875q-0.40625 0.46875 -0.78125 0.78125q-0.359375 0.3125 -0.734375 0.515625q-0.359375 0.1875 -0.734375 0.25q-0.359375 0.078125 -0.765625 0.078125q-1.421875 0 -2.140625 -0.828125q-0.71875 -0.828125 -0.71875 -2.5l0 -5.96875l1.59375 0l0 5.84375q0 2.109375 1.578125 2.109375q0.296875 0 0.5625 -0.078125q0.28125 -0.09375 0.578125 -0.3125q0.3125 -0.21875 0.65625 -0.578125q0.359375 -0.359375 0.796875 -0.921875l0 -6.0625l1.59375 0l0 9.140625zm10.726044 -4.734375q0 1.140625 -0.328125 2.046875q-0.3125 0.890625 -0.890625 1.515625q-0.578125 0.609375 -1.40625 0.953125q-0.828125 0.328125 -1.859375 0.328125q-0.8125 0 -1.671875 -0.15625q-0.84375 -0.15625 -1.671875 -0.484375l0 -12.34375l1.59375 0l0 3.546875l-0.078125 1.6875q0.6875 -0.90625 1.453125 -1.28125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.453125 0.171875 0.9375 0.28125q0.484375 0.109375 0.921875 0.109375q0.546875 0 1.046875 -0.171875q0.5 -0.171875 0.875 -0.578125q0.375 -0.40625 0.59375 -1.078125q0.234375 -0.671875 0.234375 -1.671875zm4.4291687 -4.46875l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.616669 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm10.772919 -2.578125q0.25 0.328125 0.390625 0.75q0.140625 0.421875 0.140625 0.921875q0 0.703125 -0.265625 1.296875q-0.25 0.578125 -0.734375 1.0q-0.46875 0.421875 -1.125 0.65625q-0.65625 0.234375 -1.453125 0.234375q-0.578125 0 -1.078125 -0.125q-0.5 -0.125 -0.78125 -0.3125q-0.1875 0.265625 -0.3125 0.5q-0.109375 0.21875 -0.109375 0.5q0 0.34375 0.328125 0.578125q0.34375 0.21875 0.890625 0.234375l2.40625 0.09375q0.671875 0.015625 1.25 0.171875q0.59375 0.15625 1.0 0.453125q0.421875 0.28125 0.640625 0.71875q0.234375 0.421875 0.234375 0.984375q0 0.609375 -0.265625 1.15625q-0.25 0.546875 -0.8125 0.953125q-0.546875 0.421875 -1.390625 0.671875q-0.84375 0.25 -2.015625 0.25q-1.109375 0 -1.890625 -0.1875q-0.78125 -0.171875 -1.28125 -0.484375q-0.5 -0.3125 -0.734375 -0.75q-0.21875 -0.421875 -0.21875 -0.953125q0 -0.65625 0.296875 -1.15625q0.3125 -0.5 0.96875 -0.96875q-0.234375 -0.109375 -0.40625 -0.265625q-0.171875 -0.171875 -0.28125 -0.359375q-0.109375 -0.203125 -0.171875 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.578125 0.265625 -1.0625q0.28125 -0.5 0.671875 -0.9375q-0.171875 -0.21875 -0.3125 -0.40625q-0.125 -0.203125 -0.234375 -0.4375q-0.09375 -0.234375 -0.140625 -0.5q-0.046875 -0.28125 -0.046875 -0.625q0 -0.703125 0.25 -1.28125q0.265625 -0.59375 0.734375 -1.015625q0.46875 -0.421875 1.125 -0.65625q0.671875 -0.234375 1.46875 -0.234375q0.34375 0 0.640625 0.046875q0.3125 0.046875 0.546875 0.125l3.328125 0l0 1.28125l-1.46875 0zm-5.5 9.1875q0 0.640625 0.671875 0.9375q0.671875 0.296875 1.875 0.296875q0.75 0 1.265625 -0.140625q0.515625 -0.140625 0.828125 -0.359375q0.3125 -0.21875 0.453125 -0.515625q0.140625 -0.28125 0.140625 -0.578125q0 -0.5625 -0.453125 -0.828125q-0.453125 -0.265625 -1.390625 -0.296875l-2.390625 -0.09375q-0.296875 0.203125 -0.5 0.390625q-0.203125 0.203125 -0.3125 0.390625q-0.109375 0.203125 -0.15625 0.390625q-0.03125 0.203125 -0.03125 0.40625zm0.484375 -7.484375q0 0.4375 0.140625 0.8125q0.140625 0.359375 0.40625 0.609375q0.265625 0.25 0.625 0.390625q0.359375 0.140625 0.796875 0.140625q0.46875 0 0.84375 -0.15625q0.375 -0.15625 0.625 -0.421875q0.25 -0.28125 0.375 -0.640625q0.140625 -0.359375 0.140625 -0.734375q0 -0.4375 -0.15625 -0.796875q-0.140625 -0.375 -0.40625 -0.625q-0.265625 -0.25 -0.625 -0.390625q-0.359375 -0.140625 -0.796875 -0.140625q-0.46875 0 -0.84375 0.171875q-0.359375 0.15625 -0.625 0.421875q-0.25 0.265625 -0.375 0.625q-0.125 0.34375 -0.125 0.734375zm17.476044 9.890625l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm1.8354187 -12.875l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm18.351044 0l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm10.585419 12.875l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm8.819794 -3.734375l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm11.366669 -9.140625l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm9.522919 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm12.429169 5.28125l-2.109375 0l-2.46875 -3.484375l-2.4375 3.484375l-2.046875 0l3.546875 -4.59375l-3.390625 -4.546875l2.03125 0l2.40625 3.515625l2.359375 -3.515625l1.96875 0l-3.4375 4.578125l3.578125 4.5625zm9.382294 -0.125q-0.53125 0.140625 -1.109375 0.1875q-0.578125 0.0625 -1.171875 0.0625q-1.71875 0 -2.5625 -0.78125q-0.84375 -0.78125 -0.84375 -2.390625l0 -4.765625l-2.5625 0l0 -1.328125l2.5625 0l0 -2.515625l1.578125 -0.40625l0 2.921875l4.109375 0l0 1.328125l-4.109375 0l0 4.640625q0 0.984375 0.515625 1.46875q0.53125 0.484375 1.546875 0.484375q0.4375 0 0.953125 -0.0625q0.53125 -0.0625 1.09375 -0.21875l0 1.375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m416.0 128.0l72.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m416.0 128.0l72.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m416.0 160.0l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m416.0 160.0l80.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m544.0 152.0l40.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m544.0 152.0l40.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m584.0 136.0l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m584.0 136.0l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m584.0 160.0l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m584.0 160.0l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m64.0 128.0l320.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m64.0 128.0l316.5729 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m380.5729 128.0l-1.1245728 1.124588l3.0897522 -1.124588l-3.0897522 -1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m16.0 104.0l50.897636 0l0 155.52756l-50.897636 0z" fill-rule="evenodd"/><path fill="#000000" d="m47.304924 121.77937l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm1.3354187 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375z" fill-rule="nonzero"/><path fill="#000000" d="m47.304924 152.77937l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm9.522919 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#000000" d="m39.211174 183.77937l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.616669 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#000000" d="m38.38305 214.545q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm16.351044 1.984375q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m64.0 160.0l320.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m64.0 160.0l316.5729 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m380.5729 160.0l-1.1245728 1.124588l3.0897522 -1.124588l-3.0897522 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m64.0 216.0l320.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m67.427086 216.0l316.5729 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m67.427086 216.0l1.124588 -1.124588l-3.089775 1.124588l3.089775 1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m381.10236 104.0l50.897644 0l0 155.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m391.10236 126.545q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375z" fill-rule="nonzero"/><path fill="#000000" d="m391.10236 157.545q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm16.835419 -0.578125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#000000" d="m391.93048 183.77937l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.616669 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#000000" d="m391.10236 214.545q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m656.0 64.00126l-136.0 0l0 40.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m656.0 64.00126l-136.0 0l0 36.57292" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m520.0 100.57417l-1.1245728 -1.1245804l1.1245728 3.0897675l1.1245728 -3.0897675z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m632.0 144.0l24.0 0l0 72.0l-248.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m632.0 144.0l24.0 0l0 72.0l-248.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m64.0 192.0l320.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m64.0 192.0l316.5729 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m380.5729 192.0l-1.1245728 1.124588l3.0897522 -1.124588l-3.0897522 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m416.0 192.0l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m416.0 192.0l80.0 0" fill-rule="evenodd"/><path fill="#ffffff" d="m465.38867 137.70331l0 0c-0.8385315 -8.173111 1.9144592 -16.263992 7.0907593 -20.839386c5.1763 -4.5753937 11.868103 -4.832878 17.23581 -0.66319275l0 0c1.9013977 -4.752182 5.381531 -8.033234 9.387726 -8.850708c4.0061646 -0.81747437 8.067871 0.9246597 10.956482 4.6994247l0 0c1.619751 -4.308632 4.800171 -7.2034836 8.412659 -7.6573105c3.6124878 -0.4538269 7.145813 1.5976028 9.346191 5.4263306l0 0c2.9263306 -4.5671387 7.5821533 -6.490097 11.952942 -4.936798c4.3707886 1.5533066 7.6714478 6.303879 8.473755 12.196129l0 0c3.585266 1.2970886 6.5716553 4.5944595 8.187683 9.040169c1.6160278 4.4457245 1.703125 9.603668 0.23876953 14.141205l0 0c3.5303955 6.094391 4.356262 14.215103 2.1693726 21.331635c-2.1868896 7.116516 -7.0579834 12.159668 -12.795532 13.247437c-0.040405273 6.6791077 -2.802124 12.807785 -7.220703 16.023758c-4.418518 3.215973 -9.803894 3.0170746 -14.080322 -0.52005005c-1.8215332 7.9993896 -6.9485474 13.885254 -13.165955 15.1147c-6.2174377 1.2294464 -12.410706 -2.4179382 -15.904053 -9.366348c-4.282135 3.4248962 -9.420349 4.411499 -14.255524 2.7372437c-4.835205 -1.6742401 -8.960114 -5.8683014 -11.444214 -11.636093l0 0c-4.3757935 0.6791687 -8.606598 -2.3278198 -10.592651 -7.5286255c-1.9860535 -5.2007904 -1.304596 -11.488281 1.7061768 -15.741989l0 0c-3.9033508 -3.0471497 -5.89505 -9.093704 -4.936554 -14.986633c0.9584961 -5.892929 4.6500244 -10.29686 9.149567 -10.915314z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m461.08914 163.92026l0 0c1.84198 1.4379578 3.9699097 2.0902557 6.0980835 1.8693085m2.787445 21.401825c0.91519165 -0.14204407 1.8122253 -0.44285583 2.6680298 -0.8946533m23.030426 9.793121c-0.6437073 -1.280304 -1.1826172 -2.6484222 -1.607605 -4.0810547m30.678741 -1.6675262l0 0c0.3320923 -1.4584198 0.5472412 -2.9594269 0.64190674 -4.478012m20.658325 -11.025131c0.043029785 -7.1109467 -3.0020142 -13.621796 -7.8273315 -16.735962m18.45337 -17.842377c-0.7814331 2.4214172 -1.9744263 4.569412 -3.4853516 6.275589m-4.9403687 -29.457588l0 0c0.13311768 0.97782135 0.19476318 1.9703369 0.184021 2.963791m-20.610413 -10.222824l0 0c-0.72991943 1.1392746 -1.3313599 2.4123993 -1.7854614 3.7797318m-15.973541 -1.5492477l0 0c-0.38900757 1.03479 -0.6794739 2.1297836 -0.8647766 3.2597427m-19.479706 0.89162445l0 0c1.1358032 0.88230133 2.186554 1.944252 3.1292114 3.1625137m-27.455475 18.34018l0 0c0.11557007 1.1264648 0.29815674 2.2390442 0.54608154 3.3273163" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m465.38867 137.70331l0 0c-0.8385315 -8.173111 1.9144592 -16.263992 7.0907593 -20.839386c5.1763 -4.5753937 11.868103 -4.832878 17.23581 -0.66319275l0 0c1.9013977 -4.752182 5.381531 -8.033234 9.387726 -8.850708c4.0061646 -0.81747437 8.067871 0.9246597 10.956482 4.6994247l0 0c1.619751 -4.308632 4.800171 -7.2034836 8.412659 -7.6573105c3.6124878 -0.4538269 7.145813 1.5976028 9.346191 5.4263306l0 0c2.9263306 -4.5671387 7.5821533 -6.490097 11.952942 -4.936798c4.3707886 1.5533066 7.6714478 6.303879 8.473755 12.196129l0 0c3.585266 1.2970886 6.5716553 4.5944595 8.187683 9.040169c1.6160278 4.4457245 1.703125 9.603668 0.23876953 14.141205l0 0c3.5303955 6.094391 4.356262 14.215103 2.1693726 21.331635c-2.1868896 7.116516 -7.0579834 12.159668 -12.795532 13.247437c-0.040405273 6.6791077 -2.802124 12.807785 -7.220703 16.023758c-4.418518 3.215973 -9.803894 3.0170746 -14.080322 -0.52005005c-1.8215332 7.9993896 -6.9485474 13.885254 -13.165955 15.1147c-6.2174377 1.2294464 -12.410706 -2.4179382 -15.904053 -9.366348c-4.282135 3.4248962 -9.420349 4.411499 -14.255524 2.7372437c-4.835205 -1.6742401 -8.960114 -5.8683014 -11.444214 -11.636093l0 0c-4.3757935 0.6791687 -8.606598 -2.3278198 -10.592651 -7.5286255c-1.9860535 -5.2007904 -1.304596 -11.488281 1.7061768 -15.741989l0 0c-3.9033508 -3.0471497 -5.89505 -9.093704 -4.936554 -14.986633c0.9584961 -5.892929 4.6500244 -10.29686 9.149567 -10.915314z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m461.08914 163.92026l0 0c1.84198 1.4379578 3.9699097 2.0902557 6.0980835 1.8693085m2.787445 21.401825c0.91519165 -0.14204407 1.8122253 -0.44285583 2.6680298 -0.8946533m23.030426 9.793121c-0.6437073 -1.280304 -1.1826172 -2.6484222 -1.607605 -4.0810547m30.678741 -1.6675262l0 0c0.3320923 -1.4584198 0.5472412 -2.9594269 0.64190674 -4.478012m20.658325 -11.025131c0.043029785 -7.1109467 -3.0020142 -13.621796 -7.8273315 -16.735962m18.45337 -17.842377c-0.7814331 2.4214172 -1.9744263 4.569412 -3.4853516 6.275589m-4.9403687 -29.457588l0 0c0.13311768 0.97782135 0.19476318 1.9703369 0.184021 2.963791m-20.610413 -10.222824l0 0c-0.72991943 1.1392746 -1.3313599 2.4123993 -1.7854614 3.7797318m-15.973541 -1.5492477l0 0c-0.38900757 1.03479 -0.6794739 2.1297836 -0.8647766 3.2597427m-19.479706 0.89162445l0 0c1.1358032 0.88230133 2.186554 1.944252 3.1292114 3.1625137m-27.455475 18.34018l0 0c0.11557007 1.1264648 0.29815674 2.2390442 0.54608154 3.3273163" fill-rule="evenodd"/></g></svg>
diff --git a/doc/rm/subreg_rw.svg b/doc/rm/subreg_rw.svg
new file mode 100644
index 0000000..3c86aab
--- /dev/null
+++ b/doc/rm/subreg_rw.svg
@@ -0,0 +1 @@
+<svg version="1.1" viewBox="0.0 0.0 600.0 280.0" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l800.0 0l0 600.0l-800.0 0l0 -600.0z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l800.0 0l0 600.0l-800.0 0z" fill-rule="evenodd"/><path fill="#f3f3f3" d="m80.0 4.4724407l280.0 0l0 264.0l-280.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m80.0 4.4724407l280.0 0l0 264.0l-280.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m97.703125 28.892439q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.366669 2.5l-1.421875 0l-0.0625 -1.46875q-0.40625 0.46875 -0.78125 0.78125q-0.359375 0.3125 -0.734375 0.515625q-0.359375 0.1875 -0.734375 0.25q-0.359375 0.078125 -0.765625 0.078125q-1.421875 0 -2.140625 -0.828125q-0.71875 -0.828125 -0.71875 -2.5l0 -5.96875l1.59375 0l0 5.84375q0 2.109375 1.578125 2.109375q0.296875 0 0.5625 -0.078125q0.28125 -0.09375 0.578125 -0.3125q0.3125 -0.21875 0.65625 -0.578125q0.359375 -0.359375 0.796875 -0.921875l0 -6.0625l1.59375 0l0 9.140625zm10.726044 -4.734375q0 1.140625 -0.328125 2.046875q-0.3125 0.890625 -0.890625 1.515625q-0.578125 0.609375 -1.40625 0.953125q-0.828125 0.328125 -1.859375 0.328125q-0.8125 0 -1.671875 -0.15625q-0.84375 -0.15625 -1.671875 -0.484375l0 -12.34375l1.59375 0l0 3.546875l-0.078125 1.6875q0.6875 -0.90625 1.453125 -1.28125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.453125 0.171875 0.9375 0.28125q0.484375 0.109375 0.921875 0.109375q0.546875 0 1.046875 -0.171875q0.5 -0.171875 0.875 -0.578125q0.375 -0.40625 0.59375 -1.078125q0.234375 -0.671875 0.234375 -1.671875zm4.4291687 -4.46875l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.616669 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm10.772919 -2.578125q0.25 0.328125 0.390625 0.75q0.140625 0.421875 0.140625 0.921875q0 0.703125 -0.265625 1.296875q-0.25 0.578125 -0.734375 1.0q-0.46875 0.421875 -1.125 0.65625q-0.65625 0.234375 -1.453125 0.234375q-0.578125 0 -1.078125 -0.125q-0.5 -0.125 -0.78125 -0.3125q-0.1875 0.265625 -0.3125 0.5q-0.109375 0.21875 -0.109375 0.5q0 0.34375 0.328125 0.578125q0.34375 0.21875 0.890625 0.234375l2.40625 0.09375q0.671875 0.015625 1.25 0.171875q0.59375 0.15625 1.0 0.453125q0.421875 0.28125 0.640625 0.71875q0.234375 0.421875 0.234375 0.9843769q0 0.609375 -0.265625 1.15625q-0.25 0.546875 -0.8125 0.953125q-0.546875 0.421875 -1.390625 0.671875q-0.84375 0.25 -2.015625 0.25q-1.109375 0 -1.890625 -0.1875q-0.78125 -0.171875 -1.28125 -0.484375q-0.5 -0.3125 -0.734375 -0.75q-0.21875 -0.421875 -0.21875 -0.953125q0 -0.65625 0.296875 -1.1562519q0.3125 -0.5 0.96875 -0.96875q-0.234375 -0.109375 -0.40625 -0.265625q-0.171875 -0.171875 -0.28125 -0.359375q-0.109375 -0.203125 -0.171875 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.578125 0.265625 -1.0625q0.28125 -0.5 0.671875 -0.9375q-0.171875 -0.21875 -0.3125 -0.40625q-0.125 -0.203125 -0.234375 -0.4375q-0.09375 -0.234375 -0.140625 -0.5q-0.046875 -0.28125 -0.046875 -0.625q0 -0.703125 0.25 -1.28125q0.265625 -0.59375 0.734375 -1.015625q0.46875 -0.421875 1.125 -0.65625q0.671875 -0.234375 1.46875 -0.234375q0.34375 0 0.640625 0.046875q0.3125 0.046875 0.546875 0.125l3.328125 0l0 1.28125l-1.46875 0zm-5.5 9.187502q0 0.640625 0.671875 0.9375q0.671875 0.296875 1.875 0.296875q0.75 0 1.265625 -0.140625q0.515625 -0.140625 0.828125 -0.359375q0.3125 -0.21875 0.453125 -0.515625q0.140625 -0.28125 0.140625 -0.578125q0 -0.5625019 -0.453125 -0.8281269q-0.453125 -0.265625 -1.390625 -0.296875l-2.390625 -0.09375q-0.296875 0.203125 -0.5 0.390625q-0.203125 0.203125 -0.3125 0.390625q-0.109375 0.2031269 -0.15625 0.3906269q-0.03125 0.203125 -0.03125 0.40625zm0.484375 -7.484377q0 0.4375 0.140625 0.8125q0.140625 0.359375 0.40625 0.609375q0.265625 0.25 0.625 0.390625q0.359375 0.140625 0.796875 0.140625q0.46875 0 0.84375 -0.15625q0.375 -0.15625 0.625 -0.421875q0.25 -0.28125 0.375 -0.640625q0.140625 -0.359375 0.140625 -0.734375q0 -0.4375 -0.15625 -0.796875q-0.140625 -0.375 -0.40625 -0.625q-0.265625 -0.25 -0.625 -0.390625q-0.359375 -0.140625 -0.796875 -0.140625q-0.46875 0 -0.84375 0.171875q-0.359375 0.15625 -0.625 0.421875q-0.25 0.265625 -0.375 0.625q-0.125 0.34375 -0.125 0.734375zm17.476044 9.890627l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm1.8354187 -12.875002l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm18.351044 0l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m128.0 124.47244l-32.0 0l0 128.0l288.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m124.572914 124.47244l-28.572914 0l0 128.0l288.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m124.572914 124.47244l-1.124588 1.1245804l3.089775 -1.1245804l-3.089775 -1.124588z" fill-rule="evenodd"/><path fill="#ffffff" d="m128.0 68.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 68.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path fill="#ffffff" d="m192.0 92.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m192.0 92.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path fill="#ffffff" d="m256.0 124.47244l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m256.0 124.47244l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m256.0 148.47244l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m256.0 148.47244l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 108.47244l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 108.47244l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m224.0 132.47244l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m224.0 132.47244l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m64.0 156.47244l128.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m64.0 156.47244l124.57292 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m188.5729 156.47244l-1.1245728 1.124588l3.0897675 -1.124588l-3.0897675 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m304.0 132.47244l32.0 0l0 -76.47244l-240.0 0l0 28.472443l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m304.0 132.47244l32.0 0l0 -76.47244l-240.0 0l0 28.472443l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m336.0 132.47244l48.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 132.47244l44.572906 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m380.5729 132.47244l-1.1245728 1.124588l3.0897522 -1.124588l-3.0897522 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m397.10236 108.47244l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m407.10236 131.01744q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m397.10236 204.47244l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m407.10236 227.01744q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm16.835419 -0.578125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#000000" d="m407.10236 249.01744q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m144.0 140.47244l0 87.9984l240.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m144.0 143.89952l0 84.57132l240.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m144.0 143.89952l1.124588 1.124588l-1.124588 -3.0897675l-1.124588 3.0897675z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m208.0 164.47244l0 24.0l-144.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m208.0 167.89952l0 20.572922l-144.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m208.0 167.89952l1.124588 1.124588l-1.124588 -3.0897675l-1.124588 3.0897675z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m16.0 136.94489l50.897636 0l0 67.52756l-50.897636 0z" fill-rule="evenodd"/><path fill="#000000" d="m47.304924 154.72426l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm1.3354187 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375z" fill-rule="nonzero"/><path fill="#000000" d="m47.304924 180.72426l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm9.522919 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#ffffff" d="m256.0 180.47244l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m256.0 180.47244l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m256.0 204.47244l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m256.0 204.47244l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m208.0 188.47244l48.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m208.0 188.47244l44.57292 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m252.5729 188.47244l-1.1245728 1.124588l3.0897675 -1.124588l-3.0897675 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m304.0 188.47244l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m304.0 188.47244l76.57291 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m380.5729 188.47244l-1.1245728 1.124588l3.0897522 -1.124588l-3.0897522 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m397.10236 164.47244l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m407.10236 187.01744q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm16.835419 -0.578125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m112.0 84.47244l-48.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m112.0 84.47244l-44.572914 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m67.427086 84.47244l1.124588 -1.124588l-3.089775 1.124588l3.089775 1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m16.0 60.472443l50.897636 0l0 67.52756l-50.897636 0z" fill-rule="evenodd"/><path fill="#000000" d="m38.38305 83.01744q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm16.351044 1.984375q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625z" fill-rule="nonzero"/></g></svg>
diff --git a/doc/rm/subreg_rw0c.svg b/doc/rm/subreg_rw0c.svg
new file mode 100644
index 0000000..caad926
--- /dev/null
+++ b/doc/rm/subreg_rw0c.svg
@@ -0,0 +1 @@
+<svg version="1.1" viewBox="0.0 0.0 800.0 280.0" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l800.0 0l0 600.0l-800.0 0l0 -600.0z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l800.0 0l0 600.0l-800.0 0z" fill-rule="evenodd"/><path fill="#f3f3f3" d="m80.0 8.0l280.0 0l0 224.0l-280.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m80.0 8.0l280.0 0l0 224.0l-280.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m97.703125 32.42q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.366669 2.5l-1.421875 0l-0.0625 -1.46875q-0.40625 0.46875 -0.78125 0.78125q-0.359375 0.3125 -0.734375 0.515625q-0.359375 0.1875 -0.734375 0.25q-0.359375 0.078125 -0.765625 0.078125q-1.421875 0 -2.140625 -0.828125q-0.71875 -0.828125 -0.71875 -2.5l0 -5.96875l1.59375 0l0 5.84375q0 2.109375 1.578125 2.109375q0.296875 0 0.5625 -0.078125q0.28125 -0.09375 0.578125 -0.3125q0.3125 -0.21875 0.65625 -0.578125q0.359375 -0.359375 0.796875 -0.921875l0 -6.0625l1.59375 0l0 9.140625zm10.726044 -4.734375q0 1.140625 -0.328125 2.046875q-0.3125 0.890625 -0.890625 1.515625q-0.578125 0.609375 -1.40625 0.953125q-0.828125 0.328125 -1.859375 0.328125q-0.8125 0 -1.671875 -0.15625q-0.84375 -0.15625 -1.671875 -0.484375l0 -12.34375l1.59375 0l0 3.546875l-0.078125 1.6875q0.6875 -0.90625 1.453125 -1.28125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.453125 0.171875 0.9375 0.28125q0.484375 0.109375 0.921875 0.109375q0.546875 0 1.046875 -0.171875q0.5 -0.171875 0.875 -0.578125q0.375 -0.40625 0.59375 -1.078125q0.234375 -0.671875 0.234375 -1.671875zm4.4291687 -4.46875l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.616669 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm10.772919 -2.578125q0.25 0.328125 0.390625 0.75q0.140625 0.421875 0.140625 0.921875q0 0.703125 -0.265625 1.296875q-0.25 0.578125 -0.734375 1.0q-0.46875 0.421875 -1.125 0.65625q-0.65625 0.234375 -1.453125 0.234375q-0.578125 0 -1.078125 -0.125q-0.5 -0.125 -0.78125 -0.3125q-0.1875 0.265625 -0.3125 0.5q-0.109375 0.21875 -0.109375 0.5q0 0.34375 0.328125 0.578125q0.34375 0.21875 0.890625 0.234375l2.40625 0.09375q0.671875 0.015625 1.25 0.171875q0.59375 0.15625 1.0 0.453125q0.421875 0.28125 0.640625 0.71875q0.234375 0.421875 0.234375 0.984375q0 0.609375 -0.265625 1.15625q-0.25 0.546875 -0.8125 0.953125q-0.546875 0.421875 -1.390625 0.671875q-0.84375 0.25 -2.015625 0.25q-1.109375 0 -1.890625 -0.1875q-0.78125 -0.171875 -1.28125 -0.484375q-0.5 -0.3125 -0.734375 -0.75q-0.21875 -0.421875 -0.21875 -0.953125q0 -0.65625 0.296875 -1.15625q0.3125 -0.5 0.96875 -0.96875q-0.234375 -0.109375 -0.40625 -0.265625q-0.171875 -0.171875 -0.28125 -0.359375q-0.109375 -0.203125 -0.171875 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.578125 0.265625 -1.0625q0.28125 -0.5 0.671875 -0.9375q-0.171875 -0.21875 -0.3125 -0.40625q-0.125 -0.203125 -0.234375 -0.4375q-0.09375 -0.234375 -0.140625 -0.5q-0.046875 -0.28125 -0.046875 -0.625q0 -0.703125 0.25 -1.28125q0.265625 -0.59375 0.734375 -1.015625q0.46875 -0.421875 1.125 -0.65625q0.671875 -0.234375 1.46875 -0.234375q0.34375 0 0.640625 0.046875q0.3125 0.046875 0.546875 0.125l3.328125 0l0 1.28125l-1.46875 0zm-5.5 9.1875q0 0.640625 0.671875 0.9375q0.671875 0.296875 1.875 0.296875q0.75 0 1.265625 -0.140625q0.515625 -0.140625 0.828125 -0.359375q0.3125 -0.21875 0.453125 -0.515625q0.140625 -0.28125 0.140625 -0.578125q0 -0.5625 -0.453125 -0.828125q-0.453125 -0.265625 -1.390625 -0.296875l-2.390625 -0.09375q-0.296875 0.203125 -0.5 0.390625q-0.203125 0.203125 -0.3125 0.390625q-0.109375 0.203125 -0.15625 0.390625q-0.03125 0.203125 -0.03125 0.40625zm0.484375 -7.484375q0 0.4375 0.140625 0.8125q0.140625 0.359375 0.40625 0.609375q0.265625 0.25 0.625 0.390625q0.359375 0.140625 0.796875 0.140625q0.46875 0 0.84375 -0.15625q0.375 -0.15625 0.625 -0.421875q0.25 -0.28125 0.375 -0.640625q0.140625 -0.359375 0.140625 -0.734375q0 -0.4375 -0.15625 -0.796875q-0.140625 -0.375 -0.40625 -0.625q-0.265625 -0.25 -0.625 -0.390625q-0.359375 -0.140625 -0.796875 -0.140625q-0.46875 0 -0.84375 0.171875q-0.359375 0.15625 -0.625 0.421875q-0.25 0.265625 -0.375 0.625q-0.125 0.34375 -0.125 0.734375zm17.476044 9.890625l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm1.8354187 -12.875l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm18.351044 0l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm9.788544 3.1875q0 1.375 -0.28125 2.5q-0.265625 1.125 -0.828125 1.9375q-0.546875 0.796875 -1.375 1.234375q-0.8125 0.4375 -1.9375 0.4375q-0.953125 0 -1.734375 -0.359375q-0.78125 -0.359375 -1.34375 -1.09375q-0.546875 -0.75 -0.859375 -1.90625q-0.296875 -1.15625 -0.296875 -2.75q0 -1.359375 0.28125 -2.484375q0.28125 -1.140625 0.828125 -1.9375q0.546875 -0.8125 1.375 -1.25q0.84375 -0.4375 1.9375 -0.4375q0.953125 0 1.734375 0.359375q0.796875 0.359375 1.34375 1.109375q0.5625 0.75 0.859375 1.90625q0.296875 1.15625 0.296875 2.734375zm-1.59375 0.0625q0 -0.3125 -0.03125 -0.609375q-0.015625 -0.3125 -0.046875 -0.609375l-5.046875 3.75q0.140625 0.46875 0.34375 0.875q0.21875 0.390625 0.515625 0.6875q0.296875 0.28125 0.671875 0.453125q0.375 0.15625 0.859375 0.15625q0.625 0 1.125 -0.296875q0.515625 -0.3125 0.859375 -0.90625q0.359375 -0.59375 0.546875 -1.46875q0.203125 -0.875 0.203125 -2.03125zm-5.453125 -0.109375q0 0.28125 0 0.5625q0 0.28125 0.03125 0.546875l5.046875 -3.734375q-0.140625 -0.453125 -0.359375 -0.828125q-0.203125 -0.390625 -0.5 -0.671875q-0.28125 -0.28125 -0.65625 -0.4375q-0.375 -0.15625 -0.84375 -0.15625q-0.609375 0 -1.125 0.3125q-0.5 0.296875 -0.859375 0.890625q-0.359375 0.59375 -0.546875 1.484375q-0.1875 0.875 -0.1875 2.03125zm16.413544 5.65625q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m224.0 136.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m224.0 136.0l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m176.0 88.0l0 40.000793l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m176.0 88.0l0 40.000793l24.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m128.0 112.0l-32.0 0l0 103.997375l288.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m124.572914 112.0l-28.572914 0l0 103.997375l288.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m124.572914 112.0l-1.124588 1.1245804l3.089775 -1.1245804l-3.089775 -1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m336.0 120.0l16.0 0l0 -72.0l-256.0 0l0 24.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 120.0l16.0 0l0 -72.0l-256.0 0l0 24.0l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m144.0 124.47244l0 67.52904l240.01279 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m144.0 127.89952l0 64.10196l240.01279 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m144.0 127.89953l1.124588 1.1245804l-1.124588 -3.0897675l-1.124588 3.0897675z" fill-rule="evenodd"/><path fill="#ffffff" d="m128.0 52.472443l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 52.472443l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path fill="#ffffff" d="m240.0 76.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m240.0 76.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 88.0l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 88.0l80.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m272.0 116.47244l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m272.0 116.47244l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m64.0 144.0l120.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m64.0 144.0l116.57292 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m180.5729 144.0l-1.1245728 1.124588l3.0897675 -1.124588l-3.0897675 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m352.0 120.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m352.0 120.0l28.572906 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m380.5729 120.0l-1.1245728 1.1245804l3.0897522 -1.1245804l-3.0897522 -1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m381.10236 96.0l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m391.10236 118.545q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m381.10236 172.47244l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m391.10236 195.01744q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm16.835419 -0.578125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#000000" d="m391.10236 217.01744q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m256.0 148.47244l0 24.0l-192.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m256.0 151.89952l0 20.572922l-192.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m256.0 151.89952l1.1245728 1.124588l-1.1245728 -3.0897675l-1.124588 3.0897675z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m16.0 120.944885l50.897636 0l0 67.52756l-50.897636 0z" fill-rule="evenodd"/><path fill="#000000" d="m47.304924 138.72426l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm1.3354187 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375z" fill-rule="nonzero"/><path fill="#000000" d="m47.304924 164.72426l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm9.522919 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#ffffff" d="m184.0 120.0l20.0 0l0 0c11.0457 0 20.0 7.1634445 20.0 16.0c0 8.836563 -8.9543 16.0 -20.0 16.0l-20.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m184.0 120.0l20.0 0l0 0c11.0457 0 20.0 7.1634445 20.0 16.0c0 8.836563 -8.9543 16.0 -20.0 16.0l-20.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m288.0 108.47244l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m288.0 108.47244l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m288.0 132.47244l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m288.0 132.47244l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m37.102364 240.0l474.89764 0l0 67.52756l-474.89764 0z" fill-rule="evenodd"/><path fill="#000000" d="m47.102364 262.54498q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm26.264587 3.625l-0.984375 1.0l-6.125 -5.109375l6.125 -5.109375l0.984375 1.015625l-4.96875 4.078125l4.96875 4.125zm10.944794 -5.03125l-7.828125 0l0 -1.328125l7.828125 0l0 1.328125zm0 3.171875l-7.828125 0l0 -1.328125l7.828125 0l0 1.328125zm18.405212 6.546875q-4.203125 -3.890625 -4.203125 -8.59375q0 -1.109375 0.21875 -2.203125q0.21875 -1.109375 0.71875 -2.203125q0.5 -1.109375 1.3125 -2.2031097q0.8125 -1.109375 1.984375 -2.1875l0.921875 0.9375q-3.53125 3.4843597 -3.53125 7.7343597q0 2.109375 0.890625 4.0625q0.890625 1.953125 2.640625 3.671875l-0.953125 0.984375zm4.3197937 -8.203125q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.8437347l1.59375 0l0 12.874985l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm16.835419 -0.578125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm21.38958 -3.546875q0 1.484375 -0.828125 2.25q-0.8125 0.75 -2.375 0.84375l-0.078125 2.25l-1.359375 0l-0.109375 -3.5l1.0625 0q0.5625 0 0.921875 -0.109375q0.375 -0.125 0.609375 -0.34375q0.234375 -0.21875 0.328125 -0.53125q0.09375 -0.3125 0.09375 -0.703125q0 -0.671875 -0.28125 -1.1875q-0.265625 -0.515625 -0.765625 -0.875q-0.484375 -0.35935974 -1.15625 -0.53123474q-0.671875 -0.1875 -1.484375 -0.1875l-0.21875 0l0 -1.421875l0.234375 0q0.921875 0 1.6875 0.171875q0.765625 0.171875 1.359375 0.46875q0.59375 0.296875 1.03125 0.703125q0.453125 0.40625 0.734375 0.85935974q0.296875 0.4375 0.4375 0.921875q0.15625 0.46875 0.15625 0.921875zm-3.96875 6.59375q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.171875 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.25 -0.09375 0.46875q-0.078125 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.21875 -0.09375 -0.390625 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.078125 -0.21875 -0.078125 -0.46875q0 -0.25 0.078125 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.390625 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm17.077087 -2.140625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.8437347l1.59375 0l0 12.874985l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm23.030212 -4.828125q0.28125 0 0.53125 0.125q0.265625 0.109375 0.453125 0.296875q0.1875 0.1875 0.296875 0.453125q0.125 0.25 0.125 0.53125q0 0.296875 -0.125 0.546875q-0.109375 0.25 -0.296875 0.4375q-0.1875 0.1875 -0.453125 0.296875q-0.25 0.109375 -0.53125 0.109375q-0.28125 0 -0.53125 -0.109375q-0.25 -0.109375 -0.453125 -0.296875q-0.1875 -0.1875 -0.296875 -0.4375q-0.109375 -0.25 -0.109375 -0.546875q0 -0.28125 0.109375 -0.53125q0.109375 -0.265625 0.296875 -0.453125q0.203125 -0.1875 0.453125 -0.296875q0.25 -0.125 0.53125 -0.125zm0 6.6875q0.28125 0 0.53125 0.109375q0.265625 0.109375 0.453125 0.3125q0.1875 0.1875 0.296875 0.4375q0.125 0.25 0.125 0.53125q0 0.296875 -0.125 0.546875q-0.109375 0.25 -0.296875 0.453125q-0.1875 0.1875 -0.453125 0.28125q-0.25 0.109375 -0.53125 0.109375q-0.28125 0 -0.53125 -0.109375q-0.25 -0.09375 -0.453125 -0.28125q-0.1875 -0.203125 -0.296875 -0.453125q-0.109375 -0.25 -0.109375 -0.546875q0 -0.28125 0.109375 -0.53125q0.109375 -0.25 0.296875 -0.4375q0.203125 -0.203125 0.453125 -0.3125q0.25 -0.109375 0.53125 -0.109375zm16.389587 -1.75q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm10.976044 -9.07811q4.203125 3.8906097 4.203125 8.656235q0 0.984375 -0.203125 2.046875q-0.203125 1.046875 -0.6875 2.15625q-0.484375 1.109375 -1.296875 2.234375q-0.8125 1.140625 -2.0625 2.296875l-0.90625 -0.9375q1.765625 -1.765625 2.640625 -3.671875q0.875 -1.921875 0.875 -4.015625q0 -4.328125 -3.515625 -7.7968597l0.953125 -0.96875zm26.514587 7.3437347q0 1.203125 -0.234375 2.1875q-0.234375 0.96875 -0.671875 1.734375l1.828125 2.296875l-2.09375 0l-0.8125 -1.0q-0.640625 0.546875 -1.4375 0.84375q-0.796875 0.28125 -1.71875 0.28125q-0.890625 0 -1.578125 -0.234375q-0.671875 -0.25 -1.140625 -0.6875q-0.46875 -0.453125 -0.71875 -1.0625q-0.234375 -0.609375 -0.234375 -1.34375q0 -0.703125 0.1875 -1.234375q0.1875 -0.546875 0.484375 -0.96875q0.3125 -0.421875 0.71875 -0.75q0.40625 -0.328125 0.859375 -0.59375l-0.203125 -0.28125q-0.515625 -0.625 -0.765625 -1.265625q-0.25 -0.65625 -0.25 -1.28125q0 -0.65625 0.203125 -1.203125q0.21875 -0.56248474 0.625 -0.96873474q0.40625 -0.40625 1.0 -0.625q0.59375 -0.234375 1.359375 -0.234375q0.734375 0 1.296875 0.21875q0.5625 0.203125 0.921875 0.578125q0.375 0.359375 0.5625 0.84375q0.1875 0.46873474 0.1875 0.99998474q0 0.6875 -0.234375 1.203125q-0.234375 0.515625 -0.609375 0.921875q-0.375 0.390625 -0.859375 0.703125q-0.484375 0.296875 -1.0 0.59375l2.34375 2.9375q0.40625 -1.078125 0.375 -2.609375l1.609375 0zm-7.15625 2.828125q0 0.46875 0.15625 0.875q0.15625 0.40625 0.4375 0.6875q0.28125 0.28125 0.6875 0.4375q0.421875 0.15625 0.953125 0.15625q1.171875 0 2.078125 -0.875l-2.90625 -3.625q-0.3125 0.21875 -0.578125 0.453125q-0.25 0.234375 -0.4375 0.515625q-0.1875 0.28125 -0.296875 0.625q-0.09375 0.328125 -0.09375 0.75zm3.9375 -6.421875q0 -0.6875 -0.390625 -1.0625q-0.375 -0.39060974 -1.03125 -0.39060974q-0.375 0 -0.65625 0.125q-0.265625 0.109375 -0.46875 0.32810974q-0.1875 0.203125 -0.28125 0.484375q-0.09375 0.28125 -0.09375 0.609375q0 0.484375 0.171875 0.921875q0.1875 0.421875 0.59375 0.921875l0.25 0.328125q0.390625 -0.21875 0.734375 -0.4375q0.34375 -0.234375 0.59375 -0.5q0.265625 -0.265625 0.421875 -0.59375q0.15625 -0.328125 0.15625 -0.734375zm21.342712 13.640625q-4.203125 -3.890625 -4.203125 -8.59375q0 -1.109375 0.21875 -2.203125q0.21875 -1.109375 0.71875 -2.203125q0.5 -1.109375 1.3125 -2.2031097q0.8125 -1.109375 1.984375 -2.1875l0.921875 0.9375q-3.53125 3.4843597 -3.53125 7.7343597q0 2.109375 0.890625 4.0625q0.890625 1.953125 2.640625 3.671875l-0.953125 0.984375zm13.241669 -12.96875l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm9.522919 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm21.389587 -3.546875q0 1.484375 -0.828125 2.25q-0.8125 0.75 -2.375 0.84375l-0.078125 2.25l-1.359375 0l-0.109375 -3.5l1.0625 0q0.5625 0 0.921875 -0.109375q0.375 -0.125 0.609375 -0.34375q0.234375 -0.21875 0.328125 -0.53125q0.09375 -0.3125 0.09375 -0.703125q0 -0.671875 -0.28125 -1.1875q-0.265625 -0.515625 -0.765625 -0.875q-0.484375 -0.35935974 -1.15625 -0.53123474q-0.671875 -0.1875 -1.484375 -0.1875l-0.21875 0l0 -1.421875l0.234375 0q0.921875 0 1.6875 0.171875q0.765625 0.171875 1.359375 0.46875q0.59375 0.296875 1.03125 0.703125q0.453125 0.40625 0.734375 0.85935974q0.296875 0.4375 0.4375 0.921875q0.15625 0.46875 0.15625 0.921875zm-3.96875 6.59375q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.171875 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.25 -0.09375 0.46875q-0.078125 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.21875 -0.09375 -0.390625 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.078125 -0.21875 -0.078125 -0.46875q0 -0.25 0.078125 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.390625 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm25.998962 -6.90625l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm1.3354187 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.8437347l1.59375 0l0 12.874985l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm23.030212 -4.828125q0.28125 0 0.53125 0.125q0.265625 0.109375 0.453125 0.296875q0.1875 0.1875 0.296875 0.453125q0.125 0.25 0.125 0.53125q0 0.296875 -0.125 0.546875q-0.109375 0.25 -0.296875 0.4375q-0.1875 0.1875 -0.453125 0.296875q-0.25 0.109375 -0.53125 0.109375q-0.28125 0 -0.53125 -0.109375q-0.25 -0.109375 -0.453125 -0.296875q-0.1875 -0.1875 -0.296875 -0.4375q-0.109375 -0.25 -0.109375 -0.546875q0 -0.28125 0.109375 -0.53125q0.109375 -0.265625 0.296875 -0.453125q0.203125 -0.1875 0.453125 -0.296875q0.25 -0.125 0.53125 -0.125zm0 6.6875q0.28125 0 0.53125 0.109375q0.265625 0.109375 0.453125 0.3125q0.1875 0.1875 0.296875 0.4375q0.125 0.25 0.125 0.53125q0 0.296875 -0.125 0.546875q-0.109375 0.25 -0.296875 0.453125q-0.1875 0.1875 -0.453125 0.28125q-0.25 0.109375 -0.53125 0.109375q-0.28125 0 -0.53125 -0.109375q-0.25 -0.09375 -0.453125 -0.28125q-0.1875 -0.203125 -0.296875 -0.453125q-0.109375 -0.25 -0.109375 -0.546875q0 -0.28125 0.109375 -0.53125q0.109375 -0.25 0.296875 -0.4375q0.203125 -0.203125 0.453125 -0.3125q0.25 -0.109375 0.53125 -0.109375zm23.483337 -9.10936q-0.453125 0 -0.890625 0.09375q-0.4375 0.078125 -0.78125 0.28125q-0.328125 0.1875 -0.53125 0.48435974q-0.203125 0.296875 -0.203125 0.671875q0 0.390625 0.125 0.625q0.140625 0.21875 0.296875 0.421875q0.171875 0.203125 0.296875 0.4375q0.140625 0.234375 0.140625 0.609375q0 0.1875 -0.078125 0.40625q-0.0625 0.203125 -0.21875 0.375q-0.140625 0.171875 -0.375 0.28125q-0.234375 0.109375 -0.5625 0.109375q-0.328125 0 -0.625 -0.125q-0.28125 -0.140625 -0.5 -0.40625q-0.21875 -0.28125 -0.359375 -0.6875q-0.125 -0.421875 -0.125 -0.96875q0 -0.75 0.265625 -1.453125q0.28125 -0.70310974 0.828125 -1.2343597q0.546875 -0.53125 1.375 -0.84375q0.828125 -0.328125 1.921875 -0.328125l0 1.25zm11.241669 11.73436l-7.46875 0l0 -1.46875l3.0625 0l0 -8.703125l-2.859375 1.546875l-0.578125 -1.34375l3.78125 -1.9843597l1.40625 0l0 10.48436l2.65625 0l0 1.46875zm4.5072937 -13.562485q4.203125 3.8906097 4.203125 8.656235q0 0.984375 -0.203125 2.046875q-0.203125 1.046875 -0.6875 2.15625q-0.484375 1.109375 -1.296875 2.234375q-0.8125 1.140625 -2.0625 2.296875l-0.90625 -0.9375q1.765625 -1.765625 2.640625 -3.671875q0.875 -1.921875 0.875 -4.015625q0 -4.328125 -3.515625 -7.7968597l0.953125 -0.96875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m16.0 48.0l50.897636 0l0 67.52756l-50.897636 0z" fill-rule="evenodd"/><path fill="#000000" d="m38.38305 70.545q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm16.351044 1.984375q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m64.0 72.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m67.427086 72.0l28.572914 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m67.427086 72.0l1.124588 -1.1245804l-3.089775 1.1245804l3.089775 1.1245804z" fill-rule="evenodd"/></g></svg>
diff --git a/doc/rm/subreg_rw1c.svg b/doc/rm/subreg_rw1c.svg
new file mode 100644
index 0000000..861c3ea
--- /dev/null
+++ b/doc/rm/subreg_rw1c.svg
@@ -0,0 +1 @@
+<svg version="1.1" viewBox="0.0 0.0 800.0 280.0" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l800.0 0l0 600.0l-800.0 0l0 -600.0z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l800.0 0l0 600.0l-800.0 0z" fill-rule="evenodd"/><path fill="#f3f3f3" d="m80.0 8.0l280.0 0l0 224.0l-280.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m80.0 8.0l280.0 0l0 224.0l-280.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m97.703125 32.42q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.366669 2.5l-1.421875 0l-0.0625 -1.46875q-0.40625 0.46875 -0.78125 0.78125q-0.359375 0.3125 -0.734375 0.515625q-0.359375 0.1875 -0.734375 0.25q-0.359375 0.078125 -0.765625 0.078125q-1.421875 0 -2.140625 -0.828125q-0.71875 -0.828125 -0.71875 -2.5l0 -5.96875l1.59375 0l0 5.84375q0 2.109375 1.578125 2.109375q0.296875 0 0.5625 -0.078125q0.28125 -0.09375 0.578125 -0.3125q0.3125 -0.21875 0.65625 -0.578125q0.359375 -0.359375 0.796875 -0.921875l0 -6.0625l1.59375 0l0 9.140625zm10.726044 -4.734375q0 1.140625 -0.328125 2.046875q-0.3125 0.890625 -0.890625 1.515625q-0.578125 0.609375 -1.40625 0.953125q-0.828125 0.328125 -1.859375 0.328125q-0.8125 0 -1.671875 -0.15625q-0.84375 -0.15625 -1.671875 -0.484375l0 -12.34375l1.59375 0l0 3.546875l-0.078125 1.6875q0.6875 -0.90625 1.453125 -1.28125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.453125 0.171875 0.9375 0.28125q0.484375 0.109375 0.921875 0.109375q0.546875 0 1.046875 -0.171875q0.5 -0.171875 0.875 -0.578125q0.375 -0.40625 0.59375 -1.078125q0.234375 -0.671875 0.234375 -1.671875zm4.4291687 -4.46875l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.616669 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm10.772919 -2.578125q0.25 0.328125 0.390625 0.75q0.140625 0.421875 0.140625 0.921875q0 0.703125 -0.265625 1.296875q-0.25 0.578125 -0.734375 1.0q-0.46875 0.421875 -1.125 0.65625q-0.65625 0.234375 -1.453125 0.234375q-0.578125 0 -1.078125 -0.125q-0.5 -0.125 -0.78125 -0.3125q-0.1875 0.265625 -0.3125 0.5q-0.109375 0.21875 -0.109375 0.5q0 0.34375 0.328125 0.578125q0.34375 0.21875 0.890625 0.234375l2.40625 0.09375q0.671875 0.015625 1.25 0.171875q0.59375 0.15625 1.0 0.453125q0.421875 0.28125 0.640625 0.71875q0.234375 0.421875 0.234375 0.984375q0 0.609375 -0.265625 1.15625q-0.25 0.546875 -0.8125 0.953125q-0.546875 0.421875 -1.390625 0.671875q-0.84375 0.25 -2.015625 0.25q-1.109375 0 -1.890625 -0.1875q-0.78125 -0.171875 -1.28125 -0.484375q-0.5 -0.3125 -0.734375 -0.75q-0.21875 -0.421875 -0.21875 -0.953125q0 -0.65625 0.296875 -1.15625q0.3125 -0.5 0.96875 -0.96875q-0.234375 -0.109375 -0.40625 -0.265625q-0.171875 -0.171875 -0.28125 -0.359375q-0.109375 -0.203125 -0.171875 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.578125 0.265625 -1.0625q0.28125 -0.5 0.671875 -0.9375q-0.171875 -0.21875 -0.3125 -0.40625q-0.125 -0.203125 -0.234375 -0.4375q-0.09375 -0.234375 -0.140625 -0.5q-0.046875 -0.28125 -0.046875 -0.625q0 -0.703125 0.25 -1.28125q0.265625 -0.59375 0.734375 -1.015625q0.46875 -0.421875 1.125 -0.65625q0.671875 -0.234375 1.46875 -0.234375q0.34375 0 0.640625 0.046875q0.3125 0.046875 0.546875 0.125l3.328125 0l0 1.28125l-1.46875 0zm-5.5 9.1875q0 0.640625 0.671875 0.9375q0.671875 0.296875 1.875 0.296875q0.75 0 1.265625 -0.140625q0.515625 -0.140625 0.828125 -0.359375q0.3125 -0.21875 0.453125 -0.515625q0.140625 -0.28125 0.140625 -0.578125q0 -0.5625 -0.453125 -0.828125q-0.453125 -0.265625 -1.390625 -0.296875l-2.390625 -0.09375q-0.296875 0.203125 -0.5 0.390625q-0.203125 0.203125 -0.3125 0.390625q-0.109375 0.203125 -0.15625 0.390625q-0.03125 0.203125 -0.03125 0.40625zm0.484375 -7.484375q0 0.4375 0.140625 0.8125q0.140625 0.359375 0.40625 0.609375q0.265625 0.25 0.625 0.390625q0.359375 0.140625 0.796875 0.140625q0.46875 0 0.84375 -0.15625q0.375 -0.15625 0.625 -0.421875q0.25 -0.28125 0.375 -0.640625q0.140625 -0.359375 0.140625 -0.734375q0 -0.4375 -0.15625 -0.796875q-0.140625 -0.375 -0.40625 -0.625q-0.265625 -0.25 -0.625 -0.390625q-0.359375 -0.140625 -0.796875 -0.140625q-0.46875 0 -0.84375 0.171875q-0.359375 0.15625 -0.625 0.421875q-0.25 0.265625 -0.375 0.625q-0.125 0.34375 -0.125 0.734375zm17.476044 9.890625l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm1.8354187 -12.875l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm18.351044 0l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm9.413544 9.140625l-7.46875 0l0 -1.46875l3.0625 0l0 -8.703125l-2.859375 1.546875l-0.578125 -1.34375l3.78125 -1.984375l1.40625 0l0 10.484375l2.65625 0l0 1.46875zm9.741669 -0.34375q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m224.0 136.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m224.0 136.0l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m176.0 88.0l0 40.000793l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m176.0 88.0l0 40.000793l24.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m128.0 112.0l-32.0 0l0 103.997375l288.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m124.572914 112.0l-28.572914 0l0 103.997375l288.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m124.572914 112.0l-1.124588 1.1245804l3.089775 -1.1245804l-3.089775 -1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m336.0 120.0l16.0 0l0 -72.0l-256.0 0l0 24.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 120.0l16.0 0l0 -72.0l-256.0 0l0 24.0l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m144.0 124.47244l0 67.52904l240.01279 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m144.0 127.89952l0 64.10196l240.01279 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m144.0 127.89953l1.124588 1.1245804l-1.124588 -3.0897675l-1.124588 3.0897675z" fill-rule="evenodd"/><path fill="#ffffff" d="m128.0 52.472443l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 52.472443l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path fill="#ffffff" d="m240.0 76.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m240.0 76.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 88.0l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 88.0l80.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m272.0 116.47244l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m272.0 116.47244l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m64.0 144.0l112.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m64.0 144.0l108.57292 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m172.5729 144.0l-1.1245728 1.124588l3.0897675 -1.124588l-3.0897675 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m352.0 120.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m352.0 120.0l28.572906 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m380.5729 120.0l-1.1245728 1.1245804l3.0897522 -1.1245804l-3.0897522 -1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m381.10236 96.0l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m391.10236 118.545q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m381.10236 172.47244l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m391.10236 195.01744q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm16.835419 -0.578125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#000000" d="m391.10236 217.01744q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m256.0 148.47244l0 24.0l-192.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m256.0 151.89952l0 20.572922l-192.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m256.0 151.89952l1.1245728 1.124588l-1.1245728 -3.0897675l-1.124588 3.0897675z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m16.0 120.944885l50.897636 0l0 67.52756l-50.897636 0z" fill-rule="evenodd"/><path fill="#000000" d="m47.304924 138.72426l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm1.3354187 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375z" fill-rule="nonzero"/><path fill="#000000" d="m47.304924 164.72426l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm9.522919 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#ffffff" d="m184.0 120.0l20.0 0l0 0c11.0457 0 20.0 7.1634445 20.0 16.0c0 8.836563 -8.9543 16.0 -20.0 16.0l-20.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m184.0 120.0l20.0 0l0 0c11.0457 0 20.0 7.1634445 20.0 16.0c0 8.836563 -8.9543 16.0 -20.0 16.0l-20.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m176.0 144.0l0 0c0 -2.209137 1.790863 -4.0 4.0 -4.0l0 0c1.0608673 0 2.0782776 0.4214325 2.8284302 1.1715698c0.7501373 0.7501526 1.1715698 1.7675629 1.1715698 2.8284302l0 0c0 2.209137 -1.790863 4.0 -4.0 4.0l0 0c-2.209137 0 -4.0 -1.790863 -4.0 -4.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m176.0 144.0l0 0c0 -2.209137 1.790863 -4.0 4.0 -4.0l0 0c1.0608673 0 2.0782776 0.4214325 2.8284302 1.1715698c0.7501373 0.7501526 1.1715698 1.7675629 1.1715698 2.8284302l0 0c0 2.209137 -1.790863 4.0 -4.0 4.0l0 0c-2.209137 0 -4.0 -1.790863 -4.0 -4.0z" fill-rule="evenodd"/><path fill="#ffffff" d="m288.0 108.47244l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m288.0 108.47244l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m288.0 132.47244l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m288.0 132.47244l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m37.102364 240.0l474.89764 0l0 67.52756l-474.89764 0z" fill-rule="evenodd"/><path fill="#000000" d="m47.102364 262.54498q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm26.264587 3.625l-0.984375 1.0l-6.125 -5.109375l6.125 -5.109375l0.984375 1.015625l-4.96875 4.078125l4.96875 4.125zm10.944794 -5.03125l-7.828125 0l0 -1.328125l7.828125 0l0 1.328125zm0 3.171875l-7.828125 0l0 -1.328125l7.828125 0l0 1.328125zm18.405212 6.546875q-4.203125 -3.890625 -4.203125 -8.59375q0 -1.109375 0.21875 -2.203125q0.21875 -1.109375 0.71875 -2.203125q0.5 -1.109375 1.3125 -2.2031097q0.8125 -1.109375 1.984375 -2.1875l0.921875 0.9375q-3.53125 3.4843597 -3.53125 7.7343597q0 2.109375 0.890625 4.0625q0.890625 1.953125 2.640625 3.671875l-0.953125 0.984375zm4.3197937 -8.203125q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.8437347l1.59375 0l0 12.874985l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm16.835419 -0.578125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm21.38958 -3.546875q0 1.484375 -0.828125 2.25q-0.8125 0.75 -2.375 0.84375l-0.078125 2.25l-1.359375 0l-0.109375 -3.5l1.0625 0q0.5625 0 0.921875 -0.109375q0.375 -0.125 0.609375 -0.34375q0.234375 -0.21875 0.328125 -0.53125q0.09375 -0.3125 0.09375 -0.703125q0 -0.671875 -0.28125 -1.1875q-0.265625 -0.515625 -0.765625 -0.875q-0.484375 -0.35935974 -1.15625 -0.53123474q-0.671875 -0.1875 -1.484375 -0.1875l-0.21875 0l0 -1.421875l0.234375 0q0.921875 0 1.6875 0.171875q0.765625 0.171875 1.359375 0.46875q0.59375 0.296875 1.03125 0.703125q0.453125 0.40625 0.734375 0.85935974q0.296875 0.4375 0.4375 0.921875q0.15625 0.46875 0.15625 0.921875zm-3.96875 6.59375q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.171875 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.25 -0.09375 0.46875q-0.078125 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.21875 -0.09375 -0.390625 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.078125 -0.21875 -0.078125 -0.46875q0 -0.25 0.078125 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.390625 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm17.077087 -2.140625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.8437347l1.59375 0l0 12.874985l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm23.030212 -4.828125q0.28125 0 0.53125 0.125q0.265625 0.109375 0.453125 0.296875q0.1875 0.1875 0.296875 0.453125q0.125 0.25 0.125 0.53125q0 0.296875 -0.125 0.546875q-0.109375 0.25 -0.296875 0.4375q-0.1875 0.1875 -0.453125 0.296875q-0.25 0.109375 -0.53125 0.109375q-0.28125 0 -0.53125 -0.109375q-0.25 -0.109375 -0.453125 -0.296875q-0.1875 -0.1875 -0.296875 -0.4375q-0.109375 -0.25 -0.109375 -0.546875q0 -0.28125 0.109375 -0.53125q0.109375 -0.265625 0.296875 -0.453125q0.203125 -0.1875 0.453125 -0.296875q0.25 -0.125 0.53125 -0.125zm0 6.6875q0.28125 0 0.53125 0.109375q0.265625 0.109375 0.453125 0.3125q0.1875 0.1875 0.296875 0.4375q0.125 0.25 0.125 0.53125q0 0.296875 -0.125 0.546875q-0.109375 0.25 -0.296875 0.453125q-0.1875 0.1875 -0.453125 0.28125q-0.25 0.109375 -0.53125 0.109375q-0.28125 0 -0.53125 -0.109375q-0.25 -0.09375 -0.453125 -0.28125q-0.1875 -0.203125 -0.296875 -0.453125q-0.109375 -0.25 -0.109375 -0.546875q0 -0.28125 0.109375 -0.53125q0.109375 -0.25 0.296875 -0.4375q0.203125 -0.203125 0.453125 -0.3125q0.25 -0.109375 0.53125 -0.109375zm16.389587 -1.75q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm10.976044 -9.07811q4.203125 3.8906097 4.203125 8.656235q0 0.984375 -0.203125 2.046875q-0.203125 1.046875 -0.6875 2.15625q-0.484375 1.109375 -1.296875 2.234375q-0.8125 1.140625 -2.0625 2.296875l-0.90625 -0.9375q1.765625 -1.765625 2.640625 -3.671875q0.875 -1.921875 0.875 -4.015625q0 -4.328125 -3.515625 -7.7968597l0.953125 -0.96875zm26.514587 7.3437347q0 1.203125 -0.234375 2.1875q-0.234375 0.96875 -0.671875 1.734375l1.828125 2.296875l-2.09375 0l-0.8125 -1.0q-0.640625 0.546875 -1.4375 0.84375q-0.796875 0.28125 -1.71875 0.28125q-0.890625 0 -1.578125 -0.234375q-0.671875 -0.25 -1.140625 -0.6875q-0.46875 -0.453125 -0.71875 -1.0625q-0.234375 -0.609375 -0.234375 -1.34375q0 -0.703125 0.1875 -1.234375q0.1875 -0.546875 0.484375 -0.96875q0.3125 -0.421875 0.71875 -0.75q0.40625 -0.328125 0.859375 -0.59375l-0.203125 -0.28125q-0.515625 -0.625 -0.765625 -1.265625q-0.25 -0.65625 -0.25 -1.28125q0 -0.65625 0.203125 -1.203125q0.21875 -0.56248474 0.625 -0.96873474q0.40625 -0.40625 1.0 -0.625q0.59375 -0.234375 1.359375 -0.234375q0.734375 0 1.296875 0.21875q0.5625 0.203125 0.921875 0.578125q0.375 0.359375 0.5625 0.84375q0.1875 0.46873474 0.1875 0.99998474q0 0.6875 -0.234375 1.203125q-0.234375 0.515625 -0.609375 0.921875q-0.375 0.390625 -0.859375 0.703125q-0.484375 0.296875 -1.0 0.59375l2.34375 2.9375q0.40625 -1.078125 0.375 -2.609375l1.609375 0zm-7.15625 2.828125q0 0.46875 0.15625 0.875q0.15625 0.40625 0.4375 0.6875q0.28125 0.28125 0.6875 0.4375q0.421875 0.15625 0.953125 0.15625q1.171875 0 2.078125 -0.875l-2.90625 -3.625q-0.3125 0.21875 -0.578125 0.453125q-0.25 0.234375 -0.4375 0.515625q-0.1875 0.28125 -0.296875 0.625q-0.09375 0.328125 -0.09375 0.75zm3.9375 -6.421875q0 -0.6875 -0.390625 -1.0625q-0.375 -0.39060974 -1.03125 -0.39060974q-0.375 0 -0.65625 0.125q-0.265625 0.109375 -0.46875 0.32810974q-0.1875 0.203125 -0.28125 0.484375q-0.09375 0.28125 -0.09375 0.609375q0 0.484375 0.171875 0.921875q0.1875 0.421875 0.59375 0.921875l0.25 0.328125q0.390625 -0.21875 0.734375 -0.4375q0.34375 -0.234375 0.59375 -0.5q0.265625 -0.265625 0.421875 -0.59375q0.15625 -0.328125 0.15625 -0.734375zm21.342712 13.640625q-4.203125 -3.890625 -4.203125 -8.59375q0 -1.109375 0.21875 -2.203125q0.21875 -1.109375 0.71875 -2.203125q0.5 -1.109375 1.3125 -2.2031097q0.8125 -1.109375 1.984375 -2.1875l0.921875 0.9375q-3.53125 3.4843597 -3.53125 7.7343597q0 2.109375 0.890625 4.0625q0.890625 1.953125 2.640625 3.671875l-0.953125 0.984375zm13.241669 -12.96875l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm9.522919 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm21.389587 -3.546875q0 1.484375 -0.828125 2.25q-0.8125 0.75 -2.375 0.84375l-0.078125 2.25l-1.359375 0l-0.109375 -3.5l1.0625 0q0.5625 0 0.921875 -0.109375q0.375 -0.125 0.609375 -0.34375q0.234375 -0.21875 0.328125 -0.53125q0.09375 -0.3125 0.09375 -0.703125q0 -0.671875 -0.28125 -1.1875q-0.265625 -0.515625 -0.765625 -0.875q-0.484375 -0.35935974 -1.15625 -0.53123474q-0.671875 -0.1875 -1.484375 -0.1875l-0.21875 0l0 -1.421875l0.234375 0q0.921875 0 1.6875 0.171875q0.765625 0.171875 1.359375 0.46875q0.59375 0.296875 1.03125 0.703125q0.453125 0.40625 0.734375 0.85935974q0.296875 0.4375 0.4375 0.921875q0.15625 0.46875 0.15625 0.921875zm-3.96875 6.59375q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.171875 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.25 -0.09375 0.46875q-0.078125 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.21875 -0.09375 -0.390625 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.078125 -0.21875 -0.078125 -0.46875q0 -0.25 0.078125 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.390625 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm25.748962 -4.109375q0 0.703125 -0.15625 1.296875q-0.15625 0.578125 -0.484375 1.015625q-0.328125 0.421875 -0.8125 0.65625q-0.484375 0.234375 -1.125 0.234375q-0.5 0 -0.90625 -0.15625q-0.40625 -0.171875 -0.75 -0.421875q-0.34375 -0.265625 -0.625 -0.5625q-0.28125 -0.296875 -0.5625 -0.546875q-0.28125 -0.265625 -0.5625 -0.421875q-0.265625 -0.171875 -0.578125 -0.171875q-0.53125 0 -0.796875 0.421875q-0.25 0.421875 -0.25 1.34375l-1.46875 0q-0.015625 -0.6875 0.140625 -1.265625q0.171875 -0.59375 0.484375 -1.03125q0.328125 -0.4375 0.8125 -0.671875q0.484375 -0.25 1.140625 -0.25q0.5 0 0.890625 0.171875q0.40625 0.171875 0.75 0.4375q0.34375 0.25 0.625 0.546875q0.296875 0.296875 0.5625 0.5625q0.28125 0.25 0.546875 0.421875q0.28125 0.15625 0.59375 0.15625q0.578125 0 0.8125 -0.40625q0.25 -0.421875 0.25 -1.359375l1.46875 0zm10.507294 -2.796875l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm1.3354187 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.8437347l1.59375 0l0 12.874985l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm23.030212 -4.828125q0.28125 0 0.53125 0.125q0.265625 0.109375 0.453125 0.296875q0.1875 0.1875 0.296875 0.453125q0.125 0.25 0.125 0.53125q0 0.296875 -0.125 0.546875q-0.109375 0.25 -0.296875 0.4375q-0.1875 0.1875 -0.453125 0.296875q-0.25 0.109375 -0.53125 0.109375q-0.28125 0 -0.53125 -0.109375q-0.25 -0.109375 -0.453125 -0.296875q-0.1875 -0.1875 -0.296875 -0.4375q-0.109375 -0.25 -0.109375 -0.546875q0 -0.28125 0.109375 -0.53125q0.109375 -0.265625 0.296875 -0.453125q0.203125 -0.1875 0.453125 -0.296875q0.25 -0.125 0.53125 -0.125zm0 6.6875q0.28125 0 0.53125 0.109375q0.265625 0.109375 0.453125 0.3125q0.1875 0.1875 0.296875 0.4375q0.125 0.25 0.125 0.53125q0 0.296875 -0.125 0.546875q-0.109375 0.25 -0.296875 0.453125q-0.1875 0.1875 -0.453125 0.28125q-0.25 0.109375 -0.53125 0.109375q-0.28125 0 -0.53125 -0.109375q-0.25 -0.09375 -0.453125 -0.28125q-0.1875 -0.203125 -0.296875 -0.453125q-0.109375 -0.25 -0.109375 -0.546875q0 -0.28125 0.109375 -0.53125q0.109375 -0.25 0.296875 -0.4375q0.203125 -0.203125 0.453125 -0.3125q0.25 -0.109375 0.53125 -0.109375zm23.483337 -9.10936q-0.453125 0 -0.890625 0.09375q-0.4375 0.078125 -0.78125 0.28125q-0.328125 0.1875 -0.53125 0.48435974q-0.203125 0.296875 -0.203125 0.671875q0 0.390625 0.125 0.625q0.140625 0.21875 0.296875 0.421875q0.171875 0.203125 0.296875 0.4375q0.140625 0.234375 0.140625 0.609375q0 0.1875 -0.078125 0.40625q-0.0625 0.203125 -0.21875 0.375q-0.140625 0.171875 -0.375 0.28125q-0.234375 0.109375 -0.5625 0.109375q-0.328125 0 -0.625 -0.125q-0.28125 -0.140625 -0.5 -0.40625q-0.21875 -0.28125 -0.359375 -0.6875q-0.125 -0.421875 -0.125 -0.96875q0 -0.75 0.265625 -1.453125q0.28125 -0.70310974 0.828125 -1.2343597q0.546875 -0.53125 1.375 -0.84375q0.828125 -0.328125 1.921875 -0.328125l0 1.25zm11.241669 11.73436l-7.46875 0l0 -1.46875l3.0625 0l0 -8.703125l-2.859375 1.546875l-0.578125 -1.34375l3.78125 -1.9843597l1.40625 0l0 10.48436l2.65625 0l0 1.46875zm4.5072937 -13.562485q4.203125 3.8906097 4.203125 8.656235q0 0.984375 -0.203125 2.046875q-0.203125 1.046875 -0.6875 2.15625q-0.484375 1.109375 -1.296875 2.234375q-0.8125 1.140625 -2.0625 2.296875l-0.90625 -0.9375q1.765625 -1.765625 2.640625 -3.671875q0.875 -1.921875 0.875 -4.015625q0 -4.328125 -3.515625 -7.7968597l0.953125 -0.96875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m16.0 48.0l50.897636 0l0 67.52756l-50.897636 0z" fill-rule="evenodd"/><path fill="#000000" d="m38.38305 70.545q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm16.351044 1.984375q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m64.0 72.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m67.427086 72.0l28.572914 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m67.427086 72.0l1.124588 -1.1245804l-3.089775 1.1245804l3.089775 1.1245804z" fill-rule="evenodd"/></g></svg>
diff --git a/doc/rm/subreg_rw1s.svg b/doc/rm/subreg_rw1s.svg
new file mode 100644
index 0000000..db206b1
--- /dev/null
+++ b/doc/rm/subreg_rw1s.svg
@@ -0,0 +1 @@
+<svg version="1.1" viewBox="0.0 0.0 800.0 280.0" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l800.0 0l0 600.0l-800.0 0l0 -600.0z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l800.0 0l0 600.0l-800.0 0z" fill-rule="evenodd"/><path fill="#f3f3f3" d="m80.0 8.0l280.0 0l0 224.0l-280.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m80.0 8.0l280.0 0l0 224.0l-280.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m97.703125 32.42q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.366669 2.5l-1.421875 0l-0.0625 -1.46875q-0.40625 0.46875 -0.78125 0.78125q-0.359375 0.3125 -0.734375 0.515625q-0.359375 0.1875 -0.734375 0.25q-0.359375 0.078125 -0.765625 0.078125q-1.421875 0 -2.140625 -0.828125q-0.71875 -0.828125 -0.71875 -2.5l0 -5.96875l1.59375 0l0 5.84375q0 2.109375 1.578125 2.109375q0.296875 0 0.5625 -0.078125q0.28125 -0.09375 0.578125 -0.3125q0.3125 -0.21875 0.65625 -0.578125q0.359375 -0.359375 0.796875 -0.921875l0 -6.0625l1.59375 0l0 9.140625zm10.726044 -4.734375q0 1.140625 -0.328125 2.046875q-0.3125 0.890625 -0.890625 1.515625q-0.578125 0.609375 -1.40625 0.953125q-0.828125 0.328125 -1.859375 0.328125q-0.8125 0 -1.671875 -0.15625q-0.84375 -0.15625 -1.671875 -0.484375l0 -12.34375l1.59375 0l0 3.546875l-0.078125 1.6875q0.6875 -0.90625 1.453125 -1.28125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.453125 0.171875 0.9375 0.28125q0.484375 0.109375 0.921875 0.109375q0.546875 0 1.046875 -0.171875q0.5 -0.171875 0.875 -0.578125q0.375 -0.40625 0.59375 -1.078125q0.234375 -0.671875 0.234375 -1.671875zm4.4291687 -4.46875l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.616669 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm10.772919 -2.578125q0.25 0.328125 0.390625 0.75q0.140625 0.421875 0.140625 0.921875q0 0.703125 -0.265625 1.296875q-0.25 0.578125 -0.734375 1.0q-0.46875 0.421875 -1.125 0.65625q-0.65625 0.234375 -1.453125 0.234375q-0.578125 0 -1.078125 -0.125q-0.5 -0.125 -0.78125 -0.3125q-0.1875 0.265625 -0.3125 0.5q-0.109375 0.21875 -0.109375 0.5q0 0.34375 0.328125 0.578125q0.34375 0.21875 0.890625 0.234375l2.40625 0.09375q0.671875 0.015625 1.25 0.171875q0.59375 0.15625 1.0 0.453125q0.421875 0.28125 0.640625 0.71875q0.234375 0.421875 0.234375 0.984375q0 0.609375 -0.265625 1.15625q-0.25 0.546875 -0.8125 0.953125q-0.546875 0.421875 -1.390625 0.671875q-0.84375 0.25 -2.015625 0.25q-1.109375 0 -1.890625 -0.1875q-0.78125 -0.171875 -1.28125 -0.484375q-0.5 -0.3125 -0.734375 -0.75q-0.21875 -0.421875 -0.21875 -0.953125q0 -0.65625 0.296875 -1.15625q0.3125 -0.5 0.96875 -0.96875q-0.234375 -0.109375 -0.40625 -0.265625q-0.171875 -0.171875 -0.28125 -0.359375q-0.109375 -0.203125 -0.171875 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.578125 0.265625 -1.0625q0.28125 -0.5 0.671875 -0.9375q-0.171875 -0.21875 -0.3125 -0.40625q-0.125 -0.203125 -0.234375 -0.4375q-0.09375 -0.234375 -0.140625 -0.5q-0.046875 -0.28125 -0.046875 -0.625q0 -0.703125 0.25 -1.28125q0.265625 -0.59375 0.734375 -1.015625q0.46875 -0.421875 1.125 -0.65625q0.671875 -0.234375 1.46875 -0.234375q0.34375 0 0.640625 0.046875q0.3125 0.046875 0.546875 0.125l3.328125 0l0 1.28125l-1.46875 0zm-5.5 9.1875q0 0.640625 0.671875 0.9375q0.671875 0.296875 1.875 0.296875q0.75 0 1.265625 -0.140625q0.515625 -0.140625 0.828125 -0.359375q0.3125 -0.21875 0.453125 -0.515625q0.140625 -0.28125 0.140625 -0.578125q0 -0.5625 -0.453125 -0.828125q-0.453125 -0.265625 -1.390625 -0.296875l-2.390625 -0.09375q-0.296875 0.203125 -0.5 0.390625q-0.203125 0.203125 -0.3125 0.390625q-0.109375 0.203125 -0.15625 0.390625q-0.03125 0.203125 -0.03125 0.40625zm0.484375 -7.484375q0 0.4375 0.140625 0.8125q0.140625 0.359375 0.40625 0.609375q0.265625 0.25 0.625 0.390625q0.359375 0.140625 0.796875 0.140625q0.46875 0 0.84375 -0.15625q0.375 -0.15625 0.625 -0.421875q0.25 -0.28125 0.375 -0.640625q0.140625 -0.359375 0.140625 -0.734375q0 -0.4375 -0.15625 -0.796875q-0.140625 -0.375 -0.40625 -0.625q-0.265625 -0.25 -0.625 -0.390625q-0.359375 -0.140625 -0.796875 -0.140625q-0.46875 0 -0.84375 0.171875q-0.359375 0.15625 -0.625 0.421875q-0.25 0.265625 -0.375 0.625q-0.125 0.34375 -0.125 0.734375zm17.476044 9.890625l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm1.8354187 -12.875l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm18.351044 0l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm9.413544 9.140625l-7.46875 0l0 -1.46875l3.0625 0l0 -8.703125l-2.859375 1.546875l-0.578125 -1.34375l3.78125 -1.984375l1.40625 0l0 10.484375l2.65625 0l0 1.46875zm9.882294 -2.5q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m224.0 136.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m224.0 136.0l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m176.0 88.0l0 40.000793l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m176.0 88.0l0 40.000793l24.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m128.0 112.0l-32.0 0l0 103.997375l288.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m124.572914 112.0l-28.572914 0l0 103.997375l288.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m124.572914 112.0l-1.124588 1.1245804l3.089775 -1.1245804l-3.089775 -1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m336.0 120.0l16.0 0l0 -72.0l-256.0 0l0 24.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 120.0l16.0 0l0 -72.0l-256.0 0l0 24.0l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m144.0 124.47244l0 67.52904l240.01279 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m144.0 127.89952l0 64.10196l240.01279 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m144.0 127.89953l1.124588 1.1245804l-1.124588 -3.0897675l-1.124588 3.0897675z" fill-rule="evenodd"/><path fill="#ffffff" d="m128.0 52.472443l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 52.472443l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path fill="#ffffff" d="m240.0 76.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m240.0 76.47244l32.0 16.0l0 48.0l-32.0 16.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 88.0l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.0 88.0l80.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m272.0 116.47244l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m272.0 116.47244l32.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m64.0 144.0l128.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m64.0 144.0l124.57292 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m188.5729 144.0l-1.1245728 1.124588l3.0897675 -1.124588l-3.0897675 -1.124588z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m352.0 120.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m352.0 120.0l28.572906 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m380.5729 120.0l-1.1245728 1.1245804l3.0897522 -1.1245804l-3.0897522 -1.1245804z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m381.10236 96.0l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m391.10236 118.545q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m381.10236 172.47244l50.897644 0l0 67.52756l-50.897644 0z" fill-rule="evenodd"/><path fill="#000000" d="m391.10236 195.01744q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm16.835419 -0.578125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#000000" d="m391.10236 217.01744q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m256.0 148.47244l0 24.0l-192.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m256.0 151.89952l0 20.572922l-192.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m256.0 151.89952l1.1245728 1.124588l-1.1245728 -3.0897675l-1.124588 3.0897675z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m16.0 120.944885l50.897636 0l0 67.52756l-50.897636 0z" fill-rule="evenodd"/><path fill="#000000" d="m47.304924 138.72426l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm1.3354187 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375z" fill-rule="nonzero"/><path fill="#000000" d="m47.304924 164.72426l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm9.522919 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0z" fill-rule="nonzero"/><path fill="#ffffff" d="m288.0 108.47244l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m288.0 108.47244l48.0 0l0 40.0l-48.0 0z" fill-rule="evenodd"/><path fill="#ffffff" d="m288.0 132.47244l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m288.0 132.47244l8.0 4.0l-8.0 4.0" fill-rule="evenodd"/><path fill="#ffffff" d="m217.33333 120.0l-33.33333 0c3.6819 0 6.6666718 7.1634445 6.6666718 16.0c0 8.836563 -2.9847717 16.0 -6.6666718 16.0l33.33333 0l0 0c3.6819 0 6.6666718 -7.163437 6.6666718 -16.0c0 -8.8365555 -2.9847717 -16.0 -6.6666718 -16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m217.33333 120.0l-33.33333 0c3.6819 0 6.6666718 7.1634445 6.6666718 16.0c0 8.836563 -2.9847717 16.0 -6.6666718 16.0l33.33333 0l0 0c3.6819 0 6.6666718 -7.163437 6.6666718 -16.0c0 -8.8365555 -2.9847717 -16.0 -6.6666718 -16.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m37.102364 240.0l474.89764 0l0 67.52756l-474.89764 0z" fill-rule="evenodd"/><path fill="#000000" d="m47.102364 262.54498q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm26.264587 3.625l-0.984375 1.0l-6.125 -5.109375l6.125 -5.109375l0.984375 1.015625l-4.96875 4.078125l4.96875 4.125zm10.944794 -5.03125l-7.828125 0l0 -1.328125l7.828125 0l0 1.328125zm0 3.171875l-7.828125 0l0 -1.328125l7.828125 0l0 1.328125zm18.405212 6.546875q-4.203125 -3.890625 -4.203125 -8.59375q0 -1.109375 0.21875 -2.203125q0.21875 -1.109375 0.71875 -2.203125q0.5 -1.109375 1.3125 -2.2031097q0.8125 -1.109375 1.984375 -2.1875l0.921875 0.9375q-3.53125 3.4843597 -3.53125 7.7343597q0 2.109375 0.890625 4.0625q0.890625 1.953125 2.640625 3.671875l-0.953125 0.984375zm4.3197937 -8.203125q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.8437347l1.59375 0l0 12.874985l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm16.835419 -0.578125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm21.38958 -3.546875q0 1.484375 -0.828125 2.25q-0.8125 0.75 -2.375 0.84375l-0.078125 2.25l-1.359375 0l-0.109375 -3.5l1.0625 0q0.5625 0 0.921875 -0.109375q0.375 -0.125 0.609375 -0.34375q0.234375 -0.21875 0.328125 -0.53125q0.09375 -0.3125 0.09375 -0.703125q0 -0.671875 -0.28125 -1.1875q-0.265625 -0.515625 -0.765625 -0.875q-0.484375 -0.35935974 -1.15625 -0.53123474q-0.671875 -0.1875 -1.484375 -0.1875l-0.21875 0l0 -1.421875l0.234375 0q0.921875 0 1.6875 0.171875q0.765625 0.171875 1.359375 0.46875q0.59375 0.296875 1.03125 0.703125q0.453125 0.40625 0.734375 0.85935974q0.296875 0.4375 0.4375 0.921875q0.15625 0.46875 0.15625 0.921875zm-3.96875 6.59375q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.171875 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.25 -0.09375 0.46875q-0.078125 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.21875 -0.09375 -0.390625 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.078125 -0.21875 -0.078125 -0.46875q0 -0.25 0.078125 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.390625 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm17.077087 -2.140625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.8437347l1.59375 0l0 12.874985l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm23.030212 -4.828125q0.28125 0 0.53125 0.125q0.265625 0.109375 0.453125 0.296875q0.1875 0.1875 0.296875 0.453125q0.125 0.25 0.125 0.53125q0 0.296875 -0.125 0.546875q-0.109375 0.25 -0.296875 0.4375q-0.1875 0.1875 -0.453125 0.296875q-0.25 0.109375 -0.53125 0.109375q-0.28125 0 -0.53125 -0.109375q-0.25 -0.109375 -0.453125 -0.296875q-0.1875 -0.1875 -0.296875 -0.4375q-0.109375 -0.25 -0.109375 -0.546875q0 -0.28125 0.109375 -0.53125q0.109375 -0.265625 0.296875 -0.453125q0.203125 -0.1875 0.453125 -0.296875q0.25 -0.125 0.53125 -0.125zm0 6.6875q0.28125 0 0.53125 0.109375q0.265625 0.109375 0.453125 0.3125q0.1875 0.1875 0.296875 0.4375q0.125 0.25 0.125 0.53125q0 0.296875 -0.125 0.546875q-0.109375 0.25 -0.296875 0.453125q-0.1875 0.1875 -0.453125 0.28125q-0.25 0.109375 -0.53125 0.109375q-0.28125 0 -0.53125 -0.109375q-0.25 -0.09375 -0.453125 -0.28125q-0.1875 -0.203125 -0.296875 -0.453125q-0.109375 -0.25 -0.109375 -0.546875q0 -0.28125 0.109375 -0.53125q0.109375 -0.25 0.296875 -0.4375q0.203125 -0.203125 0.453125 -0.3125q0.25 -0.109375 0.53125 -0.109375zm16.389587 -1.75q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm10.976044 -9.07811q4.203125 3.8906097 4.203125 8.656235q0 0.984375 -0.203125 2.046875q-0.203125 1.046875 -0.6875 2.15625q-0.484375 1.109375 -1.296875 2.234375q-0.8125 1.140625 -2.0625 2.296875l-0.90625 -0.9375q1.765625 -1.765625 2.640625 -3.671875q0.875 -1.921875 0.875 -4.015625q0 -4.328125 -3.515625 -7.7968597l0.953125 -0.96875zm23.061462 17.29686l-1.5 0l0 -18.656235l1.5 0l0 18.656235zm21.577087 0.09375q-4.203125 -3.890625 -4.203125 -8.59375q0 -1.109375 0.21875 -2.203125q0.21875 -1.109375 0.71875 -2.203125q0.5 -1.109375 1.3125 -2.2031097q0.8125 -1.109375 1.984375 -2.1875l0.921875 0.9375q-3.53125 3.4843597 -3.53125 7.7343597q0 2.109375 0.890625 4.0625q0.890625 1.953125 2.640625 3.671875l-0.953125 0.984375zm13.241669 -12.96875l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm9.522919 4.078125q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm21.389587 -3.546875q0 1.484375 -0.828125 2.25q-0.8125 0.75 -2.375 0.84375l-0.078125 2.25l-1.359375 0l-0.109375 -3.5l1.0625 0q0.5625 0 0.921875 -0.109375q0.375 -0.125 0.609375 -0.34375q0.234375 -0.21875 0.328125 -0.53125q0.09375 -0.3125 0.09375 -0.703125q0 -0.671875 -0.28125 -1.1875q-0.265625 -0.515625 -0.765625 -0.875q-0.484375 -0.35935974 -1.15625 -0.53123474q-0.671875 -0.1875 -1.484375 -0.1875l-0.21875 0l0 -1.421875l0.234375 0q0.921875 0 1.6875 0.171875q0.765625 0.171875 1.359375 0.46875q0.59375 0.296875 1.03125 0.703125q0.453125 0.40625 0.734375 0.85935974q0.296875 0.4375 0.4375 0.921875q0.15625 0.46875 0.15625 0.921875zm-3.96875 6.59375q0.25 0 0.46875 0.09375q0.21875 0.09375 0.375 0.265625q0.171875 0.15625 0.25 0.375q0.09375 0.21875 0.09375 0.46875q0 0.25 -0.09375 0.46875q-0.078125 0.21875 -0.25 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.46875 0.09375q-0.25 0 -0.46875 -0.09375q-0.21875 -0.09375 -0.390625 -0.25q-0.15625 -0.15625 -0.25 -0.375q-0.078125 -0.21875 -0.078125 -0.46875q0 -0.25 0.078125 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.390625 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm25.998962 -6.90625l-1.328125 9.140625l-1.921875 0l-1.3125 -3.828125l-0.265625 -0.921875l-0.296875 0.984375l-1.265625 3.765625l-1.875 0l-1.3125 -9.140625l1.546875 0l0.765625 6.203125l0.15625 1.390625l0.390625 -1.21875l1.328125 -4.109375l1.140625 0l1.4375 4.0625l0.40625 1.203125l0.140625 -1.28125l0.703125 -6.25l1.5625 0zm1.3354187 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.8437347l1.59375 0l0 12.874985l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm23.030212 -4.828125q0.28125 0 0.53125 0.125q0.265625 0.109375 0.453125 0.296875q0.1875 0.1875 0.296875 0.453125q0.125 0.25 0.125 0.53125q0 0.296875 -0.125 0.546875q-0.109375 0.25 -0.296875 0.4375q-0.1875 0.1875 -0.453125 0.296875q-0.25 0.109375 -0.53125 0.109375q-0.28125 0 -0.53125 -0.109375q-0.25 -0.109375 -0.453125 -0.296875q-0.1875 -0.1875 -0.296875 -0.4375q-0.109375 -0.25 -0.109375 -0.546875q0 -0.28125 0.109375 -0.53125q0.109375 -0.265625 0.296875 -0.453125q0.203125 -0.1875 0.453125 -0.296875q0.25 -0.125 0.53125 -0.125zm0 6.6875q0.28125 0 0.53125 0.109375q0.265625 0.109375 0.453125 0.3125q0.1875 0.1875 0.296875 0.4375q0.125 0.25 0.125 0.53125q0 0.296875 -0.125 0.546875q-0.109375 0.25 -0.296875 0.453125q-0.1875 0.1875 -0.453125 0.28125q-0.25 0.109375 -0.53125 0.109375q-0.28125 0 -0.53125 -0.109375q-0.25 -0.09375 -0.453125 -0.28125q-0.1875 -0.203125 -0.296875 -0.453125q-0.109375 -0.25 -0.109375 -0.546875q0 -0.28125 0.109375 -0.53125q0.109375 -0.25 0.296875 -0.4375q0.203125 -0.203125 0.453125 -0.3125q0.25 -0.109375 0.53125 -0.109375zm23.483337 -9.10936q-0.453125 0 -0.890625 0.09375q-0.4375 0.078125 -0.78125 0.28125q-0.328125 0.1875 -0.53125 0.48435974q-0.203125 0.296875 -0.203125 0.671875q0 0.390625 0.125 0.625q0.140625 0.21875 0.296875 0.421875q0.171875 0.203125 0.296875 0.4375q0.140625 0.234375 0.140625 0.609375q0 0.1875 -0.078125 0.40625q-0.0625 0.203125 -0.21875 0.375q-0.140625 0.171875 -0.375 0.28125q-0.234375 0.109375 -0.5625 0.109375q-0.328125 0 -0.625 -0.125q-0.28125 -0.140625 -0.5 -0.40625q-0.21875 -0.28125 -0.359375 -0.6875q-0.125 -0.421875 -0.125 -0.96875q0 -0.75 0.265625 -1.453125q0.28125 -0.70310974 0.828125 -1.2343597q0.546875 -0.53125 1.375 -0.84375q0.828125 -0.328125 1.921875 -0.328125l0 1.25zm11.616669 5.7812347q0 1.375 -0.28125 2.5q-0.265625 1.125 -0.828125 1.9375q-0.546875 0.796875 -1.375 1.234375q-0.8125 0.4375 -1.9375 0.4375q-0.953125 0 -1.734375 -0.359375q-0.78125 -0.359375 -1.34375 -1.09375q-0.546875 -0.75 -0.859375 -1.90625q-0.296875 -1.15625 -0.296875 -2.75q0 -1.359375 0.28125 -2.484375q0.28125 -1.140625 0.828125 -1.9375q0.546875 -0.81248474 1.375 -1.2499847q0.84375 -0.4375 1.9375 -0.4375q0.953125 0 1.734375 0.359375q0.796875 0.359375 1.34375 1.1093597q0.5625 0.75 0.859375 1.90625q0.296875 1.15625 0.296875 2.734375zm-1.59375 0.0625q0 -0.3125 -0.03125 -0.609375q-0.015625 -0.3125 -0.046875 -0.609375l-5.046875 3.75q0.140625 0.46875 0.34375 0.875q0.21875 0.390625 0.515625 0.6875q0.296875 0.28125 0.671875 0.453125q0.375 0.15625 0.859375 0.15625q0.625 0 1.125 -0.296875q0.515625 -0.3125 0.859375 -0.90625q0.359375 -0.59375 0.546875 -1.46875q0.203125 -0.875 0.203125 -2.03125zm-5.453125 -0.109375q0 0.28125 0 0.5625q0 0.28125 0.03125 0.546875l5.046875 -3.734375q-0.140625 -0.453125 -0.359375 -0.828125q-0.203125 -0.390625 -0.5 -0.671875q-0.28125 -0.28125 -0.65625 -0.4375q-0.375 -0.15625 -0.84375 -0.15625q-0.609375 0 -1.125 0.3125q-0.5 0.296875 -0.859375 0.890625q-0.359375 0.59375 -0.546875 1.484375q-0.1875 0.875 -0.1875 2.03125zm11.179169 -7.5624847q4.203125 3.8906097 4.203125 8.656235q0 0.984375 -0.203125 2.046875q-0.203125 1.046875 -0.6875 2.15625q-0.484375 1.109375 -1.296875 2.234375q-0.8125 1.140625 -2.0625 2.296875l-0.90625 -0.9375q1.765625 -1.765625 2.640625 -3.671875q0.875 -1.921875 0.875 -4.015625q0 -4.328125 -3.515625 -7.7968597l0.953125 -0.96875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m16.0 48.0l50.897636 0l0 67.52756l-50.897636 0z" fill-rule="evenodd"/><path fill="#000000" d="m38.38305 70.545q0 -0.984375 0.25 -1.859375q0.265625 -0.890625 0.796875 -1.5625q0.546875 -0.671875 1.390625 -1.0625q0.859375 -0.390625 2.03125 -0.390625q0.46875 0 0.921875 0.078125q0.453125 0.0625 0.953125 0.21875l1.40625 -0.359375l0 13.046875l-1.59375 0l0 -3.453125l0.078125 -1.953125q-1.28125 1.828125 -3.078125 1.828125q-0.796875 0 -1.390625 -0.3125q-0.59375 -0.328125 -0.984375 -0.921875q-0.390625 -0.609375 -0.59375 -1.453125q-0.1875 -0.84375 -0.1875 -1.84375zm1.609375 -0.109375q0 0.765625 0.109375 1.375q0.125 0.609375 0.359375 1.03125q0.234375 0.421875 0.578125 0.65625q0.359375 0.234375 0.828125 0.234375q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.359375 -0.1875 -0.828125 -0.296875q-0.453125 -0.109375 -0.96875 -0.109375q-1.34375 0 -2.046875 0.890625q-0.703125 0.890625 -0.703125 2.59375zm16.351044 1.984375q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m64.0 72.0l32.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m67.427086 72.0l28.572914 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m67.427086 72.0l1.124588 -1.1245804l-3.089775 1.1245804l3.089775 1.1245804z" fill-rule="evenodd"/></g></svg>
diff --git a/doc/rm/vendor_hw_tool.md b/doc/rm/vendor_hw_tool.md
new file mode 100644
index 0000000..2ec2350
--- /dev/null
+++ b/doc/rm/vendor_hw_tool.md
@@ -0,0 +1,86 @@
+{{% lowrisc-doc-hdr: vendor_hw: vendor-in hardware components }}
+
+Not all hardware code contained in this repository is actually developed within this repository.
+Code which we include from external sources is placed in the `hw/vendor` directory and copied into this directory from its upstream source.
+The process of copying the upstream sources is called vendoring, and it is automated by the `vendor_hw` tool.
+
+The `vendor_hw` tool can go beyond simply copying in source files: it can patch them, it can export patches from commits in a Git repository, and it can commit the resulting changes with a meaningful commit message.
+
+## Tool usage overview
+
+```text
+usage: vendor_hw [-h] [--refresh-patches] [--commit] [--verbose] file
+
+vendor_hw, copy hardware source code from upstream into this repository
+
+positional arguments:
+  file               vendoring description file (*.vendor.hjson)
+
+optional arguments:
+  -h, --help         show this help message and exit
+  --refresh-patches  Refresh the patches from the patch repository
+  --commit, -c       Commit the changes
+  --verbose, -v      Verbose
+```
+
+## The vendor description file
+
+For each vendored-in component a description file must be created, which serves as input to the `vendor_hw` tool.
+The vendor description file is stored in `hw/vendor/<vendor>_<name>.vendor.hjson`.
+By convention all imported code is named `<vendor>_<name>`, with `<vendor>` typically being the GitHub user or organization name, and `<name>` the project name.
+It is recommended to use only lower-case characters.
+
+A full commented example of a vendor description file is given below.
+All relative paths are relative to the description file.
+Optional parts can be removed if they are not used.
+
+```
+// Copyright lowRISC contributors.
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+{
+  // Name of the vendored-in project
+  name: "pulp_riscv_dbg",
+
+  // Target directory: typically equal to the name
+  // All imported code is copied into this directory
+  target_dir: "pulp_riscv_dbg",
+
+  // Git upstream source code repository
+  upstream: {
+    // Upstream Git repository URL. HTTPS URLs are preferred.
+    url: "https://github.com/pulp-platform/riscv-dbg",
+    // Upstream revision or branch. Can be a commit hash or a branch name.
+    rev: "pulpissimo_integration",
+  },
+
+  // Optional: Apply patches from the following directory to the upstream
+  // sources
+  patch_dir: "patches/pulp_riscv_dbg",
+
+  // Optional: Update patches in |patch_dir| from a Git repository
+  // If vendor_hw is run with --refresh-patches, all commits in the repository
+  // at |url| between |rev_base| and |rev_patched| are exported into the
+  // |patch_dir|, replacing all existing patches.
+  patch_repo: {
+    url: "git@github.com:lowRISC/riscv-dbg.git",
+    rev_base: "pulpissimo_integration",
+    rev_patched: "ot",
+  },
+
+  // Optional: Exclude files or directories from the upstream sources
+  // The standard glob wildcards (*, ?, etc.) are supported
+  exclude_from_upstream: [
+    "src/dm_top.sv",
+    "src_files.yml",
+  ]
+}
+```
+
+## Examples
+
+### Update code and commit the new code
+```command
+$ cd $REPO_TOP
+$ ./util/vendor_hw.py hw/vendor/google_riscv-dv.vendor.hjson -v --commit
+```
diff --git a/doc/rm/verilog_coding_style.md b/doc/rm/verilog_coding_style.md
new file mode 100644
index 0000000..eb750ae
--- /dev/null
+++ b/doc/rm/verilog_coding_style.md
@@ -0,0 +1,2162 @@
+{{% lowrisc-doc-hdr Verilog Coding Style Guide }}
+
+## Basics
+
+### Summary
+
+Verilog is the main logic design language for lowRISC Comportable IP.
+
+Verilog and SystemVerilog (often generically referred to as just "Verilog" in this document) can be written in vastly different styles, which can lead to code conflicts and code review latency.
+This style guide aims to promote Verilog readability across groups.
+To quote the C++ style guide: "Creating common, required idioms and patterns makes code much easier to understand."
+
+This guide defines the Comportable style for Verilog.
+The goals are to:
+
+*   promote consistency across hardware development projects
+*   promote best practices
+*   increase code sharing and re-use
+
+This style guide defines style for both Verilog-2001 and SystemVerilog compliant code.
+Additionally, this style guide defines style for both synthesizable and test bench code.
+
+See the [Appendix](#appendix---condensed-style-guide) for a condensed tabular representation of this style guide.
+
+{{% toc 3 }}
+
+### Terminology Conventions
+
+Unless otherwise noted, the following terminology conventions apply to this
+style guide:
+
+*   The word ***must*** indicates a mandatory requirement.
+    Similarly, ***do not*** indicates a prohibition.
+    Imperative and declarative statements correspond to ***must***.
+*   The word ***recommended*** indicates that a certain course of action is preferred or is most suitable.
+    Similarly, ***not recommended*** indicates that a course of action is unsuitable, but not prohibited.
+    There may be reasons to use other options, but the implications and reasons for doing so must be fully understood.
+*   The word ***may*** indicates a course of action is permitted and optional.
+*   The word ***can*** indicates a course of action is possible given material, physical, or causal constraints.
+
+### Default to C-like Formatting
+
+***Where appropriate, format code consistent with
+https://google.github.io/styleguide/cppguide.html***
+
+Verilog is a C-like language, and where appropriate, we default to being consistent with
+[Google's C++ Style Guide](https://google.github.io/styleguide/cppguide.html).
+
+In particular, we inherit these specific formatting guidelines:
+
+*   Generally, [names](#naming) should be descriptive and avoid abbreviations.
+*   Non-ASCII characters are forbidden.
+*   Indentation uses spaces, no tabs.
+    Indentation is two spaces for nesting, four spaces for line continuation.
+*   Place a space between `if` and the parenthesis in [conditional expressions](https://google.github.io/styleguide/cppguide.html#Conditionals).
+*   Use horizontal whitespace around operators, and avoid trailing whitespace at the end of lines.
+*   Maintain consistent and good [punctuation, spelling, and grammar](https://google.github.io/styleguide/cppguide.html#Punctuation,_Spelling_and_Grammar) (within comments).
+*   Use standard formatting for [comments](#comments), including C-like formatting for [TODO](https://google.github.io/styleguide/cppguide.html#TODO_Comments) and [deprecation](https://google.github.io/styleguide/cppguide.html#Deprecation_Comments).
+
+### Style Guide Exceptions
+
+***Justify all exceptions with a comment.***
+
+No style guide is perfect.
+There are times when the best path to a working design, or for working around a tool issue, is to simply cut the Gordian Knot and create code that is at variance with this style guide.
+It is always okay to deviate from the style guide by necessity, as long as that necessity is clearly justified by a brief comment, as well as a lint waiver pragma where appropriate.
+
+### Which Verilog to Use
+
+***Prefer SystemVerilog-2012.***
+
+All RTL and tests should be developed in SystemVerilog, following the [SystemVerilog-2012 standard], except for [prohibited features](#problematic-language-features-and-constructs).
+
+[SystemVerilog-2012 standard]: http://ieeexplore.ieee.org/servlet/opac?punumber=6469138
+
+## Verilog/SystemVerilog Conventions
+
+### Summary
+
+This section addresses primarily aesthetic aspects of style: line length, indentation, spacing, etc.
+
+### File Extensions
+
+***Use the `.sv` extension for SystemVerilog files (or `.svh` for files that are included via the preprocessor).***
+
+File extensions have the following meanings:
+
+*   `.sv` indicates a SystemVerilog file defining a module or package.
+*   `.svh` indicates a SystemVerilog header file intended to be included in another file using a preprocessor `` `include`` directive.
+*   `.v` indicates a Verilog-2001 file defining a module or package.
+*   `.vh` indicates a Verilog-2001 header file.
+
+Only `.sv` and `.v` files are intended to be compilation units.
+`.svh` and `.vh` files may only be `` `include``-ed into other files.
+
+With exceptions of netlist files, each .sv or .v file should contain only one module, and the name should be associated.
+For instance, file `foo.sv` should contain only the module `foo`.
+
+### General File Appearance
+
+#### Characters
+
+***Use only ASCII characters with UNIX-style line endings(`"\n"`).***
+
+#### POSIX File Endings
+
+***All lines on non-empty files must end with a newline (`"\n"`).***
+
+#### Line Length
+
+***Wrap the code at 100 characters per line.***
+
+The maximum line length for style-compliant Verilog code is 100 characters per line.
+
+Exceptions:
+
+-   Any place where line wraps are impossible (for example, an include path might extend past 100 characters).
+
+[Line-wrapping](#line-wrapping) contains additional guidelines on how to wrap long lines.
+
+#### No Tabs
+
+***Do not use tabs anywhere.***
+
+Use spaces to indent or align text.
+See [Indentation](#indentation) for rules about indentation and wrapping.
+
+To convert tabs to spaces on any file, you can use the [UNIX `expand`](http://linux.die.net/man/1/expand) utility.
+
+#### No Trailing Spaces
+
+***Delete trailing whitespace at the end of lines.***
+
+### Begin / End
+
+***Use `begin` and `end` unless the whole statement fits on a single line.***
+
+If a statement wraps at a block boundary, it must use `begin` and `end.` Only if a whole semicolon-terminated statement fits on a single line can `begin` and `end` be omitted.
+
+&#x1f44d;
+```systemverilog {.good}
+// Wrapped procedural block requires begin and end.
+always_ff @(posedge clk) begin
+  q <= d;
+end
+```
+
+&#x1f44d;
+```systemverilog {.good}
+// The exception case, where begin and end may be omitted as the entire
+// structure fits on a single line.
+always_ff @(posedge clk) q <= d;
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+// Incorrect because a wrapped statement must have begin and end.
+always_ff @(posedge clk)
+  q <= d;
+```
+
+`begin` must be on the same line as the preceding keyword, and ends the line.
+`end` must start a new line.
+`end else begin` must be together on one line.
+The only exception is if `end` has a label, a following `else` should be on a new line.
+
+&#x1f44d;
+```systemverilog {.good}
+// "end else begin" are on the same line.
+if (condition) begin
+  foo = bar;
+end else begin
+  foo = bum;
+end
+```
+
+&#x1f44d;
+```systemverilog {.good}
+// begin/end are omitted because each semicolon-terminated statement fits on
+// a single line.
+if (condition) foo = bar;
+else foo = bum;
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+// Incorrect because "else" must be on the same line as "end".
+if (condition) begin
+  foo = bar;
+end
+else begin
+  foo = bum;
+end
+```
+
+&#x1f44d;
+```systemverilog {.good}
+// An exception is made for labeled blocks.
+if (condition) begin : a
+  foo = bar;
+end : a
+else begin : b
+  foo = bum;
+end : b
+```
+
+The above style also applies to individual case items within a case statement.
+`begin` and `end` may be omitted if the entire case item (the case expression and the associated statement) fits on a single line.
+Otherwise, use the `begin` keyword on the same line as the case expression.
+
+&#x1f44d;
+```systemverilog {.good}
+// Consistent use of begin and end for each case item is good.
+unique case (state)
+  StIdle: begin
+    next_state = StA;
+  end
+  StA: begin
+    next_state = StB;
+  end
+  StB: begin
+    next_state = StIdle;
+    foo = bar;
+  end
+  default: begin
+    next_state = StIdle;
+  end
+endcase
+```
+
+&#x1f44d;
+```systemverilog {.good}
+// Case items that fit on a single line may omit begin and end.
+unique case (state)
+  StIdle: next_state = StA;
+  StA: next_state = StB;
+  StB: begin
+    next_state = StIdle;
+    foo = bar;
+  end
+  default: next_state = StIdle;
+endcase
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+unique case (state)
+  StIdle:              // These lines are incorrect because we should not wrap
+    next_state = StA;  // case items at a block boundary without using begin
+  StA:                 // and end.  Case items should fit on a single line, or
+    next_state = StB;  // else the procedural block must have begin and end.
+  StB: begin
+    foo = bar;
+    next_state = StIdle;
+  end
+  default: begin
+    next_state = StIdle;
+  end
+endcase
+```
+
+### Indentation
+
+***Indentation is two spaces per level.***
+
+Use spaces for indentation.
+Do not use tabs.
+You should set your editor to emit spaces when you hit the tab key.
+
+#### Indented Sections
+
+Always add an additional level of indentation to the enclosed sections of all paired keywords.
+Examples of SystemVerilog keyword pairs: `begin / end`, `module / endmodule`, `package / endpackage`, `class / endclass`, `function / endfunction`.
+
+#### Line Wrapping
+
+When wrapping a long expression, indent the continued part of the expression by four spaces, like this:
+
+&#x1f44d;
+```systemverilog {.good}
+assign zulu = enabled && (
+    alpha < bravo &&
+    charlie < delta);
+
+assign addr = addr_gen_function_with_many_params(
+    thing, other_thing, long_parameter_name, x, y,
+    extra_param1, extra_param2);
+
+assign structure = '{
+    src: src,
+    dest: dest,
+    default: '0};
+```
+
+Or, if it improves readability, align the continued part of the expression with a grouping open parenthesis or brace, like this:
+
+```systemverilog
+assign zulu = enabled && (alpha < bravo &&
+                          charlie < delta);
+
+assign addr = addr_gen_function(thing, other_thing,
+                                long_parameter_name,
+                                x, y);
+
+assign structure = '{src: src,
+                     dest: dest,
+                     default: '0};
+```
+
+Operators in a wrapped expression can be placed at either the end or the beginning of each line, but this must be done consistently within a file.
+
+#### Preprocessor Directives
+
+***Keep branching preprocessor directives left-aligned and un-indented.***
+
+Keep branching preprocessor directives (`` `ifdef``, `` `ifndef``, `` `else``, `` `elsif``, `` `endif``) aligned to the left, even if they are nested.
+Indent the conditional branches of text as if the preprocessor directives were absent.
+Non-branching preprocessor directives must follow the same indentation rules as the regular code.
+
+&#x1f44d;
+```systemverilog {.good}
+package foo;
+`ifdef FOO              // good: branching directive left-aligned
+  `include "foo.sv";    // normal indentation for non-branching directives
+  parameter bit A = 1;  // normal indentation for the regular code
+`ifdef BAR              // good: branching directive left-aligned
+  parameter bit A = 2;
+`else
+  parameter bit A = 3;
+`endif
+`endif
+endpackage : foo
+```
+
+Un-indented branching preprocessor directives disrupt the flow of reading to emphasize that there is conditional text.
+Leaving conditional branch text un-indented will result in post-preprocessed text looking properly indented.
+
+### Spacing
+
+#### Comma-delimited Lists
+
+***For multiple items on a line, one space must separate the comma and the next character.***
+
+Additional whitespace is allowed for readability.
+
+&#x1f44d;
+```systemverilog {.good}
+bus = {addr, parity, data};
+a = myfunc(lorem, ipsum, dolor, sit, amet, consectetur, adipiscing, elit,
+           rhoncus);
+mymodule mymodule(.a(a), .b(b));
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+{parity,data} = bus;
+a = myfunc(a,b,c);
+mymodule mymodule(.a(a),.b(b));
+```
+
+#### Tabular Alignment
+
+***Adding whitespace to cause related things to align is encouraged.***
+
+Where it is reasonable to do so, align a group of two or more similar lines so that the identical parts are directly above one another.
+This alignment makes it easy to see which characters are the same and which characters are different between lines.
+
+Use spaces, not tabs.
+
+For example:
+
+```systemverilog
+logic [7:0]  my_interface_data;
+logic [15:0] my_interface_address;
+logic        my_interface_enable;
+```
+
+#### Expressions
+
+***Include whitespace on both sides of all binary operators.***
+
+Use spaces around binary operators.
+Add sufficient whitespace to aid readability.
+
+For example:
+
+&#x1f44d;
+```systemverilog {.good}
+assign a = ((addr & mask) == My_addr) ? b[1] : ~b[0];  // good
+```
+
+is better than
+
+&#x1f44e;
+```systemverilog {.bad}
+assign a=((addr&mask)==My_addr)?b[1]:~b[0];  // bad
+```
+
+**Exception:** when declaring a bit vector, it is acceptable to use the compact notation.
+For example:
+
+&#x1f44d;
+```systemverilog {.good}
+wire [WIDTH-1:0] foo;   // this is acceptable
+wire [WIDTH - 1 : 0] foo;  // fine also, but not necessary
+```
+
+When splitting alternation expressions into multiple lines, use a format that is similar to an equivalent if-then-else line.
+For example:
+
+&#x1f44d;
+```systemverilog {.good}
+assign a = ((addr & mask) == `MY_ADDRESS) ?
+           matches_value :
+           doesnt_match_value;
+```
+
+#### Array Dimensions in Declarations
+
+Add a space around packed dimensions.
+
+Do not add a space:
+
+-   between identifier and unpacked dimensions.
+-   between multiple dimensions.
+
+Applies to packed and unpacked arrays as well as dynamic arrays, associative arrays, and queues.
+
+&#x1f44d;
+```systemverilog {.good}
+logic [7:0][3:0] data[128][2];
+typedef logic [31:0] word_t;
+bit bit_array[512];
+data_t some_array[];
+data_t some_map[addr_t];
+data_t some_q[$];
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+// There must not be a space between dimensions.
+logic [7:0] [3:0] data[128] [2];
+// There must be a space around packed dimensions.
+typedef logic[31:0]word_t;
+// There must not be a space between identifier and unpacked dimension.
+bit bit_array [512];
+// Dynamic, associative, and queue "dimensions" are treated the same as unpacked
+// dimensions.  There must not be a space.
+data_t some_array [];
+data_t some_map [addr_t];
+data_t some_q [$];
+```
+
+#### Parameterized Types
+
+***Add one space before type parameters, except when the type is part of a qualified name.***
+
+A qualified name contains at least one scope `::` operator connecting its segments.
+A space in a qualified name would break the continuity of a reference to one symbol, so it must not be added.
+Parameter lists must follow the [space-after-comma](#comma-delimited-lists) rule.
+
+&#x1f44d;
+```systemverilog {.good}
+my_fifo #(.WIDTH(4), .DEPTH(2)) my_fifo_nibble ...
+
+class foo extends bar #(32, 8);  // unqualified base class
+  ...
+endclass
+
+foo_h = my_class#(.X(1), .Y(0))::type_id::create("foo_h");  // static method call
+
+my_pkg::x_class#(8, 1) bar;  // package-qualified name
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+my_fifo#(.WIDTH(4), .DEPTH(2)) my_fifo_2by4 ...
+
+class foo extends bar#(32, 8);  // unqualified base class
+  ...
+endclass
+
+foo_h = my_class #(.X(1), .Y(0))::type_id::create("foo_h");  // static method call
+
+my_pkg::x_class #(8, 1) bar;  // package-qualified name
+```
+
+#### Labels
+
+***When labeling code blocks, add one space before and after the colon.***
+
+For example:
+
+&#x1f44d;
+```systemverilog {.good}
+begin : foo
+end : foo
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+end:bar            // There must be a space before and after the colon.
+endmodule: foobar  // There must be a space before the colon.
+```
+
+#### Case items
+
+There must be no whitespace before a case item's colon; there must be at least one space after the case item's colon.
+
+The `default` case item must include a colon.
+
+For example:
+
+&#x1f44d;
+```systemverilog {.good}
+unique case (my_state)
+  StInit:   $display("Shall we begin");
+  StError:  $display("Oh boy this is Bad");
+  default: begin
+    my_state = StInit;
+    interrupt = 1;
+  end
+endcase
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+unique case (1'b1)
+  (my_state == StError)  : interrupt = 1; // Excess whitespace before colon
+  default:begin end                       // Missing space after colon
+endcase
+```
+
+#### Function And Task Calls
+
+***Function and task calls must not have any spaces between the function name or task name and the open parenthesis.***
+
+For example:
+
+&#x1f44d;
+```systemverilog {.good}
+process_packet(pkt);
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+process_packet (pkt);  // There must not be a space before "("
+```
+
+#### Macro Calls
+
+***Macro calls must not have any spaces between the macro name and the open parenthesis.***
+
+For example:
+
+&#x1f44d;
+```systemverilog {.good}
+`uvm_error(ID, "you fail")
+`ASSERT(name, a & b, clk, rst)
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+`uvm_error (ID, "you fail")  // There must not be a space before "("
+`ASSERT (name, a & b, clk, rst)
+```
+
+#### Line Continuation
+
+***It is mandatory to right-align line continuations.***
+
+Aligning line continuations ('`\ `' character) helps visually mark the end of a multi-line macro.
+The position of alignment only needs to be beyond the rightmost extent of a multi-line macro by at least one space, when a space does not split a token, but should not exceed the maximum line length.
+
+```systemverilog
+`define REALLY_LONG_MACRO(arg1, arg2, arg3) \
+    do_something(arg1);                     \
+    do_something_else(arg2);                \
+    final_action(arg3);
+```
+
+#### Space Around Keywords
+
+***Include whitespace before and after SystemVerilog keywords.***
+
+Do not include a whitespace:
+
+-   before keywords that immediately follow a group opening, such as an open parenthesis.
+-   before a keyword at the beginning of a line.
+-   after a keyword at the end of a line.
+
+For example:
+
+```systemverilog
+// Normal indentation before if.  Include a space after if.
+if (foo) begin
+end
+// Include a space after always, but not before posedge.
+always_ff @(posedge clk) begin
+end
+```
+
+### Parentheses
+
+***Use parentheses to make operations unambiguous.***
+
+In any instance where a reasonable human would need to expend thought or refer to an operator precedence chart, use parentheses instead to make the order of operations unambiguous.
+
+#### Ternary Expressions
+
+***Nested ternary expressions must be enclosed in parentheses.***
+
+For example:
+
+&#x1f44d;
+```systemverilog {.good}
+assign state_next = condition_b ?
+    (condition_a ?
+        a_and_b   :
+        b_and_not_a) :
+    state;
+```
+
+While the following nested ternary has only one meaning to the compiler, the meaning can be unclear and error-prone to humans:
+
+&#x1f44e;
+```systemverilog {.bad}
+assign state_next = condition_b ?
+    condition_a ?
+    a_and_b :
+    b_and_not_a :
+    state;
+```
+
+### Comments
+
+***C++ style comments (`// foo`) are preferred.
+C style comments (`/* bar */`) can also be used.***
+
+A comment on its own line describes the code that follows.
+A comment on a line with code describes that line of code.
+
+For example:
+
+```systemverilog
+// This comment describes the following module.
+module foo;
+  ...
+endmodule : foo
+
+localparam bit ValBaz = 1;  // This comment describes the item to the left.
+```
+
+### Declarations
+
+***Signals must be declared before they are used.
+This means that implicit net declarations must not be used.***
+
+Within modules, it is **recommended** that signals, types, enums, and localparams be declared close to their first use.
+This makes it easier for the reader to find the declaration and see the signal type.
+
+### Basic Template
+
+***A template that demonstrates many of the items is given below.***
+
+Template:
+
+```systemverilog
+// Copyright lowRISC contributors.
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+//
+// One line description of the module
+
+module my_module #(
+  parameter Width = 80,
+  parameter Height = 24
+) (
+  input              clk_i,
+  input              rst_ni,
+  input              req_valid_i,
+  input  [Width-1:0] req_data_i,
+  output             req_ready_o,
+  ...
+);
+
+  logic [Width-1:0] req_data_masked;
+
+  submodule u_submodule (
+    .clk_i,
+    .rst_ni,
+    .req_valid_i,
+    .req_data_i    (req_data_masked),
+    .req_ready_o,
+    ...
+  );
+
+  always_comb begin
+    req_data_masked = req_data_i;
+    case (fsm_state_q)
+      ST_IDLE: begin
+        req_data_masked = req_data_i & MASK_IDLE;
+        ...
+  end
+
+  ...
+
+endmodule
+```
+
+## Naming
+
+### Summary
+
+| Construct                            | Style                   |
+| ------------------------------------ | ----------------------- |
+| Declarations (module, class, package, interface) | `lower_snake_case` |
+| Instance names                       | `lower_snake_case`      |
+| Signals (nets and ports)             | `lower_snake_case`      |
+| Variables, functions, tasks          | `lower_snake_case`      |
+| Named code blocks                    | `lower_snake_case`      |
+| \`define macros                      | `ALL_CAPS`              |
+| Tunable parameters for parameterized modules, classes, and interfaces | `UpperCamelCase` |
+| Constants                            | `ALL_CAPS` or `UpperCamelCase` |
+| Enumeration types                    | `lower_snake_case_e`    |
+| Other typedef types                  | `lower_snake_case_t`    |
+| Enumerated value names               | `UpperCamelCase`        |
+
+### Constants
+
+***Declare global constants using parameters in the project package file.***
+
+In this context, **constants** are distinct from tuneable parameters for objects such as parameterized modules, classes, etc.
+
+Explicitly declare the type for constants.
+
+When declaring a constant:
+
+*   within a package use `parameter`.
+*   within a module or class use `localparam`.
+
+The preferred method of defining constants is to declare a `package` and declare all constants as a `parameter` within that package.
+If the constants are to be used in only one file, it is acceptable to keep them defined within that file rather than a separate package.
+
+Define project-wide constants in the project's main package.
+
+Other packages may also be declared with their own `parameter` constants to facilitate the creation of IP that may be re-used across many projects.
+
+The preferred naming convention for all immutable constants is to use `ALL_CAPS`, but there are times when the use of `UpperCamelCase` might be considered more natural.
+
+| Constant Type | Style Preference | Conversation |
+| ---- | ---- | ---- |
+| \`define            | `ALL_CAPS`       | Truly constant |
+| module parameter    | `UpperCamelCase` | truly modifiable by instantiation, not constant |
+| derived localparam  | `UpperCamelCase` | while not modified directly, still tracks module parameter |
+| tuneable localparam | `UpperCamelCase` | while not expected to change upon final RTL version, is used by designer to explore the design space conveniently |
+| true localparam constant | `ALL_CAPS`  | Example `localparam OP_JALR = 8'hA0;` |
+| enum member true constant | `ALL_CAPS` | Example `typedef enum ... { OP_JALR = 8'hA0;` |
+| enum set member | `ALL_CAPS` or `UpperCamelCase`     | Example `typedef enum ... { ST_IDLE, ST_FRAME_START, ST_DYN_INSTR_READ ...`, `typedef enum ... { StIdle, StFrameStart, StDynInstrRead...`. A collection of arbitrary values, could be either convention. |
+
+The units for a constant should be described in the symbol name, unless the constant is unitless or the units are "bits." For example, `FooLengthBytes`.
+
+Example:
+
+&#x1f44d;
+```systemverilog {.good}
+// package-scope
+package my_pkg;
+
+  parameter int unsigned NUM_CPU_CORES = 64;
+  // reference elsewhere as my_pkg::NUM_CPU_CORES
+
+endpackage
+```
+
+#### Parameterized Objects (modules, etc.)
+
+***Use `parameter` to parameterize, and `localparam` to declare module-scoped constants.***
+
+You can create parameterized modules, classes, and interfaces to facilitate design re-use.
+
+Use the keyword `parameter` within the `module` declaration of a parameterized module to indicate what parameters the user is expected to tune at instantiation.
+The preferred naming convention for all parameters is `UpperCamelCase`.
+Some projects may choose to use `ALL_CAPS` to differentiate tuneable parameters from constants.
+
+The preference for derived parameters within the `module` declaration is to use `localparam`, **however** currently several tools do not accept this legal (since SystemVerilog 2009) construct.
+**For now** all derived parameters should use `parameter` with a comment `// derived parameter` and create a static assertion with the name prefix `paramCheck` somewhere within the module.
+An example is shown below.
+
+```systemverilog
+// ideal, but currently untenable declaration
+module modname #(
+  parameter  int Depth  = 2048,         // 8kB default
+  localparam int Aw     = $clog2(Depth) // derived parameter
+) (
+  ...
+);
+
+// current declaration method with assertion
+module modname #(
+  parameter  int Depth  = 2048,         // 8kB default
+  parameter  int Aw     = $clog2(Depth) // derived parameter
+) (
+  ...
+);
+
+  `ASSERT_INIT(paramCheckAw, Aw == $clog2(Depth))
+
+  // alternate, expanded assertion macro
+
+  initial begin
+    paramCheckAw: assert (Aw == $clog2(Depth)) \
+      else $error("Assert failed: [%m] paramCheckAw, (Aw == $clog2(Depth))")
+  end
+
+  ...
+
+endmodule
+```
+
+`` `define`` and `defparam` should never be used to parameterize a module.
+
+Use [package parameters](#constants) to transmit global constants through a hierarchy instead of parameters.
+To declare a constant whose scope is internal to the module, [use `localparam` instead](#constants).
+
+Examples of when to use parameterized modules:
+
+-   When multiple instances of a module will be instantiated, and need to be differentiated by a parameter.
+-   As a means of specializing a module for a specific bus width.
+-   As a means of documenting which global parameters are permitted to change within the module.
+
+Explicitly declare the type for parameters.
+
+Use the type of the parameter to help constrain the legal range.
+E.g. `int unsigned` for general non-negative integer valuess, `bit` for boolean values.
+Any further restrictions on tuneable parameter values must be documented with assertions.
+
+Tuneable parameter values should always have reasonable defaults.
+
+For additional reading, see [New Verilog-2001 Techniques for Creating Parameterized Models](https://ocw.mit.edu/courses/electrical-engineering-and-computer-science/6-884-complex-digital-systems-spring-2005/related-resources/parameter_models.pdf).
+
+### Macro Definitions
+
+***Macros should be ALL\_CAPITALS with underscores.***
+
+Macros should be all capitals with underscores.
+
+A **global define** is a tick-defined macro in a header file that is shared by all source files in a project.
+To reduce namespace collisions, global defines should be prefixed by the name of a group of related macros, followed by a pair of underscores:
+
+```systemverilog
+// The following two constants are in the FOO namespace of the
+// SN chip.
+`define SN_FOO__ALPHA_BETA  5
+`define SN_FOO__GAMMA_OMEGA 6
+```
+
+A **local define** is a tick-defined macro that should only be used within the scope of a single local file.
+It must be explicitly undefined after use, to avoid polluting the global macro namespace.
+To indicate that a macro is only meant to be used in the local scope, the macro name should be prefixed with a single underscore.
+
+To ensure that local defines stay local, be careful not to `` `include`` other files between the macro definition and `` `undef``.
+
+Example:
+
+```systemverilog
+`define _MAKE_THING(_x) \
+    thing i_thing_##_x (.clk(clk), .i(i##_x) .o(o##_x));
+`_MAKE_THING(a)
+`_MAKE_THING(b)
+`_MAKE_THING(c)
+`undef _MAKE_THING
+```
+
+### Suffixes
+
+Suffixes are used in several places to give guidance to intent.
+The following table lists the suffixes that have special meaning.
+
+| Suffix(es)        | Arena | Intent |
+| ---               | :---: | ---    |
+| `_e`              | typedef     | Enumerated types |
+| `_t`              | typedef     | Other typedefs, including signal clusters |
+| `_n`              | signal name | Active low signal |
+| `_n`, `_p`        | signal name | Differential pair, active low and active high |
+| `_d`, `_q`        | signal name | Input and output of register |
+| `_q2`,`_q3`, etc  | signal name | Pipelined versions of signals; `_q` is one cycle of latency, `_q2` is two cycles, `_q3` is three, etc |
+| `_i`, `_o`, `_io` | signal name | Module inputs, outputs, and bidirectionals |
+
+When multiple suffixes are necessary use the following guidelines:
+
+* Guidance suffixes are added together and not separated by additional `_` characters (`_ni` not `_n_i`)
+* If the signal is active low `_n` will be the first suffix
+* If the signal is a module input/output the letters will come last.
+* It is not mandatory to propagate `_d` and `_q` to module boundaries.
+
+Example:
+
+&#x1f44d;
+```systemverilog {.good}
+module simple (
+  input        clk_i,
+  input        rst_ni,              // Active low reset
+
+  // writer interface
+  input [15:0] data_i,
+  input        valid_i,
+  output       ready_o,
+
+  // bi-directional bus
+  inout [7:0]  driver_io,         // Bi directional signal
+
+  // Differential pair output
+  output       lvds_po,           // Positive part of the differential signal
+  output       lvds_no            // Negative part of the differential signal
+);
+
+  logic valid_d, valid_q, valid_q2, valid_q3;
+  assign valid_d = valid_i; // next state assignment
+
+  always_ff @(posedge clk or negedge rst_ni) begin
+    if (!rst_ni) begin
+      valid_q  <= '0;
+      valid_q2 <= '0;
+      valid_q3 <= '0;
+    end else begin
+      valid_q  <= valid_d;
+      valid_q2 <= valid_q;
+      valid_q3 <= valid_q2;
+    end
+  end
+
+  assign ready_o = valid_q3; // three clock cycles delay
+
+endmodule // simple
+```
+
+### Enumerations
+
+***Name enumeration types `snake_case_e`.
+Name enumeration values `ALL_CAPS` or `UpperCamelCase`.***
+
+Always name `enum` types using `typedef`.
+The storage type of any enumerated type must be specified.
+For synthesizable enums, the storage type must be a 4-state data type (`logic` rather than `bit`).
+
+Anonymous `enum` types are not allowed as they make it harder to use the type in other places throughout the project and across projects.
+
+Enumeration type names should contain only lower-case alphanumeric characters and underscores.
+You must suffix enumeration type names with `_e`.
+
+Enumeration value names (constants) should typically be `ALL_CAPS`, for example, `READY_TO_SEND`, to reflect their constant nature, especially for truly unchangeable values like defined opcode assignments.
+There are times when `UpperCamelCase` might be preferred, when the enumerated type's assigned value is effectively a don't care to the designer, like state machine values.
+See the conversation on [constants](#constants) for a discussion on how to think of this recommendation.
+
+&#x1f44d;
+```systemverilog {.good}
+typedef enum logic [7:0] {  // 8-bit opcodes
+  OP_JALR = 8'hA0,
+  OP_ADDI = 8'h47,
+  OP_LDW  = 8'h0B
+} opcode_e;
+opcode_e op_val;
+```
+
+&#x1f44d;
+```systemverilog {.good}
+typedef enum logic [1:0] {  // A 2-bit enumerated type
+  ACC_WRITE,
+  ACC_READ,
+  ACC_PAUSE
+} access_e; // new named type is created
+access_e req_access, resp_access;
+```
+
+&#x1f44d;
+```systemverilog {.good}
+typedef enum logic [1:0] {  // A 2-bit enumerated type
+  AccWrite,
+  AccRead,
+  AccPause
+} access_e; // new named type is created
+access_e req_access, resp_access;
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+enum {  // Typedef is missing, storage type is missing.
+  Write,
+  Read
+} req_access, resp_access; // anonymous enum type
+```
+
+### Signal Naming
+
+***Use `lower_snake_case` when naming signals.***
+
+In this context, a **signal** is meant to mean a net, variable, or port within a SystemVerilog design.
+
+Signal names may contain lowercase alphanumeric characters and underscores.
+
+Signal names should never end with an underscore followed by a number (for example, `foo_1`, `foo_2`, etc.).
+Many synthesis tools map buses into nets using that naming convention, so similarly named nets can lead to confusion when examining a synthesized netlist.
+
+Reserved [Verilog](http://www.xilinx.com/support/documentation/sw_manuals/xilinx13_1/ite_r_verilog_reserved_words.htm) or [SystemVerilog-2012 standard] keywords may never be used as names.
+
+When interoperating with different languages, be mindful not to use keywords from other languages.
+
+#### Use descriptive names
+
+***Names should describe what a signal's purpose is.***
+
+Use whole words.
+Avoid abbreviations and contractions except in the most common places.
+Favor descriptive signal names over brevity.
+
+#### Prefixes
+
+Use common prefixes to identify groups of signals that operate together.
+For example, all elements of an AXI-S interface would share a prefix: `foo_valid`, `foo_ready`, and `foo_data`.
+
+Additionally, prefixes should be used to clearly label which signal is in which clock group for any module with multiple clocks.
+See the section on [clock domains](#clocks) for more details.
+
+Examples:
+
+-   Signals associated with controlling a blockram might share a `bram_` prefix.
+-   Signals that are synchronous with `clk_dram` rather than `clk` should share a `dram_` prefix.
+
+Code example:
+
+&#x1f44d;
+```systemverilog {.good}
+module fifo_controller (
+  input         clk_i,
+  input         rst_ni,
+
+  // writer interface
+  input [15:0]  wr_data_i,
+  input         wr_valid_i,
+  output        wr_ready_o,
+
+  // reader interface
+  output [15:0] rd_data_o,
+  output        rd_valid_o,
+  output [7:0]  rd_fullness_o,
+  input         rd_ack_i,
+
+  // memory interface:
+  output [7:0]  mem_addr_o,
+  output [15:0] mem_wdata_o,
+  output        mem_we_o,
+  input  [15:0] mem_rdata_i
+);
+```
+
+This naming convention makes it easier to map port names onto similar signal names using simple and consistent rules.
+See the section on [Hierarchical Consistency](#hierarchical-consistency) for more information.
+
+#### Hierarchical consistency
+
+***The same signal should have the same name at any level of the hierarchy.***
+
+A signal that connects to a port of an instance should have the same name as that port.
+By proceeding in this manner, signals that are directly connected should maintain the same name at any level of hierarchy.
+
+Exceptions to this convention are expected, such as:
+
+*   When connecting a port to an element of an array of signals.
+
+*   When mapping a generic port name to something more specific to the design.
+For example, two generic blocks, one with a `master_bus` port and one with a `slave_bus` port might be connected by a `foo_bar_bus` signal.
+
+In each exceptional case, care should be taken to make the mapping of port names to signal names as unambiguous and consistent as possible.
+
+### Clocks
+
+***All clock signals must begin with `clk`.***
+
+The main system clock for a design must be named `clk`.
+It is acceptable to use `clk` to refer to the default clock that the majority of the logic in a module is synchronous with.
+
+If a module contains multiple clocks, the clocks that are not the system clock should be named with a unique identifier, preceded by the `clk_` prefix.
+For example: `clk_dram`, `clk_axi`, etc.
+Note that this prefix will be used to identify other signals in that clock domain.
+
+### Resets
+
+***Resets are active-low and asynchronous.
+The default name is `rst_n`.***
+
+Chip wide all resets are defined as active low and asynchronous.
+Thus they are defined as tied to the asynchronous reset input of the associated standard cell registers.
+
+The default name is `rst_n`.
+If they must be distinguished by their clock, the clock name should be included in the reset name like `rst_domain_n`.
+
+SystemVerilog allows either of the following syntax styles, but the style guide prefers the former.
+
+```systemverilog
+// preferred
+always_ff @(posedge clk or negedge rst_n) begin
+  if (!rst_n) begin
+    q <= 1'b0;
+  end else begin
+    q <= d;
+  end
+end
+
+// legal but not preferred
+always_ff @(posedge clk, negedge rst_n) begin
+  if (!rst_n) begin
+    q <= 1'b0;
+  end else begin
+    q <= d;
+  end
+end
+```
+
+## Language Features
+
+### Preferred SystemVerilog Constructs
+
+Use these SystemVerilog constructs instead of their Verilog-2001 equivalents:
+
+-   `always_comb` is required over `always @*`.
+-   `logic` is preferred over `reg` and `wire`.
+-   Top-level `parameter` declarations are preferred over `` `define`` globals.
+
+
+### Package Dependencies
+
+***Packages must not have cyclic dependencies.***
+
+Package files may depend on constants and types in other package files, but there must not be any cyclic dependencies.
+That is: if package A depends on a constant from package B, package B must not depend on anything from package A.
+While cyclic dependencies are permitted by the SystemVerilog language specification, their use can break some tools.
+
+For example:
+
+```systemverilog
+package foo;
+
+  // Package "bar" must not depend on anything in "foo":
+  parameter int unsigned PageSizeBytes = 16 * bar::Kibi;
+
+endpackage
+```
+
+### Module Declaration
+
+***Use the Verilog-2001 full port declaration style, and use the format below.***
+
+Use the Verilog-2001 combined port and I/O declaration style.
+Do not use the Verilog-95 list style.
+The port declaration in the module statement should fully declare the port name, type, and direction.
+
+The opening parenthesis should be on the same line as the module declaration, and the first port should be declared on the following line.
+
+The closing parenthesis should be on its own line, in column zero.
+
+Indentation for module declaration follows the standard indentation rule of two space indentation.
+
+The clock port(s) must be declared first in the port list, followed by any and all reset inputs.
+
+Example without parameters:
+
+&#x1f44d;
+```systemverilog {.good}
+module foo (
+  input              clk_i,
+  input              rst_ni,
+  input [7:0]        d_i,
+  output logic [7:0] q_o
+);
+```
+
+Example with parameters:
+
+&#x1f44d;
+```systemverilog {.good}
+module foo #(
+  parameter int unsigned Width = 8,
+) (
+  input                    clk_i,
+  input                    rst_ni,
+  input [Width-1:0]        d_i,
+  output logic [Width-1:0] q_o
+);
+```
+
+Do not use Verilog-95 style:
+
+&#x1f44e;
+```systemverilog {.bad}
+// WRONG:
+module foo(a, b, c d);
+input wire [2:0] a;
+output logic b;
+...
+```
+
+### Parameterized Module Instantiation
+
+***Use named parameters for all instantiations.***
+
+When parameterizing an instance, specify the parameter using the named parameter style.
+An exception is if there is only one parameter that is obvious such as register width, then the instantiation can be implicit.
+
+Indentation for module instantiation follows the standard indentation rule of two space indentation.
+
+```systemverilog
+my_module #(
+  .Height(5),
+  .Width(10)
+) my_module (
+  ...etc...
+
+my_reg #(16) my_reg0 (.clk_i, .rst_ni, .d_i(data_in), .q_o(data_out));
+
+```
+Do not specify parameters positionally, unless there is only one parameter and the intent of that parameter is obvious, such as the width for a register instance.
+
+Do not use `defparam`.
+
+***Use named ports to fully specify all instantiations.***
+
+When connecting signals to ports for an instantiation, use the named port style, like this:
+
+```systemverilog
+my_module i_my_instance (
+  .clk_i (clk_i),
+  .rst_ni(rst_ni),
+  .d_i   (from_here),
+  .q_o   (to_there)
+);
+```
+
+If the port and the connecting signal have the same name, you can use the `.port` syntax (without parentheses) to indicate connectivity.
+For example:
+
+```systemverilog
+my_module i_my_instance (
+  .clk_i,
+  .rst_ni,
+  .d_i   (from_here),
+  .q_o   (to_there)
+);
+```
+
+All declared ports must be present in the instantiation blocks.
+Unconnected outputs must be explicitly written as no-connects (for example: `.output_port()`), and unused inputs must be explicitly tied to ground (for example: `.unused_input_port(8'd0)`)
+
+`.*` is not permitted.
+
+Do not use positional arguments to connect signals to ports.
+
+Instantiate ports in the same order as they are defined in the module.
+
+***Do not instantiate recursively.***
+
+Modules may not instantiate themselves recursively.
+
+### Constants
+
+***It is recommended to use symbolicly named constants instead of raw numbers.***
+
+Try to give commonly used constants symbolic names rather than repeatedly typing raw numbers.
+
+Local constants should always be declared using `localparam`.
+
+Global constants should always be declared in a separate `.vh` or `.svh` include file.
+
+For SystemVerilog code, global constants should always be declared as package parameters.
+For Verilog-2001 compatible code, top-level parameters are not supported and `` `define`` macros must be used instead.
+
+Include the units for a constant as a suffix in the constant's symbolic name.
+The exceptions to this rule are for constants that are inherently unitless, or if the constant is describing the default unit type, "bits."
+
+Example:
+
+```systemverilog
+localparam int unsigned INTERFACE_WIDTH = 64;  // Bits
+localparam int unsigned INTERFACE_WIDTH_BYTES = (INTERFACE_WIDTH + 7) / 8;
+localparam int unsigned INTERFACE_WIDTH_64B_WORDS = (INTERFACE_WIDTH + 63) / 64;
+localparam int unsigned IMAGE_WIDTH_PIXELS = 640;
+localparam int unsigned MEGA = 1000 * 1000;  // Unitless
+localparam int unsigned MEBI = 1024 * 1024;  // Unitless
+localparam int unsigned SYSTEM_CLOCK_HZ = 200 * MEGA;
+```
+
+### Signal Widths
+
+***Be careful about signal widths.***
+
+#### Always be explicit about the widths of number literals.
+
+Examples:
+
+&#x1f44d;
+```systemverilog {.good}
+localparam logic [3:0] bar = 4'd4;
+
+assign foo = 8'd2;
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+localparam logic [3:0] bar = 4;
+
+assign foo = 2;
+```
+
+Exceptions:
+
+*   When using parameterized widths, it is acceptable to simply use `1'b1` (e.g.  when incrementing) rather than contrivances such as `{{(Bus_width-1){1'b0}},1'b1}`
+*   It is acceptable to use the '0 construct to create an automatic correctly sized zero.
+*   Literals assigned to integer variants (e.g. byte, shortint, int, integer, and longint) do not need an explicit width.
+
+#### Port connections on module instances must always match widths correctly.
+
+It is recommended to use explicit widths, rather than relying on Verilog's implicit zero-extension and truncation operations, whenever practical.
+
+Examples:
+
+&#x1f44d;
+```systemverilog {.good}
+my_module i_module (
+  .thirty_two_bit_input({16'd0, sixteen_bit_word})
+);
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+my_module i_module (
+  // Incorrectly implicitly extends from 16 bit to 32 bit
+  .thirty_two_bit_input(sixteen_bit_word)
+);
+```
+
+#### Do not use multi-bit signals in a boolean context.
+
+Rather than letting boolean operations and if expressions reduce a multi-bit signal to a single bit, explictly compare the multi-bit signal to 0.
+The implicit conversion can hide subtle logic bugs.
+
+Examples;
+
+&#x1f44d;
+```systemverilog {.good}
+logic [3:0] a, b;
+logic out;
+
+assign out = (a != '0) && (b == '0);
+
+always_comb begin
+  if (a != '0)
+    ...
+  else
+    ...
+end
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+logic [3:0] a, b;
+logic out;
+
+// Incorrect because it implicitly converts 4-bit signals to 1-bit before AND.
+// Also, !b is different from ~b and can be hard to catch.
+assign out = a && !b;
+
+// Incorrect use of a multi-bit signal in an if expression
+always_comb begin
+  if (a)
+    ...
+  else
+    ...
+end
+```
+
+#### Bit Slicing
+
+Only use the bit slicing operator when the intent is to refer to a portion of a bit vector.
+
+Examples:
+
+&#x1f44d;
+```systemverilog {.good}
+logic [7:0] a, b;
+logic [6:0] c;
+
+assign a = 8'd7;       // good
+
+assign a[7:1] = 7'd5;  // good - it's partial assignment.
+assign a = b;          // good - the parser would warn on width mismatch.
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+logic [7:0] a, b;
+
+assign a[7:0] = 8'd7;  // BAD - redundant and can mask linter warnings.
+assign a = b[7:0];     // BAD - redundant and masks linter warnings.
+```
+
+#### Handling Width Overflow
+
+Beware of shift operations, which can produce a result wider than the operand.
+Bit-selection and concatenation may be clearer than shifting by a constant amount.
+
+Addition and negation operations produce a result one bit wider than the operands, due to carry.
+An allowable exception to the rule about matching widths is to silently drop the carry on assignment.
+
+Example:
+
+```systemverilog
+assign abc = abc + 4'h1;
+```
+
+### Blocking and Non-blocking Assignments
+
+***Sequential logic must use non-blocking assignments.
+Combinational blocks must use blocking assignments.***
+
+Never mix assignment types within a block declaration.
+
+A sequential block (a block that latches state on a clock edge) must exclusively use non-block assignments, as defined in the Sequential Logic section below.
+
+Purely combinational blocks must exclusively use blocking assigments.
+
+This is one of Cliff Cumming's [Golden Rules of Verilog](http://www.ece.cmu.edu/~ece447/s13/lib/exe/fetch.php?media=synth-verilog-cummins.pdf).
+
+### Delay Modeling
+
+***Do not use `#delay` in synthesizable design modules.***
+
+Synthesizable design modules must be designed around a zero-delay simulation methodology.
+All forms of `#delay`, including `#0`, are not permitted.
+
+### Sequential Logic (Latches)
+
+***The use of latches is discouraged - use flip-flops when possible.***
+
+Unless absolutely necessary, use flops/registers instead of latches.
+
+If you must use a latch, use `always_latch` over `always`, and use non-blocking assignments (`<=`).
+Never use blocking assignments (`=`).
+
+### Sequential Logic (Registers)
+
+***Use the standard format for declaring sequential blocks.***
+
+In a sequential always block, only use non-blocking assignments (`<=`).
+Never use blocking assignments (`=`).
+
+Designs that mix blocking and non-blocking assignments for registers simulate incorrectly because some simulators process some of the blocking assignments in an always block as occurring in a separate simulation event as the non-blocking assignment.
+This process makes some signals jump registers, potentially leading to total protonic reversal.
+That's bad.
+
+Sequential statements for state assignments should only contain reset values and a next-state to state assignment, use a separate combinational-only block to generate that next-state value.
+
+A correctly implemented 8-bit register with an initial value of "0xAB" would be implemented:
+
+&#x1f44d;
+```systemverilog {.good}
+logic foo_en;
+logic [7:0] foo_q, foo_d;
+
+always_ff @(posedge clk or negedge rst_ni) begin
+  if (!rst_ni) begin
+    foo_q <= 8'hab;
+  end else if (foo_en) begin
+    foo_q <= foo_d;
+  end
+end
+```
+
+Do not allow multiple non-blocking assignments to the same bit.
+
+Example:
+
+&#x1f44e;
+```systemverilog {.bad}
+if (cond1) begin
+  abc <= 4'h1;
+end
+
+if (cond2) begin
+  abc <= 4'h2;
+end
+```
+
+If both cond1 and cond2 are true, the Verilog standard says that the second assignment will take effect, but this is a style violation.
+
+Even if `cond1` and `cond2` are mutually exclusive, make the second `if` into an `else if`.
+
+Exception: It is fine to set default values first, then specific values.
+However, it is preferred to do this work in a separate combinational block with explicit blocking assignments.
+
+Example:
+
+```systemverilog
+always_ff @(posedge clk or negedge rst_ni) begin
+  if (!rst_ni) begin
+    state_q <= StIdle;
+  end else begin
+    state_q <= state_d;
+  end
+end
+
+always_comb begin
+  state_d = state_q;    // default assignment next state is present state
+  unique case (state_q)
+    StIdle: state_d = StInit;       // Idle State move to Init
+    StInit: begin                   // Initialize calculation
+      if (conditional) begin
+        state_d = StIdle;
+      end else begin
+        state_d = StCalc;
+      end
+    end
+    StCalc: begin                   // Perform calculation
+      if (conditional) begin
+        state_d = StResult;
+      end
+    end
+    StResult: state_d = Idle;
+    default:  state_d = 'X;
+  endcase
+end
+```
+
+Keep work in sequential blocks simple.
+If a sequential block becomes sufficiently complicated, consider splitting the combinational logic into a separate combinational (`always_comb`) block.
+Ideally, sequential blocks should contain only a register instantiation, with perhaps a load enable or an increment.
+
+### Don't Cares (`X`'s)
+
+***Explicitly specify don't cares when safe to do so.
+Don't silently squash `X` in logic.***
+
+Don't Care values can significantly help the quality of logic optimization and should be used wherever it is safe to do so.
+Explicitly declaring unused decodes as `X` has the secondary effect of propagating `X`'s through the design if the **input unused** design assumption is violated, making those bugs easier to diagnose and fix.
+
+Example:
+
+```systemverilog
+always_comb begin
+  unique case (state)
+    ALPHA:   decode = 16'd1;
+    BETA:    decode = 16'd127;
+    GAMMA:   decode = 16'd43;
+    // all other states are unused:
+    default: decode = 'X;  // or {16{1'bx}} in Verilog-2001
+  endcase
+end
+```
+
+Write logic that will either propagate `X` or assert when your inputs are `X`.
+Avoid silently squashing `X` by writing logic that resolves an `X` input to a non-`X` output.
+Instead, write logic that either explicitly propagates the `X` or uses an assert to raise an exception when an input is `X`.
+
+Be aware: Any logical operation involving `X` always propagates the `X`.
+
+Example:
+
+```systemverilog
+$display("%b", 1'bx == 1'b1);  // produces 1'bx
+$display("%b", 1'bx != 1'b1);  // produces 1'bx
+$display("%b", !(1'bx));       // produces 1'bx.
+// etc.
+```
+
+However, when evaluated in a boolean context, `X` always evaluates to false.
+
+Example:
+
+```systemverilog
+always_comb begin
+  if (value) result = 1'b0;    // value is 1'b1
+  else result = 1'b1;          // value is 1'b0 or 1'bx
+end
+```
+
+This can mask subtle problems in code and produce a mismatch between simulation and synthesis.
+
+Instead, consider these options:
+
+```systemverilog
+always_comb begin
+  result = value ? 1'b1 : 1'b0;  // 1'bx produces 1'bx
+end
+```
+
+or
+
+```systemverilog
+always_comb begin
+  if (value) result = 1'b0;        // value is 1'b1
+  else if (!value) result = 1'b1;  // value is 1'b0
+  else result = 1'bx;              // value is 1'bx
+end
+```
+
+or
+
+```systemverilog
+always_comb begin
+  assert (!$isunknown(value));     // throws exception if 1'bx
+  if (value) result = 1'b0;        // value is 1'b1
+  else result = 1'b1;              // value is 1'b0 or 1'bx
+end
+```
+
+Further discussion:
+
+-   ["I'm Still In Love With My X!"](http://www.sutherland-hdl.com/papers/2013-DVCon_In-love-with-my-X_paper.pdf) by Stuart Sutherland
+-   ["Being Assertive With Your X"](http://www.lcdm-eng.com/papers/snug04_assertiveX.pdf) by Don Mills
+
+### Combinational Logic
+
+***Avoid sensitivity lists, and use a consistent assignment type.***
+
+Use `always_comb` for SystemVerilog combinational blocks.
+Use `always @*` if only Verilog-2001 is supported.
+Never explicitly declare sensitivity lists for combinational logic.
+
+Prefer assign statements wherever practical.
+
+Example:
+
+```systemverilog
+assign final_value = xyz ? value_a : value_b;
+```
+
+Where a case statement is needed, enclose it in its own `always_comb` block.
+
+Synthesizable combinational logic blocks should only use blocking assignments.
+
+Do not use three-state logic (`Z` state) to accomplish on-chip logic such as muxing.
+
+Do not infer a latch inside a function, as this may cause a simulation / synthesis mismatch.
+
+### Case Statements
+
+***Avoid case-modifying pragmas.
+`unique case` is the best practice.
+Always define a default case.***
+
+Never use either the `full_case` or `parallel_case` pragmas.
+These pragmas can easily cause synthesis-simulation mismatches.
+
+Here is an example of a style-compliant case statement:
+
+```systemverilog
+always_comb begin
+  unique casez (select)
+    3'b000: operand = accum0 >> 0;
+    3'b001: operand = accum0 >> 1;
+    3'b010: operand = accum1 >> 0;
+    3'b011: operand = accum1 >> 1;
+    3'b1??: operand = regfile[select[1:0]];
+    default: operand = 'X;  // propagate X
+  endcase
+end
+```
+
+The `unique` prefix is recommended before all case statements, as it creates simulation assertions that can catch certain mistakes.
+In some cases, `priority` may be used instead of `unique`, though `if-else-if` structures are a more readable representation for priority encoders.
+
+Be sure to use `unique case` correctly.
+In particular, any variables assigned in one case item must be assigned in all case items, including the default.
+Failing to do this can lead to a simulation-synthesis mismatch as described in [Don Mills' paper][yalagp].
+
+The `default` case is required to avoid accidental inference of latches, even if all cases are covered.
+In simulation, a case expression that evaluates to `X` will not match any case and will behave as a latch, leading to different behavior than synthesis.
+Instead, in most scenarios, the best choice is to propagate the `X` by assigning all output variables to `X` by default.
+
+##### Wildcards in case items
+
+Use `case` instead of `casez` whenever wildcard operator behavior is not required.
+When wildcard behavior is needed, use `casez`.
+
+When expressing a wildcard in a case item, use the '?' character since it more clearly expresses the intent.
+
+`casex` should not be used.
+`casex` implements a symmetric wildcard operator such that an `X` in the case expression may match one or more case items.
+`casez` only treats high-impedance states (`Z` or `?`) as a wildcard, and performs exact matches for undriven `X` inputs.
+While this does not completely fix the problems with symmetric wildcard matching, it is harder to accidentally produce a `Z` input than an `X` input, so this form is preferred.
+
+The SystemVerilog-2012 `case-inside` construct should not be use used yet.
+It implements asymmetric wildcard matching, so that only `X`s in the case-items will behave as wildcards.
+Unfortunately, tool support for `case-inside` is not universal yet.
+
+References:
+
+*   Don Mills, [Yet Another Latch and Gotchas Paper][yalagp]
+*   Clifford Cummings, [full\_case parallel\_case, the Evil Twins of Verilog Synthesis][twinevils]
+*   Clifford Cummings, [SystemVerilog's priority & unique][priuniq]
+*   Sutherland, Mills, and Spear, [Gotcha Again: More Subtleties in the Verilog and SystemVerilog Standards That Every Engineer Should Know][gotagain]
+
+[yalagp]: http://www.lcdm-eng.com/papers/snug12_Paper_final.pdf
+[twinevils]: http://www.sunburst-design.com/papers/CummingsSNUG1999Boston_FullParallelCase_rev1_1.pdf
+[priuniq]: http://www.sunburst-design.com/papers/CummingsSNUG2005Israel_SystemVerilog_UniquePriority.pdf
+[gotagain]: http://www.lcdm-eng.com/papers/snug07_Verilog%20Gotchas%20Part2.pdf
+
+### Generate Constructs
+
+***Always name your generated blocks.***
+
+When using a generate construct, always explicitly name each block of generated code.
+Name each possible outcome of the generating if statement, and name the iterated block of a generating for statement.
+
+This ensures that generated hierarchical signal names are consistent across different tools.
+
+Generate and all named code blocks should use `lower_snake_case`.
+A space should be placed between `begin` and the code block name.
+
+Example of a conditional generate construct:
+
+&#x1f44d;
+```systemverilog {.good}
+if (TypeIsPosedge) begin : posedge_type
+  always_ff @(posedge clk) foo <= bar;
+end else begin : negedge_type
+  always_ff @(negedge clk) foo <= bar;
+end
+```
+
+Example of a loop generate construct:
+
+&#x1f44d;
+```systemverilog {.good}
+for (genvar ii = 0; ii < NumberOfBuses; ii++) begin : my_buses
+  my_bus #(.index(ii)) i_my_bus (.foo(foo), .bar(bar[ii]));
+end
+```
+
+Do not wrap a generate construct with an additional `begin` block.
+
+Do not use generate regions {`generate`, `endgenerate`}.
+
+### Signed Arithmetic
+
+***Use the available signed arithmetic constructs wherever signed arithmetic is used.***
+
+When it's necessary to convert from unsigned to signed, use the `signed'` cast operator (`$signed` in Verilog-2001).
+
+If any operand in a calculation is unsigned, Verilog implicitly casts all operands to unsigned and generates a warning.
+There should not be any signed-to-unsigned warnings from either the simulation or synthesis tools if all unsigned variables are properly casted.
+
+Example of implicit signed-to-unsigned casting:
+
+```systemverilog
+logic signed [7:0]  a;
+logic               incr;
+logic signed [15:0] sum1, sum2;
+initial begin
+  a = 8'sh80;
+  incr = 1'b1;
+  sum1 = a + incr;                   // sum1 = 16'h0081
+  sum2 = a + signed'({1'b0, incr});  // sum2 = 16'hFF81
+end
+```
+
+In the above example, the fact that `incr` is unsigned causes `a` to be evaluated as unsigned as well.
+The `sum1` evaluation is surprising and is flagged by a warning that should not be ignored.
+
+### Number Formatting
+
+***Prefix printed binary numbers with `0b`.
+Prefix printed hexadecimal numbers with `0x`.
+Do not use prefixes for decimal numbers.***
+
+When formatting text representations of numbers for log files, make it clear what data you are including.
+
+Make the base of a printed number clear.
+Only print decimal numbers without modifiers.
+Use a `0x` prefix for hexadecimal and `0b` prefix for binary.
+
+Decode individual fields of large structures individually, instead of expecting the user to manually decode raw values.
+
+&#x1f44d;
+```systemverilog {.good}
+$display("0x%0x", some_hex_value);
+$display("0b%0b", some_binary_value);
+$display("%0d",   some_decimal_value);
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+$display("%0x",   some_hex_value);
+$display("%0b",   some_binary_value);
+$display("0d%0d", some_decimal_value);
+```
+
+When assigning constant values, it is preferred to use underscore notation for hex or binary bit strengths of length beyond 8 for better readability.
+Zero prepending is not required unless it improves readability.
+Declare constants in the format (binary, hex, decimal) they are typically displayed in.
+
+
+&#x1f44d;
+```systemverilog {.good}
+logic [15:0] val0, val1, val2;
+logic [39:0] addr0, addr1;
+
+always_comb begin
+  val0 = 16'h0;
+  if (condition1) begin
+    val1  = 16'b0010_0011_0000_1101;
+    val2  = 16'b0010_1100_0000_0000;
+    addr1 = 40'h00_1fc0_0000;
+    addr2 = 40'h00_efc0_0000;
+  end else begin
+    val0  = 16'hffff;
+    val1  = 16'b1010_0011_0110_1001;
+    val2  = 16'b1110_1100_1111_0110;
+    addr1 = 40'h40_8000_0000;
+    addr2 = 40'h41_c000_0000;
+  end
+end
+```
+
+### Problematic Language Features and Constructs
+
+These language features are considered problematic and their use is discouraged unless otherwise noted:
+
+-   Interfaces.
+-   Wildcard import (of packages), eg. `import my_pkg::*;`.
+-   The `alias` statement.
+-   `case inside` is broken inside some FPGA compile tools.
+
+#### Floating begin-end blocks
+
+The use of generate blocks other than `for` loop, `if`, or `case` generate constructs is not LRM compliant.
+While such usage might be accepted by some tools, this guide prohibits such "bare" generate blocks.
+Note that the similar "sequential block" construct is LRM compliant and allowed.
+
+&#x1f44e;
+```systemverilog {.bad}
+module foo (
+  input bar,
+  output foo
+);
+  begin // illegal generate block
+    assign foo = bar;
+  end
+endmodule
+```
+
+## Design Conventions
+
+### Summary
+
+The key ideas in this section include:
+
+*   Declare all signals and use `logic`: `logic foo;`
+*   Packed arrays are little-endian: `logic [7:0] byte;`
+*   Unpacked arrays are big-endian: `byte_t arr[0:N-1];`
+*   Prefer to register module outputs.
+*   Declare FSMs consistently.
+
+### Declare all signals
+
+***Do not rely on inferred nets.***
+
+All signals **must** be explicitly declared before use.
+All declared signals must specify a data type.
+A correct design contains no inferred nets.
+
+### Use `logic` for synthesis
+
+***Use `logic` for synthesis.
+`wire` is allowed when necessary.***
+
+All signals in synthesizable RTL must be implemented in terms of 4-state data types.
+This means that all signals must ultimately be constructed of nets with the storage type of `logic`.
+While SystemVerilog does provide other data primitives with 4-state storage (ie. `integer`), those primitives are prone to misunderstandings and misuse.
+
+For example:
+
+&#x1f44d;
+```systemverilog {.good}
+logic signed [31:0] x_velocity;  // say what you mean: a signed 32-bit integer.
+typedef logic [7:0] byte_t;
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+bit signed [63:0] stars_in_the_sky;  // 2-state logic doesn't belong in RTL
+int grains_of_sand;  // Or wait, did I mean integer?  Easy to confuse!
+```
+
+It is permissible to use wire as a short-hand to both declare a net and perform continuous assignment.
+Take care not to confuse continuous assignment with initialization.
+For example:
+
+&#x1f44d;
+```systemverilog {.good}
+wire [7:0] sum = a + b;  // Continuous assignment
+
+logic [7:0] acc = '0;  // Initialization
+```
+
+There are exceptions for places where `logic` is inappropriate.
+For example, nets that connect to bidirectional (`inout`) ports must be declared with `wire`.
+These exceptions should be justified with a short comment.
+
+It is permissible for DV (Design Verification) to make use of 2-state logic, but all interfaces between 4-state and 2-state signals must assert a check for `X` on the 4-state net before resolving to a 2-state variable.
+
+### Logical vs. Bitwise
+
+***Use logical constructs for logical comparisons, bit-wise for data.***
+
+Logical constructs (`!`, `||`, `&&`, `==`, `!=`) should be used for all constructs that are evaluating logic (true or false) values, such as if clauses and ternary assignments.
+Use bit-wise constructs (`~`, `|`, `&`, `^`) for all data constructs, even if scalar.
+
+&#x1f44d;
+```systemverilog {.good}
+always_comb begin
+  if (bool_a || (bool_b && !bool_c) begin
+    x = 1'b1;
+  end else begin
+    x = 1'b0;
+end
+
+assign z = ((bool_a != bool_b) || bool_c) ? a : b;
+assign y = (a & ~b) | c;
+```
+
+&#x1f44e;
+```systemverilog {.bad}
+always_comb begin
+  if (bool_a | (bool_b & ~bool_c) begin
+    x = 1'b1;
+  end else begin
+    x = 1'b0;
+end
+
+assign z = ((bool_a ^ bool_b) | bool_c) ? a : b;
+assign y = (a && !b) || c;
+```
+
+### Packed Ordering
+
+***Bit vectors and packed arrays must be little-endian.***
+
+When declaring bit vectors and packed arrays, the index of the most-significant bound (left of the colon) must be greater than or equal to the least-significant bound (right of the colon).
+
+This style of bit vector declaration keeps packed variables little-endian.
+
+For example:
+
+```systemverilog
+typedef logic [7:0] u8_t;
+logic [31:0] u32_word;
+u8_t [1:0] u16_word;
+u8_t byte3, byte2, byte1, byte0;
+assign u16_word = {byte1, byte0};
+assign u32_word = {byte3, byte2, u16_word};
+```
+
+### Unpacked Ordering
+
+***Unpacked arrays must be big-endian.***
+
+Declare unpacked arrays in big-endian fashion (for instance, `[n:m]` where `n <= m`).
+Never declare an unpacked array in little-endian order, such as `[size-1:0]`.
+
+Declare zero-based unpacked arrays using the shorter notation `[size]`.
+It is understood that `[size]` is equivalent to the big-endian declaration `[0:size-1]`.
+
+```systemverilog
+logic [15:0] word_array[3] = '{word0, word1, word2};
+```
+
+### Finite State Machines
+
+***State machines use an enum to define states, and be implemented with two process blocks: a combinational block and a clocked block.***
+
+Every state machine description has three parts:
+
+1.  An enum that declares and describes the states.
+1.  A combinational process block that decodes state to produce next state and other combinational outputs.
+1.  A clocked process block that updates state from next state.
+
+*Enumerating States*
+
+The enum statement for the state machine should list each state in the state machine.
+Comments describing the states should be deferred to case statement in the combinational process block, below.
+
+States should be named in `UpperCamelCase`, like other [enumeration constants](#enumerations).
+
+Barring special circumstances, the initial idle state of the state machines will be named `Idle` or `StIdle`.
+(Alternate names are acceptable if they improve clarity.)
+
+Ideally, each module should only contain one state machine.
+If your module needs more than one state machine, you will need to add a unique prefix (or suffix) to the states of each state machine, to distinguish which state is associated with which state machine.
+For example, a module with a "reader" machine and a "writer" machine might have a `StRdIdle` state and a `StWrIdle` state.
+
+*Combinational Decode of State*
+
+The combinational process block should contain:
+
+-   A case statement that decodes state to produce next state and combinational outputs.
+    For clarity, only cases where the output value deviates from the default should be coded.
+-   Before the case statement should be a block of code that defines default values for every combinational output, including "next state."
+-   The default value for the "next state" variable should be the current state.
+    The case statement that decodes state will then only assign to "next state" when transitioning between states.
+-   Within the case statement, each state alternative should be preceded with a comment that describes the function of that state within the state machine.
+
+*The State Register*
+
+No logic except for reset should be performed in this process.
+The state variable should latch the value of the "next state" variable.
+
+*Other Guidelines*
+
+When possible, try to choose state names that differ near the beginning of their name, to make them more readable when viewing waveform traces.
+
+*Example*
+
+&#x1f44d;
+```systemverilog {.good}
+// Define the states
+typedef enum {
+  StIdle, StFrameStart, StDynInstrRead, StBandCorr, StAccStoreWrite, StBandEnd
+} alcor_state_e;
+
+alcor_state_e alcor_state_d, alcor_state_q;
+
+// Combinational decode of the state
+always_comb begin
+  alcor_state_d = alcor_state_q;
+  foo = 1'b0;
+  bar = 1'b0;
+  bum = 1'b0;
+  unique case (alcor_state_q)
+    // StIdle: waiting for frame_start
+    StIdle:
+      if (frame_start) begin
+        foo = 1'b1;
+        alcor_state_d = StFrameStart;
+      end
+    // StFrameStart: Reset accumulators
+    StFrameStart: begin
+      // ... etc ...
+    end
+    default: begin
+      // X's in the inputs propagate to X's in the outputs
+      alcor_state_d = 'X;
+      foo = 'X;
+      bar = 'X;
+      bum = 'X;
+    end
+  endcase
+end
+
+// Register the state
+always_ff @(posedge clk or negedge rst_n) begin
+  if (!rst_n) begin
+    alcor_state_q <= StIdle;
+  end else begin
+    alcor_state_q <= alcor_state_d;
+  end
+end
+```
+
+### Active-Low Signals
+
+***The `_n` suffix indicates an active-low signal.***
+
+If active-low signals are used, they must have the `_n` suffix in their name.
+Otherwise, all signals are assumed to be active-high.
+
+### Differential Pairs
+
+***Use the `_p` and `_n` suffixes to indicate a differential pair.***
+
+For example, `in_p` and `in_n` comprise a differential pair set.
+
+### Delays
+
+***Signals delayed by a single clock cycle should end in a `_q` suffix.***
+
+If one signal is only a delayed version of another signal, the `_q` suffix should be used to indicate this relationship.
+
+If another signal is then delayed by another clock cycle, the next signal should be identifed with the `_q2` suffix, and then `_q3` and so on.
+
+Example:
+
+```systemverilog
+always_ff @(posedge clk) begin
+  data_valid_q <= data_valid_d;
+  data_valid_q2 <= data_valid_q;
+  data_valid_q3 <= data_valid_q2;
+end
+```
+
+## Appendix - Condensed Style Guide
+
+This is a short summary of the Comportable style guide.
+Refer to the main text body for explanations examples, and exceptions.
+
+### Basic Style Elements
+
+*   Use SystemVerilog-2012 conventions, files named as module.sv, one file per module
+*   Only ASCII, **100** chars per line, **no** tabs, **two** spaces per indent for all paired keywords.
+*   C++ style comments `//`
+*   For multiple items on a line, **one** space must separate the comma and the next character
+*   Include **whitespace** around keywords and binary operators
+*   **No** space between case item and colon, function/task/macro call and open parenthesis
+*   Line wraps should indent by **four** spaces
+*   `begin` must be on the same line as the preceding keyword and end the line
+*   `end` must start a new line
+
+### Construct Naming
+
+*   Use **lower\_snake\_case** for instance names, signals, declarations, variables, types
+*   Use **UpperCamelCase** for tunable parameters, enumerated value names
+*   Use **ALL\_CAPS** for constants and define macros
+*   Main clock signal is named `clk`.
+    All clock signals must start with `clk_`
+*   Reset signals are **active-low** and **asynchronous**, default name is `rst_n`
+*   Signal names should be descriptive and be consistent throughout the hierarchy
+
+### Suffixes for signals and types
+
+*   Add `_i` to module inputs, `_o` to module outputs or `_io` for bi-directional module signals
+*   The input (next state) of a registered signal should have `_d` and the output `_q` as suffix
+*   Pipelined versions of signals should be named `_q2`, `_q3`, etc.
+    to reflect their latency
+*   Active low signals should use `_n`.
+    When using differential signals use `_p` for active high
+*   Enumerated types should be suffixed with `_e`
+*   Multiple suffixes will not be separated with `_`.
+    `n` should come first `i`, `o`, or `io` last
+
+### Language features
+
+*   Use **full port declaration style** for modules, any clock and reset declared first
+*   Use **named parameters** for instantiation, all declared ports must be present, no `.*`
+*   Top-level parameters is preferred over `` `define`` globals
+*   Use **symbolically named constants** instead of raw numbers
+*   Local constants should be declared `localparam`, globals in a separate **.svh** file.
+*   `logic` is preferred over `reg` and `wire`, declare all signals explicitly
+*   `always_comb`, `always_ff` and `always_latch` are preferred over `always`
+*   Interfaces are discouraged
+*   Sequential logic must use **non-blocking** assignments
+*   Combinational blocks must use **blocking** assignments
+*   Use of latches is discouraged, use flip-flops when possible
+*   Explicitly specify don’t cares (`X`) when safe to do so.
+*   Prefer `assign` statements wherever practical.
+*   Use `unique case` and always define a `default` case
+*   Use available signed arithmetic constructs wherever signed arithmetic is used
+*   When printing use `0b` and `0x` as a prefix for binary and hex.
+    Use `_` for clarity
+*   Use logical constructs (i.e `||`) for logical comparison, bit-wise (i.e `|`) for data comparison
+*   Bit vectors and packed arrays must be little-endian, unpacked arrays must be big-endian
+*   FSMs: **no logic** except for reset should be performed in the process for the state register
+*   A combinational process should first define **default value** of all outputs in the process
+*   Default value for next state variable should be the current state