[tlul/dv] Bind tlul_assert

1. Bind tlul_assert to all hosts/devices
2. Remove unused bind files
3. Move tl_if_connect_macros to xbar as it's only used in xbar
4. Update xbar_error_test to disable assertions for tl errors
diff --git a/hw/dv/sv/tl_agent/tl_agent.core b/hw/dv/sv/tl_agent/tl_agent.core
index 57ff82e..a965f0d 100644
--- a/hw/dv/sv/tl_agent/tl_agent.core
+++ b/hw/dv/sv/tl_agent/tl_agent.core
@@ -14,7 +14,6 @@
     files:
       - tl_if.sv
       - tl_agent_pkg.sv
-      - tl_if_connect_macros.svh: {is_include_file: true}
       - tl_agent_cfg.sv: {is_include_file: true}
       - tl_agent.sv: {is_include_file: true}
       - tl_device_driver.sv: {is_include_file: true}
diff --git a/hw/dv/sv/tl_agent/tl_if_connect_macros.svh b/hw/dv/sv/tl_agent/tl_if_connect_macros.svh
deleted file mode 100644
index 0d249d4..0000000
--- a/hw/dv/sv/tl_agent/tl_if_connect_macros.svh
+++ /dev/null
@@ -1,34 +0,0 @@
-// Copyright lowRISC contributors.
-// Licensed under the Apache License, Version 2.0, see LICENSE for details.
-// SPDX-License-Identifier: Apache-2.0
-
-// ---------------------------------------------
-// TileLink interface connection macros
-// ---------------------------------------------
-
-`define CONNECT_TL_DEVICE_IF(intf_inst, dut_h2d, dut_d2h, intf_name) \
-   tl_if intf_inst(clk, rst_n); \
-   initial begin \
-     force intf_inst.h2d = dut_h2d; \
-     force dut_d2h = intf_inst.d2h; \
-     uvm_config_db#(virtual tl_if)::set(null, $sformatf("*%0s*", `"intf_name`"), "vif", \
-                                        intf_inst); \
-   end
-
-`define CONNECT_TL_HOST_IF(intf_inst, dut_h2d, dut_d2h, intf_name) \
-   tl_if intf_inst(clk, rst_n); \
-   initial begin \
-     force intf_inst.d2h = dut_d2h; \
-     force dut_h2d = intf_inst.h2d; \
-     uvm_config_db#(virtual tl_if)::set(null, $sformatf("*%0s*", `"intf_name`"), "vif", \
-                                        intf_inst); \
-   end
-
-`define CONNECT_TL_MON_IF(intf_inst, dut_h2d, dut_d2h, intf_name) \
-   tl_if intf_inst(clk, rst_n); \
-   initial begin \
-     force intf_inst.h2d = dut_h2d; \
-     force intf_inst.d2h = dut_d2h; \
-     uvm_config_db#(virtual tl_if)::set(null, $sformatf("*%0s*", `"intf_name`"), "vif", \
-                                        intf_inst); \
-   end
diff --git a/hw/ip/tlul/dv/env/xbar_env.sv b/hw/ip/tlul/dv/env/xbar_env.sv
index 26fabda..b757b8e 100644
--- a/hw/ip/tlul/dv/env/xbar_env.sv
+++ b/hw/ip/tlul/dv/env/xbar_env.sv
@@ -21,6 +21,10 @@
 
   function void build_phase(uvm_phase phase);
     super.build_phase(phase);
+    if (!uvm_config_db#(tlul_assert_ctrl_vif)::get(this, "", "tlul_assert_ctrl_vif",
+                                                   cfg.tlul_assert_ctrl_vif)) begin
+      `uvm_fatal(get_full_name(), "failed to get tlul_assert_ctrl_vif from uvm_config_db")
+    end
     // Connect TileLink host and device agents
     host_agent = new[cfg.num_hosts];
     foreach (host_agent[i]) begin
diff --git a/hw/ip/tlul/dv/env/xbar_env_cfg.sv b/hw/ip/tlul/dv/env/xbar_env_cfg.sv
index cd4d9bb..27ac81d 100644
--- a/hw/ip/tlul/dv/env/xbar_env_cfg.sv
+++ b/hw/ip/tlul/dv/env/xbar_env_cfg.sv
@@ -20,6 +20,8 @@
   uint               max_device_req_delay = 20;
   uint               min_device_rsp_delay = 0;
   uint               max_device_rsp_delay = 20;
+  // use to disable/enable assert for TL error cases
+  tlul_assert_ctrl_vif  tlul_assert_ctrl_vif;
 
   `uvm_object_utils_begin(xbar_env_cfg)
     `uvm_field_array_object(host_agent_cfg,    UVM_DEFAULT)
diff --git a/hw/ip/tlul/dv/env/xbar_scoreboard.sv b/hw/ip/tlul/dv/env/xbar_scoreboard.sv
index 076309a..5a6a165 100644
--- a/hw/ip/tlul/dv/env/xbar_scoreboard.sv
+++ b/hw/ip/tlul/dv/env/xbar_scoreboard.sv
@@ -106,6 +106,7 @@
       tl_seq_item rsp;
       `downcast(rsp, tr.clone());
       rsp.d_source    = tr.a_source;
+      rsp.d_size      = tr.a_size;
       rsp.d_error     = 1;
       rsp.d_data      = '1;
       rsp.d_opcode    = rsp.a_opcode == tlul_pkg::Get ?
diff --git a/hw/ip/tlul/dv/tb/sram2tlul_bind.sv b/hw/ip/tlul/dv/tb/sram2tlul_bind.sv
deleted file mode 100644
index 9401cb9..0000000
--- a/hw/ip/tlul/dv/tb/sram2tlul_bind.sv
+++ /dev/null
@@ -1,14 +0,0 @@
-// Copyright lowRISC contributors.
-// Licensed under the Apache License, Version 2.0, see LICENSE for details.
-// SPDX-License-Identifier: Apache-2.0
-
-module sram2tlul_bind;
-
-  bind sram2tlul tlul_assert tlul_assert_device (
-    .clk_i,
-    .rst_ni,
-    .h2d    (tl_o),
-    .d2h    (tl_i)
-  );
-
-endmodule
diff --git a/hw/ip/tlul/dv/tb/tb.sv b/hw/ip/tlul/dv/tb/tb.sv
index bd407d1..54472ee 100644
--- a/hw/ip/tlul/dv/tb/tb.sv
+++ b/hw/ip/tlul/dv/tb/tb.sv
@@ -11,19 +11,22 @@
 
   wire clk, rst_n;
   clk_rst_if clk_rst_if(.clk(clk), .rst_n(rst_n));
+  wire tlul_assert_ctrl;
+  pins_if #(1) tlul_assert_ctrl_if(tlul_assert_ctrl);
 
   xbar_main dut(
     .clk_main_i(clk),
     .rst_main_ni(rst_n)
   );
 
-  `include "tl_if_connect_macros.svh"
   `include "xbar_tl_if_connection.sv"
 
   initial begin
     // drive clk and rst_n from clk_if
     clk_rst_if.set_active();
     uvm_config_db#(virtual clk_rst_if)::set(null, "*.env*", "clk_rst_vif", clk_rst_if);
+    uvm_config_db#(tlul_assert_ctrl_vif)::set(null, "*.env", "tlul_assert_ctrl_vif",
+                                              tlul_assert_ctrl_if);
     $timeformat(-12, 0, " ps", 12);
     run_test();
   end
diff --git a/hw/ip/tlul/dv/tb/tlul_adapter_sram_bind.sv b/hw/ip/tlul/dv/tb/tlul_adapter_sram_bind.sv
deleted file mode 100644
index 5d73fce..0000000
--- a/hw/ip/tlul/dv/tb/tlul_adapter_sram_bind.sv
+++ /dev/null
@@ -1,16 +0,0 @@
-// Copyright lowRISC contributors.
-// Licensed under the Apache License, Version 2.0, see LICENSE for details.
-// SPDX-License-Identifier: Apache-2.0
-
-module tlul_adapter_sram_bind;
-
-  bind tlul_adapter_sram tlul_assert #(
-    .EndpointType("Device")
-  ) tlul_assert_host (
-    .clk_i,
-    .rst_ni,
-    .h2d    (tl_i),
-    .d2h    (tl_o)
-  );
-
-endmodule
diff --git a/hw/ip/tlul/dv/tb/tlul_socket_1n_bind.sv b/hw/ip/tlul/dv/tb/tlul_socket_1n_bind.sv
deleted file mode 100644
index 14b89b6..0000000
--- a/hw/ip/tlul/dv/tb/tlul_socket_1n_bind.sv
+++ /dev/null
@@ -1,26 +0,0 @@
-// Copyright lowRISC contributors.
-// Licensed under the Apache License, Version 2.0, see LICENSE for details.
-// SPDX-License-Identifier: Apache-2.0
-
-module tlul_socket_1n_bind;
-
-  bind tlul_socket_1n tlul_assert #(
-    .EndpointType("Host")
-  ) tlul_assert_host (
-    .clk_i,
-    .rst_ni,
-    .h2d    (tl_h_i),
-    .d2h    (tl_h_o)
-  );
-
-  bind tlul_socket_1n tlul_assert_multiple #(
-    .N(N),
-    .EndpointType("Device")
-    ) tlul_assert_device (
-    .clk_i,
-    .rst_ni,
-    .h2d    (tl_d_o),
-    .d2h    (tl_d_i)
-  );
-
-endmodule
diff --git a/hw/ip/tlul/dv/tb/tlul_socket_m1_bind.sv b/hw/ip/tlul/dv/tb/tlul_socket_m1_bind.sv
deleted file mode 100644
index a2c5eb4..0000000
--- a/hw/ip/tlul/dv/tb/tlul_socket_m1_bind.sv
+++ /dev/null
@@ -1,26 +0,0 @@
-// Copyright lowRISC contributors.
-// Licensed under the Apache License, Version 2.0, see LICENSE for details.
-// SPDX-License-Identifier: Apache-2.0
-
-module tlul_socket_m1_bind;
-
-  bind tlul_socket_m1 tlul_assert_multiple #(
-    .N(M),
-    .EndpointType("Host")
-  ) tlul_assert_host (
-    .clk_i,
-    .rst_ni,
-    .h2d    (tl_h_i),
-    .d2h    (tl_h_o)
-  );
-
-  bind tlul_socket_m1 tlul_assert #(
-    .EndpointType("Device")
-  ) tlul_assert_device (
-    .clk_i,
-    .rst_ni,
-    .h2d    (tl_d_o),
-    .d2h    (tl_d_i)
-  );
-
-endmodule
diff --git a/hw/ip/tlul/dv/tb/xbar_macros.svh b/hw/ip/tlul/dv/tb/xbar_macros.svh
new file mode 100644
index 0000000..665c086
--- /dev/null
+++ b/hw/ip/tlul/dv/tb/xbar_macros.svh
@@ -0,0 +1,57 @@
+// Copyright lowRISC contributors.
+// Licensed under the Apache License, Version 2.0, see LICENSE for details.
+// SPDX-License-Identifier: Apache-2.0
+
+// ---------------------------------------------
+// TileLink interface connection macros
+// ---------------------------------------------
+
+`define CONNECT_TL_DEVICE_IF(intf_inst, tl_name, intf_name) \
+   tl_if intf_inst(clk, rst_n); \
+   initial begin \
+     force intf_inst.h2d = dut.tl_``tl_name``_o; \
+     force dut.tl_``tl_name``_i = intf_inst.d2h; \
+     force dut.tlul_assert_``tl_name``.tlul_assert_ctrl = tlul_assert_ctrl; \
+     uvm_config_db#(virtual tl_if)::set(null, $sformatf("*%0s*", `"intf_name`"), "vif", \
+                                        intf_inst); \
+   end
+
+`define CONNECT_TL_HOST_IF(intf_inst, tl_name, intf_name) \
+   tl_if intf_inst(clk, rst_n); \
+   initial begin \
+     force intf_inst.d2h = dut.tl_``tl_name``_o; \
+     force dut.tl_``tl_name``_i = intf_inst.h2d; \
+     force dut.tlul_assert_``tl_name``.tlul_assert_ctrl = tlul_assert_ctrl; \
+     uvm_config_db#(virtual tl_if)::set(null, $sformatf("*%0s*", `"intf_name`"), "vif", \
+                                        intf_inst); \
+   end
+
+`define CONNECT_TL_MON_IF(intf_inst, dut_h2d, dut_d2h, intf_name) \
+   tl_if intf_inst(clk, rst_n); \
+   initial begin \
+     force intf_inst.h2d = dut_h2d; \
+     force intf_inst.d2h = dut_d2h; \
+     uvm_config_db#(virtual tl_if)::set(null, $sformatf("*%0s*", `"intf_name`"), "vif", \
+                                        intf_inst); \
+   end
+
+ `define BIND_ASSERT_TL_DEVICE(tl_name) \
+  bind xbar_main tlul_assert #( \
+    .EndpointType("Host") \
+  ) tlul_assert_``tl_name`` ( \
+    .clk_i (clk_main_i), \
+    .rst_ni(rst_main_ni), \
+    .h2d   (tl_``tl_name``_o), \
+    .d2h   (tl_``tl_name``_i) \
+  );
+
+`define BIND_ASSERT_TL_HOST(tl_name) \
+  bind xbar_main tlul_assert #( \
+    .EndpointType("Device") \
+  ) tlul_assert_``tl_name`` ( \
+    .clk_i (clk_main_i), \
+    .rst_ni(rst_main_ni), \
+    .h2d   (tl_``tl_name``_i), \
+    .d2h   (tl_``tl_name``_o) \
+  );
+
diff --git a/hw/ip/tlul/dv/tb/xbar_main_bind.sv b/hw/ip/tlul/dv/tb/xbar_main_bind.sv
index eb8a7c4..d2ce03e 100644
--- a/hw/ip/tlul/dv/tb/xbar_main_bind.sv
+++ b/hw/ip/tlul/dv/tb/xbar_main_bind.sv
@@ -2,8 +2,24 @@
 // Licensed under the Apache License, Version 2.0, see LICENSE for details.
 // SPDX-License-Identifier: Apache-2.0
 
+// this file should be auto-generated when TB automation is done
 module xbar_main_bind;
 
-  // TODO bind tlul_assert to all interfaces
+  `BIND_ASSERT_TL_HOST(corei)
+  `BIND_ASSERT_TL_HOST(cored)
+  `BIND_ASSERT_TL_HOST(dm_sba)
+  `BIND_ASSERT_TL_DEVICE(rom)
+  `BIND_ASSERT_TL_DEVICE(debug_mem)
+  `BIND_ASSERT_TL_DEVICE(ram_main)
+  `BIND_ASSERT_TL_DEVICE(eflash)
+  `BIND_ASSERT_TL_DEVICE(uart)
+  `BIND_ASSERT_TL_DEVICE(gpio)
+  `BIND_ASSERT_TL_DEVICE(spi_device)
+  `BIND_ASSERT_TL_DEVICE(flash_ctrl)
+  `BIND_ASSERT_TL_DEVICE(rv_timer)
+  `BIND_ASSERT_TL_DEVICE(aes)
+  `BIND_ASSERT_TL_DEVICE(hmac)
+  `BIND_ASSERT_TL_DEVICE(rv_plic)
+  `BIND_ASSERT_TL_DEVICE(pinmux)
 
 endmodule
diff --git a/hw/ip/tlul/dv/tb/xbar_tl_if_connection.sv b/hw/ip/tlul/dv/tb/xbar_tl_if_connection.sv
index 41723ac..a282dd5 100644
--- a/hw/ip/tlul/dv/tb/xbar_tl_if_connection.sv
+++ b/hw/ip/tlul/dv/tb/xbar_tl_if_connection.sv
@@ -3,21 +3,21 @@
 // SPDX-License-Identifier: Apache-2.0
 
 // Device TileLink interface connections
-`CONNECT_TL_DEVICE_IF(rom_tl_if, dut.tl_rom_o, dut.tl_rom_i, TlRom)
-`CONNECT_TL_DEVICE_IF(debug_mem_tl_if, dut.tl_debug_mem_o, dut.tl_debug_mem_i, TlDebugMem)
-`CONNECT_TL_DEVICE_IF(ram_main_tl_if, dut.tl_ram_main_o, dut.tl_ram_main_i, TlRamMain)
-`CONNECT_TL_DEVICE_IF(eflash_tl_if, dut.tl_eflash_o, dut.tl_eflash_i, TlEflash)
-`CONNECT_TL_DEVICE_IF(uart_tl_if, dut.tl_uart_o, dut.tl_uart_i, TlUart)
-`CONNECT_TL_DEVICE_IF(gpio_tl_if, dut.tl_gpio_o, dut.tl_gpio_i, TlGpio)
-`CONNECT_TL_DEVICE_IF(spi_device_tl_if, dut.tl_spi_device_o, dut.tl_spi_device_i, TlSpiDevice)
-`CONNECT_TL_DEVICE_IF(flash_ctrl_tl_if, dut.tl_flash_ctrl_o, dut.tl_flash_ctrl_i, TlFlashCtrl)
-`CONNECT_TL_DEVICE_IF(rv_timer_tl_if, dut.tl_rv_timer_o, dut.tl_rv_timer_i, TlRvTimer)
-`CONNECT_TL_DEVICE_IF(hmac_tl_if, dut.tl_hmac_o, dut.tl_hmac_i, TlHmac)
-`CONNECT_TL_DEVICE_IF(aes_tl_if, dut.tl_aes_o, dut.tl_aes_i, TlAes)
-`CONNECT_TL_DEVICE_IF(rv_plic_tl_if, dut.tl_rv_plic_o, dut.tl_rv_plic_i, TlRvPlic)
-`CONNECT_TL_DEVICE_IF(pinmux_tl_if, dut.tl_pinmux_o, dut.tl_pinmux_i, TlPinmux)
+`CONNECT_TL_DEVICE_IF(rom_tl_if,        rom,        TlRom)
+`CONNECT_TL_DEVICE_IF(debug_mem_tl_if,  debug_mem,  TlDebugMem)
+`CONNECT_TL_DEVICE_IF(ram_main_tl_if,   ram_main,   TlRamMain)
+`CONNECT_TL_DEVICE_IF(eflash_tl_if,     eflash,     TlEflash)
+`CONNECT_TL_DEVICE_IF(uart_tl_if,       uart,       TlUart)
+`CONNECT_TL_DEVICE_IF(gpio_tl_if,       gpio,       TlGpio)
+`CONNECT_TL_DEVICE_IF(spi_device_tl_if, spi_device, TlSpiDevice)
+`CONNECT_TL_DEVICE_IF(flash_ctrl_tl_if, flash_ctrl, TlFlashCtrl)
+`CONNECT_TL_DEVICE_IF(rv_timer_tl_if,   rv_timer,   TlRvTimer)
+`CONNECT_TL_DEVICE_IF(hmac_tl_if,       hmac,       TlHmac)
+`CONNECT_TL_DEVICE_IF(aes_tl_if,        aes,        TlAes)
+`CONNECT_TL_DEVICE_IF(rv_plic_tl_if,    rv_plic,    TlRvPlic)
+`CONNECT_TL_DEVICE_IF(pinmux_tl_if,     pinmux,     TlPinmux)
 
 // Host TileLink interface connections
-`CONNECT_TL_HOST_IF(corei_tl_if, dut.tl_corei_i, dut.tl_corei_o, TlCorei)
-`CONNECT_TL_HOST_IF(cored_tl_if, dut.tl_cored_i, dut.tl_cored_o, TlCored)
-`CONNECT_TL_HOST_IF(dm_sba_tl_if, dut.tl_dm_sba_i, dut.tl_dm_sba_o, TlDmSba)
+`CONNECT_TL_HOST_IF(corei_tl_if,  corei,  TlCorei)
+`CONNECT_TL_HOST_IF(cored_tl_if,  cored,  TlCored)
+`CONNECT_TL_HOST_IF(dm_sba_tl_if, dm_sba, TlDmSba)
diff --git a/hw/ip/tlul/dv/tests/xbar_error_test.sv b/hw/ip/tlul/dv/tests/xbar_error_test.sv
index 396ce54..9f7fcee 100644
--- a/hw/ip/tlul/dv/tests/xbar_error_test.sv
+++ b/hw/ip/tlul/dv/tests/xbar_error_test.sv
@@ -12,4 +12,9 @@
     tl_seq_item::type_id::set_type_override(xbar_seq_err_item::get_type());
   endfunction : build_phase
 
+  virtual task run_phase(uvm_phase phase);
+    // disable assertions for TL errors
+    cfg.tlul_assert_ctrl_vif.drive(0);
+    super.run_phase(phase);
+  endtask : run_phase
 endclass : xbar_error_test
diff --git a/hw/ip/tlul/dv/xbar_sim.core b/hw/ip/tlul/dv/xbar_sim.core
index 2ed0faf..fbed030 100644
--- a/hw/ip/tlul/dv/xbar_sim.core
+++ b/hw/ip/tlul/dv/xbar_sim.core
@@ -15,6 +15,7 @@
       - lowrisc:dv:mem_model
       - lowrisc:dv:xbar_test:0.1
     files:
+      - tb/xbar_macros.svh
       - tb/tb.sv
       - tb/xbar_main_bind.sv
       - tb/xbar_tl_if_connection.sv: {is_include_file: true}