[alert_handler] Rename IRQ outputs and introduce structs for diff lines
This renames the IRQ ports of the alert handler in order to make it
compliant with topgen, and introduces struct datatypes in order to
bundle the differential wires used in alert and escalation signalling
primitives in order to make toplevel integration less cluttered.
Signed-off-by: Michael Schaffner <msf@google.com>
diff --git a/hw/ip/alert_handler/data/alert_handler.hjson b/hw/ip/alert_handler/data/alert_handler.hjson
index 51eb556..18f0b1f 100644
--- a/hw/ip/alert_handler/data/alert_handler.hjson
+++ b/hw/ip/alert_handler/data/alert_handler.hjson
@@ -14,7 +14,7 @@
{
name: "ALERT_HANDLER",
- clock_primary: "clk_fixed",
+ clock_primary: "clk_i",
bus_device: "tlul",
regwidth: "32",
param_list: [
@@ -93,22 +93,22 @@
],
# interrupt registers for the classes
interrupt_list: [
- { name: "CLASSA",
+ { name: "classa",
desc: '''
Interrupt state bit of Class A. Set by HW in case an alert within this class triggered. Defaults true, write one to clear.
''',
},
- { name: "CLASSB",
+ { name: "classb",
desc: '''
Interrupt state bit of Class B. Set by HW in case an alert within this class triggered. Defaults true, write one to clear.
''',
},
- { name: "CLASSC",
+ { name: "classc",
desc: '''
Interrupt state bit of Class C. Set by HW in case an alert within this class triggered. Defaults true, write one to clear.
''',
},
- { name: "CLASSD",
+ { name: "classd",
desc: '''
Interrupt state bit of Class D. Set by HW in case an alert within this class triggered. Defaults true, write one to clear.
''',
diff --git a/hw/ip/alert_handler/data/alert_handler.hjson.tpl b/hw/ip/alert_handler/data/alert_handler.hjson.tpl
index d3ba16a..246cb26 100644
--- a/hw/ip/alert_handler/data/alert_handler.hjson.tpl
+++ b/hw/ip/alert_handler/data/alert_handler.hjson.tpl
@@ -17,7 +17,7 @@
%>
{
name: "ALERT_HANDLER",
- clock_primary: "clk_fixed",
+ clock_primary: "clk_i",
bus_device: "tlul",
regwidth: "32",
##############################################################################
@@ -99,7 +99,7 @@
# interrupt registers for the classes
interrupt_list: [
% for i in range(n_classes):
- { name: "CLASS${chars[i]}",
+ { name: "class${chars[i].lower()}",
desc: '''
Interrupt state bit of Class ${chars[i]}. Set by HW in case an alert within this class triggered. Defaults true, write one to clear.
''',
diff --git a/hw/ip/alert_handler/doc/_index.md b/hw/ip/alert_handler/doc/_index.md
index 67ebe3f..c7e5d1b 100644
--- a/hw/ip/alert_handler/doc/_index.md
+++ b/hw/ip/alert_handler/doc/_index.md
@@ -153,15 +153,14 @@
in packed arrays. The diff pair signals are indexed with the corresponding alert
instance `<number>`.
-Signal | Direction | Type | Description
-------------------------|------------------|----------------|---------------
-`crashdump_o` | `output` | packed `struct`| This is a collection of alert handler state registers that can be latched by hardware debugging circuitry, if needed.
-`entropy_i` | `input` | `logic` | Entropy input bit for LFSRtimer (can be connected to TRNG, otherwise tie off to `1'b0` if unused).
-`alert_pi/ni[<number>]` | `input` | packed `logic` | Incoming alert or ping response(s), differentially encoded. Index range: `[NAlerts-1:0]`
-`ack_po/no[<number>]` | `output` | packed `logic` | Outgoing alert acknowledgment, differentially encoded. Index range: `[NAlerts-1:0]`
-`ping_po/no[<number>]` | `output` | packed `logic` | Ping request to alert sender, differentially encoded. Index range: `[NAlerts-1:0]`
-`esc_po/no[<sev>]` | `output` | packed `logic` | Escalation or ping request, differentially encoded. Index corresponds to severity level, and ranges from 0 to 3.
-`resp_pi/ni[<sev>]` | `input` | packed `logic` | Escalation ping response, differentially encoded. Index corresponds to severity level, and ranges from 0 to 3.
+Signal | Direction | Type | Description
+------------------------|------------------|---------------- |---------------
+`crashdump_o` | `output` | packed `struct` | This is a collection of alert handler state registers that can be latched by hardware debugging circuitry, if needed.
+`entropy_i` | `input` | `logic` | Entropy input bit for LFSRtimer (can be connected to TRNG, otherwise tie off to `1'b0` if unused).
+`alert_tx_i[<number>]` | `input` | packed `alert_tx_t` array | Incoming alert or ping response(s), differentially encoded. Index range: `[NAlerts-1:0]`
+`alert_rx_o[<number>]` | `output` | packed `alert_rx_t` array | Outgoing alert acknowledgment and ping requests, differentially encoded. Index range: `[NAlerts-1:0]`
+`esc_tx_o[<sev>]` | `output` | packed `esc_tx_t` array | Escalation or ping request, differentially encoded. Index corresponds to severity level, and ranges from 0 to 3.
+`esc_rx_i[<sev>]` | `input` | packed `esc_rx_t` array | Escalation ping response, differentially encoded. Index corresponds to severity level, and ranges from 0 to 3.
For each alert, there is a pair of input and two pairs of output signals. These
@@ -203,11 +202,11 @@
### Differential Alert Signaling
-Each alert sender is connected to the corresponding alert receiver via the 3 differential pairs `alert_p*/n*`, `ack_p*/n*` and `ping_p*/n*`, as illustrated below:
+Each alert sender is connected to the corresponding alert receiver via the 3 differential pairs `alert_tx_i/o.alert_p/n`, `alert_rx_i/o.ack_p/n` and `alert_rx_i/o.ping_p/n`, as illustrated below:

-Alerts are encoded differentially and signaled using a full handshake on the `alert_p*/n*` and `ack_p*/n*` wires.
+Alerts are encoded differentially and signaled using a full handshake on the `alert_tx_i/o.alert_p/n` and `alert_rx_i/o.ack_p/n` wires.
The use of a full handshake protocol allows this mechanism to be used with an asynchronous clocking strategy, where peripherals may reside in a different clock domain than the alert handler.
The full handshake guarantees that alert messages are correctly back-pressured and no alert is "lost" at the asynchronous boundary due to (possibly variable) clock ratios greater or less than 1.0.
The "native alert message" will be repeated on the output wires as long as the alert event is still true within the peripheral.
@@ -217,13 +216,13 @@
{{< wavejson >}}
{
signal: [
- { name: 'clk_i', wave: 'p...............' },
- { name: 'alert_i', wave: '01.|..|..|...|..' },
- { name: 'alert_po/pi', wave: '01.|..|0.|..1|..' , node: '.a.....c....e'},
- { name: 'alert_no/ni', wave: '10.|..|1.|..0|..' },
- { name: 'ack_pi/po', wave: '0..|1.|..|0..|1.' , node: '....b.....d..'},
- { name: 'ack_ni/no', wave: '1..|0.|..|1..|0.' },
- { name: 'alert_o', wave: '0..|10|..|...|10' },
+ { name: 'clk_i', wave: 'p...............' },
+ { name: 'alert_i', wave: '01.|..|..|...|..' },
+ { name: 'alert_tx_o/i.alert_p', wave: '01.|..|0.|..1|..' , node: '.a.....c....e'},
+ { name: 'alert_tx_o/i.alert_n', wave: '10.|..|1.|..0|..' },
+ { name: 'alert_rx_i/o.ack_p', wave: '0..|1.|..|0..|1.' , node: '....b.....d..'},
+ { name: 'alert_rx_i/o.ack_n', wave: '1..|0.|..|1..|0.' },
+ { name: 'alert_o', wave: '0..|10|..|...|10' },
],
edge: [
'a~>b Phase 0/1',
@@ -244,7 +243,7 @@
The handshake pattern is repeated as long as the alert is true. The sender will
wait for 2 cycles between handshakes.
-Note that the alert is immediately propagated to `alert_o` once the initial level change on `alert_pi/ni` has been received and synchronized to the local clock on the receiver side.
+Note that the alert is immediately propagated to `alert_o` once the initial level change on `alert_tx_i.alert_p/n` has been received and synchronized to the local clock on the receiver side.
This ensures that the first occurrence of an alert is always propagated - even if the handshake lines have been manipulated to emulate backpressure.
(In such a scenario, all subsequent alerts would be back-pressured and eventually the ping testing mechanism described in the next subsection would detect that the wires have been tampered with.)
@@ -262,7 +261,7 @@
The ping timing is generated by a central LFSR-based timer within the alert handler that randomly asserts the `ping_en_i` signal of a particular `prim_alert_receiver` module.
-Once `ping_en_i` is asserted, the receiver module encodes the ping message as a level change on the differential `ping_po/no` output, and waits until the sender responds with a full handshake on the `alert_pi/ni` and `ack_po/no` lines.
+Once `ping_en_i` is asserted, the receiver module encodes the ping message as a level change on the differential `alert_rx_o.ping_p/n` output, and waits until the sender responds with a full handshake on the `alert_tx_i.alert_p/n` and `alert_rx_o.ack_p/n` lines.
Once that handshake is complete, the `ping_ok_o` signal is asserted.
The LFSR timer has a programmable ping timeout, after which it will automatically assert a "pingfail" alert.
That timeout is a function of the clock ratios present in the system, and has to be programmed accordingly at system startup (as explained later in the LFSR timer subsection).
@@ -272,15 +271,15 @@
{{< wavejson >}}
{
signal: [
- { name: 'clk_i', wave: 'p..............' },
- { name: 'ping_en_i', wave: '01.|..|..|..|.0' },
- { name: 'ping_ok_o', wave: '0..|..|..|..|10' , node: '.............e'},
- { name: 'ping_po', wave: '01.|..|..|..|..' , node: '.a'},
- { name: 'ping_no', wave: '10.|..|..|..|..' , node: '.b'},
- { name: 'alert_pi', wave: '0..|1.|..|0.|..' , node: '....c'},
- { name: 'alert_ni', wave: '1..|0.|..|1.|..' },
- { name: 'ack_po', wave: '0..|..|1.|..|0.' , node: '.............d'},
- { name: 'ack_no', wave: '1..|..|0.|..|1.' },
+ { name: 'clk_i', wave: 'p..............' },
+ { name: 'ping_en_i', wave: '01.|..|..|..|.0' },
+ { name: 'ping_ok_o', wave: '0..|..|..|..|10' , node: '.............e'},
+ { name: 'alert_rx_o.ping_p', wave: '01.|..|..|..|..' , node: '.a'},
+ { name: 'alert_rx_o.ping_n', wave: '10.|..|..|..|..' , node: '.b'},
+ { name: 'alert_tx_i.alert_p', wave: '0..|1.|..|0.|..' , node: '....c'},
+ { name: 'alert_tx_i.alert_n', wave: '1..|0.|..|1.|..' },
+ { name: 'alert_rx_o.ack_p', wave: '0..|..|1.|..|0.' , node: '.............d'},
+ { name: 'alert_rx_o.ack_n', wave: '1..|..|0.|..|1.' },
],
edge: [
'a-b',
@@ -318,11 +317,11 @@
alert via `integ_fail_o`. In particular, this covers the following failure
cases:
-1. The `alert_pi/ni` pair is not correctly encoded on the receiver side.
+1. The `alert_tx_i.alert_p/n` pair is not correctly encoded on the receiver side.
This can be directly flagged as an integrity failure on the receiver side.
-2. The `ping_pi/ni` or the `ack_pi/ni` pairs are not correctly encoded on the sender side.
-This is signaled to the receiver by setting the `alert_po/no` wires to the same value, and that value will be continuously toggled.
+2. The `alert_rx_i.ping_p/n` or the `alert_rx_i.ack_p/n` pairs are not correctly encoded on the sender side.
+This is signaled to the receiver by setting the `alert_tx_o.alert_p/n` wires to the same value, and that value will be continuously toggled.
This implicitly triggers a signal integrity alert on the receiver side.
Some of these failure patterns are illustrated in the wave diagram below:
@@ -330,12 +329,12 @@
{{< wavejson >}}
{
signal: [
- { name: 'clk_i', wave: 'p..............' },
- { name: 'alert_pi', wave: '0.1...|0..10101' , node: '..a.......d'},
- { name: 'alert_ni', wave: '1.....|....0101' },
- { name: 'ack_pi', wave: '0.....|.1......' , node: '........c'},
- { name: 'ack_ni', wave: '1.....|........' },
- { name: 'integ_fail_o', wave: '0...1.|0....1..' , node: '....b.......e'},
+ { name: 'clk_i', wave: 'p..............' },
+ { name: 'alert_tx_o.alert_p', wave: '0.1...|0..10101' , node: '..a.......d'},
+ { name: 'alert_tx_o.alert_n', wave: '1.....|....0101' },
+ { name: 'alert_rx_i.ack_p', wave: '0.....|.1......' , node: '........c'},
+ { name: 'alert_rx_i.ack_n', wave: '1.....|........' },
+ { name: 'integ_fail_o', wave: '0...1.|0....1..' , node: '....b.......e'},
],
edge: [
'a~>b sigint issue detected',
@@ -579,14 +578,14 @@
{ name: 'irq_o[0]', wave: '01.|................' },
{ name: 'CLASSA_STATE', wave: '3..|.3|3.|3..|3..|3.', data: ['Idle', ' Phase0','Phase1','Phase2','Phase3','Terminal'] },
{ name: 'CLASSA_ESC_CNT', wave: '3..|.3|33|333|333|3.', data: ['0','1','1','2','1','2','3','1','2','3','0'] },
- { name: 'esc_po[0]', wave: '0..|.1|0............', node: '.....a.b' },
- { name: 'esc_no[0]', wave: '1..|.0|1............' },
- { name: 'esc_po[1]', wave: '0..|..|1.|0.........', node: '.......c..d' },
- { name: 'esc_no[1]', wave: '1..|..|0.|1.........' },
- { name: 'esc_po[2]', wave: '0..|.....|1..|0.....', node: '..........e...f' },
- { name: 'esc_no[2]', wave: '1..|.....|0..|1.....' },
- { name: 'esc_po[3]', wave: '0..|.........|1..|0.', node: '..............g...h' },
- { name: 'esc_no[3]', wave: '1..|.........|0..|1.' },
+ { name: 'esc_tx_o.esc_p[0]', wave: '0..|.1|0............', node: '.....a.b' },
+ { name: 'esc_tx_o.esc_n[0]', wave: '1..|.0|1............' },
+ { name: 'esc_tx_o.esc_p[1]', wave: '0..|..|1.|0.........', node: '.......c..d' },
+ { name: 'esc_tx_o.esc_n[1]', wave: '1..|..|0.|1.........' },
+ { name: 'esc_tx_o.esc_p[2]', wave: '0..|.....|1..|0.....', node: '..........e...f' },
+ { name: 'esc_tx_o.esc_n[2]', wave: '1..|.....|0..|1.....' },
+ { name: 'esc_tx_o.esc_p[3]', wave: '0..|.........|1..|0.', node: '..............g...h' },
+ { name: 'esc_tx_o.esc_n[3]', wave: '1..|.........|0..|1.' },
],
edge: [
'a->b 1e3 cycles',
@@ -627,14 +626,14 @@
{ name: 'irq_o[0]', wave: '01..|.................', node: '.a..|.b' },
{ name: 'CLASSA_ESC_STATE', wave: '33..|.3|3.|3..|3...|3.', data: ['Idle', 'Timeout',' Phase0','Phase1','Phase2','Phase3','Terminal'] },
{ name: 'CLASSA_ESC_CNT', wave: '3333|33|33|333|3333|3.', data: ['0', '1','2','3','1e4','1','1','2','1','2','3','1','2','3','4','0'] },
- { name: 'esc_po[0]', wave: '0...|.1|0.............' },
- { name: 'esc_no[0]', wave: '1...|.0|1.............' },
- { name: 'esc_po[1]', wave: '0...|..|1.|0..........' },
- { name: 'esc_no[1]', wave: '1...|..|0.|1..........' },
- { name: 'esc_po[2]', wave: '0...|.....|1..|0......' },
- { name: 'esc_no[2]', wave: '1...|.....|0..|1......' },
- { name: 'esc_po[3]', wave: '0...|.........|1...|0.' },
- { name: 'esc_no[3]', wave: '1...|.........|0...|1.' },
+ { name: 'esc_tx_o.esc_p[0]', wave: '0...|.1|0.............' },
+ { name: 'esc_tx_o.esc_n[0]', wave: '1...|.0|1.............' },
+ { name: 'esc_tx_o.esc_p[1]', wave: '0...|..|1.|0..........' },
+ { name: 'esc_tx_o.esc_n[1]', wave: '1...|..|0.|1..........' },
+ { name: 'esc_tx_o.esc_p[2]', wave: '0...|.....|1..|0......' },
+ { name: 'esc_tx_o.esc_n[2]', wave: '1...|.....|0..|1......' },
+ { name: 'esc_tx_o.esc_p[3]', wave: '0...|.........|1...|0.' },
+ { name: 'esc_tx_o.esc_n[3]', wave: '1...|.........|0...|1.' },
],
edge: [
'a->b 1e4 cycles',
@@ -667,26 +666,26 @@
Upon receiving an escalation enable pulse of width N > 0 at the `esc_en_i`
input, the escalation sender encodes that signal as a differential pulse of
-width N+1 on `esc_po/no`. The receiver decodes that message and asserts the
-`esc_en_o` output after one cycle of delay. Further, it acknowledges the
-receipt of that message by continuously toggling the `resp_po/no` signals as
-long as the escalation signal is asserted. Any failure to respond correctly
-will trigger a `integ_fail_o` alert, as illustrated below:
+width N+1 on `esc_tx.esc_p/n`. The receiver decodes that message and asserts the
+`esc_en_o` output after one cycle of delay. Further, it acknowledges the receipt
+of that message by continuously toggling the `esc_rx.resp_p/n` signals as long
+as the escalation signal is asserted. Any failure to respond correctly will
+trigger a `integ_fail_o` alert, as illustrated below:
{{< wavejson >}}
{
signal: [
- { name: 'clk_i', wave: 'p..................' },
- { name: 'ping_en_i', wave: '0........|.........' },
- { name: 'ping_ok_o', wave: '0........|.........' },
- { name: 'integ_fail_o', wave: '0........|..1010...' , node: '............b.d' },
- { name: 'ping_fail_o', wave: '0........|.........' },
- { name: 'esc_en_i', wave: '01....0..|.1....0..' },
- { name: 'resp_pi/po', wave: '0.101010.|.........', node: '............a.c' },
- { name: 'resp_ni/no', wave: '1.010101.|.........' },
- { name: 'esc_po/pi', wave: '01.....0.|.1.....0.' },
- { name: 'esc_no/ni', wave: '10.....1.|.0.....1.' },
- { name: 'esc_en_o', wave: '0.1....0.|..?....0.'},
+ { name: 'clk_i', wave: 'p..................' },
+ { name: 'ping_en_i', wave: '0........|.........' },
+ { name: 'ping_ok_o', wave: '0........|.........' },
+ { name: 'integ_fail_o', wave: '0........|..1010...' , node: '............b.d' },
+ { name: 'ping_fail_o', wave: '0........|.........' },
+ { name: 'esc_en_i', wave: '01....0..|.1....0..' },
+ { name: 'esc_rx_i/o.resp_p', wave: '0.101010.|.........', node: '............a.c' },
+ { name: 'esc_rx_i/o.resp_n', wave: '1.010101.|.........' },
+ { name: 'esc_tx_o/i.esc_p', wave: '01.....0.|.1.....0.' },
+ { name: 'esc_tx_o/i.esc_n', wave: '10.....1.|.0.....1.' },
+ { name: 'esc_en_o', wave: '0.1....0.|..?....0.'},
],
edge: [
'a~>b missing response',
@@ -702,9 +701,9 @@
}
{{< /wavejson >}}
-Further, any differential signal mismatch on both the `esc_pi/ni` and `resp_pi/ni` lines will trigger an `integ_fail_o` alert.
-Mismatches on `resp_pi/ni` can be directly detected at the sender.
-Mismatches on the `esc_pi/ni` line will be signaled back to the sender by setting both the positive and negative response wires to the same value - and that value is being toggled each cycle.
+Further, any differential signal mismatch on both the `esc_tx_i.esc_p/n` and `esc_rx_i.resp_p/n` lines will trigger an `integ_fail_o` alert.
+Mismatches on `esc_rx_i.resp_p/n` can be directly detected at the sender.
+Mismatches on the `esc_tx_i.esc_p/n` line will be signaled back to the sender by setting both the positive and negative response wires to the same value - and that value is being toggled each cycle.
This implicitly triggers a signal integrity alert on the sender side.
This back-signaling mechanism can be leveraged to fast-track escalation and use
@@ -716,16 +715,16 @@
{{< wavejson >}}
{
signal: [
- { name: 'clk_i', wave: 'p...........' },
- { name: 'ping_en_i', wave: '0....|......' },
- { name: 'ping_ok_o', wave: '0....|......' },
- { name: 'integ_fail_o', wave: '0.1.0|.1....' , node: '..b....e' },
- { name: 'esc_en_i', wave: '0....|......' },
- { name: 'resp_pi', wave: '0.1.0|..1010', node: '..a..' },
- { name: 'resp_ni', wave: '1....|.01010', node: '.......d' },
- { name: 'esc_pi', wave: '0....|1.....', node: '......c..' },
- { name: 'esc_ni', wave: '1....|......' },
- { name: 'esc_en_o', wave: '0....|......'},
+ { name: 'clk_i', wave: 'p...........' },
+ { name: 'ping_en_i', wave: '0....|......' },
+ { name: 'ping_ok_o', wave: '0....|......' },
+ { name: 'integ_fail_o', wave: '0.1.0|.1....' , node: '..b....e' },
+ { name: 'esc_en_i', wave: '0....|......' },
+ { name: 'esc_rx_i.resp_p', wave: '0.1.0|..1010', node: '..a..' },
+ { name: 'esc_rx_i.resp_n', wave: '1....|.01010', node: '.......d' },
+ { name: 'esc_tx_i.esc_p', wave: '0....|1.....', node: '......c..' },
+ { name: 'esc_tx_i.esc_n', wave: '1....|......' },
+ { name: 'esc_en_o', wave: '0....|......'},
],
edge: [
'a~>b',
@@ -745,10 +744,11 @@
### Ping Testing of the Escalation Signals
+
Similarly to the alert signaling scheme, the escalation signaling lines can be pinged / line tested in order to test whether the escalation receiver has been tampered with.
This is achieved by asserting `ping_en_i` at the escalation sender module.
-A ping request is encoded as a single cycle pulse on the `esc_po/no` outputs.
-Hence, the receiver module will not decode this single cycle pulse as an escalation enable message, but it will respond to it with a "1010" pattern on the `resp_pi/ni` lines.
+A ping request is encoded as a single cycle pulse on the `esc_tx_o.esc_p/n` outputs.
+Hence, the receiver module will not decode this single cycle pulse as an escalation enable message, but it will respond to it with a "1010" pattern on the `esc_rx_i.resp_p/n` lines.
The escalation sender module will assert `ping_ok_o` if that pattern is received correctly after one cycle of latency.
Otherwise the LFSR timer will raise a "pingfail" alert after the programmable timeout is reached.
This mechanism is illustrated below from the viewpoint of the sender module.
@@ -756,15 +756,15 @@
{{< wavejson >}}
{
signal: [
- { name: 'clk_i', wave: 'p..............' },
- { name: 'ping_en_i', wave: '01....0|.1.0...' , node: '.a'},
- { name: 'ping_ok_o', wave: '0....10|.......' , node: '.....e....g'},
- { name: 'integ_fail_o', wave: '0......|.......' },
- { name: 'esc_en_i', wave: '0......|.......' },
- { name: 'resp_pi', wave: '0.1010.|.......' , node: '..c..d....f'},
- { name: 'resp_ni', wave: '1.0101.|.......' },
- { name: 'esc_po', wave: '010....|.10....' , node: '.b'},
- { name: 'esc_no', wave: '101....|.01....' },
+ { name: 'clk_i', wave: 'p..............' },
+ { name: 'ping_en_i', wave: '01....0|.1.0...' , node: '.a'},
+ { name: 'ping_ok_o', wave: '0....10|.......' , node: '.....e....g'},
+ { name: 'integ_fail_o', wave: '0......|.......' },
+ { name: 'esc_en_i', wave: '0......|.......' },
+ { name: 'esc_rx_i.resp_p', wave: '0.1010.|.......' , node: '..c..d....f'},
+ { name: 'esc_rx_i.resp_n', wave: '1.0101.|.......' },
+ { name: 'esc_tx_o.esc_p', wave: '010....|.10....' , node: '.b'},
+ { name: 'esc_tx_o.esc_n', wave: '101....|.01....' },
],
edge: [
'a->b',
diff --git a/hw/ip/alert_handler/doc/alert_handler_alert_rxtx.svg b/hw/ip/alert_handler/doc/alert_handler_alert_rxtx.svg
index fcd56b9..39e12f7 100644
--- a/hw/ip/alert_handler/doc/alert_handler_alert_rxtx.svg
+++ b/hw/ip/alert_handler/doc/alert_handler_alert_rxtx.svg
@@ -1 +1 @@
-<svg version="1.1" viewBox="0.0 0.0 761.3779527559055 175.5564304461942" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l761.3779 0l0 175.55643l-761.3779 0l0 -175.55643z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l761.3779 0l0 175.55643l-761.3779 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m8.0 8.0l296.0 0l0 160.0l-296.0 0z" fill-rule="evenodd"/><path stroke="#999999" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m8.0 8.0l296.0 0l0 160.0l-296.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m424.0 8.0l328.0 0l0 160.0l-328.0 0z" fill-rule="evenodd"/><path stroke="#999999" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m424.0 8.0l328.0 0l0 160.0l-328.0 0z" fill-rule="evenodd"/><path fill="#c9daf8" d="m105.41732 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m105.41732 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path fill="#000000" d="m150.22429 88.23795l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" d="m176.38054 100.41358q0 0.6875 -0.203125 1.265625q-0.1875 0.5625 -0.546875 0.96875q-0.359375 0.40625 -0.890625 0.640625q-0.515625 0.21875 -1.1875 0.21875q-0.625 0 -1.125 -0.1875q-0.5 -0.203125 -0.859375 -0.578125q-0.34375 -0.390625 -0.53125 -0.953125q-0.171875 -0.5625 -0.171875 -1.296875q0 -0.6875 0.1875 -1.25q0.1875 -0.5625 0.546875 -0.96875q0.375 -0.40625 0.890625 -0.625q0.53125 -0.234375 1.1875 -0.234375q0.625 0 1.125 0.203125q0.515625 0.1875 0.859375 0.5625q0.34375 0.375 0.53125 0.9375q0.1875 0.5625 0.1875 1.296875zm-1.046875 0.046875q0 -0.546875 -0.125 -0.953125q-0.109375 -0.40625 -0.34375 -0.671875q-0.21875 -0.28125 -0.546875 -0.421875q-0.3125 -0.140625 -0.703125 -0.140625q-0.453125 0 -0.78125 0.1875q-0.328125 0.171875 -0.53125 0.46875q-0.203125 0.296875 -0.3125 0.703125q-0.09375 0.390625 -0.09375 0.828125q0 0.546875 0.125 0.953125q0.125 0.40625 0.34375 0.6875q0.21875 0.265625 0.53125 0.40625q0.328125 0.140625 0.71875 0.140625q0.453125 0 0.78125 -0.171875q0.328125 -0.1875 0.53125 -0.484375q0.21875 -0.3125 0.3125 -0.703125q0.09375 -0.390625 0.09375 -0.828125zm7.5507812 -0.109375q0 0.78125 -0.21875 1.375q-0.21875 0.578125 -0.609375 0.96875q-0.390625 0.390625 -0.921875 0.59375q-0.515625 0.1875 -1.140625 0.1875q-0.28125 0 -0.5625 -0.03125q-0.265625 -0.03125 -0.5625 -0.109375l0 2.4687424l-1.015625 0l0 -8.296867l0.90625 0l0.0625 0.984375q0.4375 -0.59375 0.9375 -0.828125q0.5 -0.25 1.078125 -0.25q0.515625 0 0.890625 0.21875q0.375 0.203125 0.625 0.59375q0.265625 0.375 0.390625 0.921875q0.140625 0.53125 0.140625 1.203125zm-1.046875 0.046875q0 -0.46875 -0.078125 -0.84375q-0.0625 -0.390625 -0.203125 -0.65625q-0.140625 -0.28125 -0.375 -0.4375q-0.234375 -0.15625 -0.546875 -0.15625q-0.1875 0 -0.390625 0.0625q-0.203125 0.0625 -0.421875 0.203125q-0.203125 0.140625 -0.4375 0.375q-0.234375 0.234375 -0.515625 0.59375l0 2.84375q0.28125 0.125 0.59375 0.203125q0.3125 0.0625 0.609375 0.0625q0.828125 0 1.296875 -0.5625q0.46875 -0.5625 0.46875 -1.6875zm7.3476562 2.921875q-0.34375 0.078125 -0.71875 0.109375q-0.359375 0.046875 -0.734375 0.046875q-1.109375 0 -1.65625 -0.5q-0.546875 -0.5 -0.546875 -1.53125l0 -3.078125l-1.640625 0l0 -0.859375l1.640625 0l0 -1.609375l1.015625 -0.265625l0 1.875l2.640625 0l0 0.859375l-2.640625 0l0 2.984375q0 0.640625 0.328125 0.953125q0.34375 0.3125 1.0 0.3125q0.28125 0 0.609375 -0.046875q0.34375 -0.046875 0.703125 -0.140625l0 0.890625zm3.8632812 -4.96875l-1.734375 0l0 -0.84375l2.765625 0l0 5.046875l1.75 0l0 0.84375l-4.703125 0l0 -0.84375l1.921875 0l0 -4.203125zm0.359375 -3.296875q0.171875 0 0.3125 0.0625q0.15625 0.046875 0.265625 0.171875q0.109375 0.109375 0.171875 0.25q0.0625 0.140625 0.0625 0.3125q0 0.15625 -0.0625 0.3125q-0.0625 0.140625 -0.171875 0.25q-0.109375 0.109375 -0.265625 0.171875q-0.140625 0.0625 -0.3125 0.0625q-0.171875 0 -0.3125 -0.0625q-0.140625 -0.0625 -0.25 -0.171875q-0.109375 -0.109375 -0.171875 -0.25q-0.0625 -0.15625 -0.0625 -0.3125q0 -0.171875 0.0625 -0.3125q0.0625 -0.140625 0.171875 -0.25q0.109375 -0.125 0.25 -0.171875q0.140625 -0.0625 0.3125 -0.0625zm9.363281 5.359375q0 0.6875 -0.203125 1.265625q-0.1875 0.5625 -0.546875 0.96875q-0.359375 0.40625 -0.890625 0.640625q-0.515625 0.21875 -1.1875 0.21875q-0.625 0 -1.125 -0.1875q-0.5 -0.203125 -0.859375 -0.578125q-0.34375 -0.390625 -0.53125 -0.953125q-0.171875 -0.5625 -0.171875 -1.296875q0 -0.6875 0.1875 -1.25q0.1875 -0.5625 0.546875 -0.96875q0.375 -0.40625 0.890625 -0.625q0.53125 -0.234375 1.1875 -0.234375q0.625 0 1.125 0.203125q0.515625 0.1875 0.859375 0.5625q0.34375 0.375 0.53125 0.9375q0.1875 0.5625 0.1875 1.296875zm-1.046875 0.046875q0 -0.546875 -0.125 -0.953125q-0.109375 -0.40625 -0.34375 -0.671875q-0.21875 -0.28125 -0.546875 -0.421875q-0.3125 -0.140625 -0.703125 -0.140625q-0.453125 0 -0.78125 0.1875q-0.328125 0.171875 -0.53125 0.46875q-0.203125 0.296875 -0.3125 0.703125q-0.09375 0.390625 -0.09375 0.828125q0 0.546875 0.125 0.953125q0.125 0.40625 0.34375 0.6875q0.21875 0.265625 0.53125 0.40625q0.328125 0.140625 0.71875 0.140625q0.453125 0 0.78125 -0.171875q0.328125 -0.1875 0.53125 -0.484375q0.21875 -0.3125 0.3125 -0.703125q0.09375 -0.390625 0.09375 -0.828125zm2.5195312 -2.953125l0.90625 0l0.046875 0.953125q0.25 -0.296875 0.484375 -0.5q0.25 -0.203125 0.484375 -0.328125q0.234375 -0.125 0.46875 -0.171875q0.234375 -0.046875 0.5 -0.046875q0.90625 0 1.375 0.53125q0.46875 0.53125 0.46875 1.609375l0 3.84375l-1.03125 0l0 -3.75q0 -0.703125 -0.265625 -1.03125q-0.25 -0.328125 -0.75 -0.328125q-0.1875 0 -0.375 0.0625q-0.171875 0.046875 -0.375 0.1875q-0.1875 0.140625 -0.421875 0.375q-0.21875 0.234375 -0.5 0.578125l0 3.90625l-1.015625 0l0 -5.890625zm11.253906 4.28125q0 0.3125 -0.109375 0.5625q-0.09375 0.25 -0.28125 0.4375q-0.1875 0.1875 -0.421875 0.328125q-0.234375 0.125 -0.515625 0.21875q-0.265625 0.09375 -0.5625 0.125q-0.28125 0.046875 -0.5625 0.046875q-0.59375 0 -1.09375 -0.0625q-0.5 -0.046875 -0.984375 -0.171875l0 -0.9375q0.515625 0.15625 1.03125 0.234375q0.515625 0.078125 1.015625 0.078125q0.734375 0 1.078125 -0.203125q0.359375 -0.203125 0.359375 -0.578125q0 -0.15625 -0.0625 -0.28125q-0.046875 -0.125 -0.203125 -0.234375q-0.140625 -0.109375 -0.453125 -0.234375q-0.3125 -0.125 -0.84375 -0.28125q-0.390625 -0.125 -0.734375 -0.265625q-0.328125 -0.15625 -0.578125 -0.359375q-0.25 -0.203125 -0.390625 -0.46875q-0.140625 -0.28125 -0.140625 -0.65625q0 -0.25 0.109375 -0.546875q0.125 -0.296875 0.390625 -0.546875q0.28125 -0.25 0.75 -0.40625q0.46875 -0.171875 1.171875 -0.171875q0.34375 0 0.765625 0.046875q0.421875 0.03125 0.875 0.125l0 0.90625q-0.46875 -0.125 -0.90625 -0.171875q-0.421875 -0.0625 -0.75 -0.0625q-0.375 0 -0.640625 0.0625q-0.25 0.0625 -0.421875 0.171875q-0.15625 0.09375 -0.234375 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.171875 0.0625 0.296875q0.0625 0.125 0.21875 0.25q0.171875 0.109375 0.46875 0.234375q0.296875 0.125 0.78125 0.265625q0.53125 0.15625 0.875 0.328125q0.359375 0.15625 0.578125 0.359375q0.234375 0.203125 0.328125 0.46875q0.09375 0.25 0.09375 0.578125zm4.3007812 -4.375q0.1875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.1875q0.125 0.125 0.1875 0.296875q0.078125 0.15625 0.078125 0.328125q0 0.1875 -0.078125 0.359375q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.296875 0.203125q-0.15625 0.0625 -0.34375 0.0625q-0.1875 0 -0.34375 -0.0625q-0.15625 -0.078125 -0.28125 -0.203125q-0.125 -0.125 -0.203125 -0.28125q-0.0625 -0.171875 -0.0625 -0.359375q0 -0.171875 0.0625 -0.328125q0.078125 -0.171875 0.203125 -0.296875q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm0 4.296875q0.1875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.1875q0.125 0.125 0.1875 0.296875q0.078125 0.15625 0.078125 0.328125q0 0.1875 -0.078125 0.359375q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.296875 0.1875q-0.15625 0.078125 -0.34375 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.1875q-0.125 -0.125 -0.203125 -0.28125q-0.0625 -0.171875 -0.0625 -0.359375q0 -0.171875 0.0625 -0.328125q0.078125 -0.171875 0.203125 -0.296875q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125z" fill-rule="nonzero"/><path fill="#ff0000" d="m158.54265 117.39795l-0.03125 -0.796875q-0.46875 0.484375 -0.96875 0.703125q-0.5 0.203125 -1.046875 0.203125q-0.5 0 -0.859375 -0.125q-0.359375 -0.140625 -0.59375 -0.359375q-0.21875 -0.234375 -0.328125 -0.53125q-0.109375 -0.3125 -0.109375 -0.671875q0 -0.875 0.65625 -1.375q0.65625 -0.5 1.953125 -0.5l1.21875 0l0 -0.515625q0 -0.53125 -0.34375 -0.84375q-0.328125 -0.3125 -1.015625 -0.3125q-0.5 0 -0.984375 0.109375q-0.484375 0.109375 -1.0 0.328125l0 -0.921875q0.203125 -0.078125 0.4375 -0.140625q0.234375 -0.0625 0.5 -0.109375q0.265625 -0.0625 0.546875 -0.09375q0.296875 -0.03125 0.578125 -0.03125q0.546875 0 0.96875 0.125q0.421875 0.109375 0.71875 0.34375q0.296875 0.234375 0.453125 0.609375q0.15625 0.359375 0.15625 0.84375l0 4.0625l-0.90625 0zm-0.109375 -2.671875l-1.296875 0q-0.375 0 -0.65625 0.078125q-0.28125 0.0625 -0.453125 0.203125q-0.171875 0.140625 -0.265625 0.34375q-0.078125 0.1875 -0.078125 0.4375q0 0.171875 0.046875 0.328125q0.0625 0.15625 0.171875 0.28125q0.125 0.109375 0.3125 0.1875q0.1875 0.0625 0.453125 0.0625q0.34375 0 0.796875 -0.203125q0.46875 -0.21875 0.96875 -0.6875l0 -1.03125zm7.5820312 1.0625q0 0.3125 -0.109375 0.5625q-0.09375 0.25 -0.28125 0.4375q-0.1875 0.1875 -0.421875 0.328125q-0.234375 0.125 -0.515625 0.21875q-0.265625 0.09375 -0.5625 0.125q-0.28125 0.046875 -0.5625 0.046875q-0.59375 0 -1.09375 -0.0625q-0.5 -0.046875 -0.984375 -0.171875l0 -0.9375q0.515625 0.15625 1.03125 0.234375q0.515625 0.078125 1.015625 0.078125q0.734375 0 1.078125 -0.203125q0.359375 -0.203125 0.359375 -0.578125q0 -0.15625 -0.0625 -0.28125q-0.046875 -0.125 -0.203125 -0.234375q-0.140625 -0.109375 -0.453125 -0.234375q-0.3125 -0.125 -0.84375 -0.28125q-0.390625 -0.125 -0.734375 -0.265625q-0.328125 -0.15625 -0.578125 -0.359375q-0.25 -0.203125 -0.390625 -0.46875q-0.140625 -0.28125 -0.140625 -0.65625q0 -0.25 0.109375 -0.546875q0.125 -0.296875 0.390625 -0.546875q0.28125 -0.25 0.75 -0.40625q0.46875 -0.171875 1.171875 -0.171875q0.34375 0 0.765625 0.046875q0.421875 0.03125 0.875 0.125l0 0.90625q-0.46875 -0.125 -0.90625 -0.171875q-0.421875 -0.0625 -0.75 -0.0625q-0.375 0 -0.640625 0.0625q-0.25 0.0625 -0.421875 0.171875q-0.15625 0.09375 -0.234375 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.171875 0.0625 0.296875q0.0625 0.125 0.21875 0.25q0.171875 0.109375 0.46875 0.234375q0.296875 0.125 0.78125 0.265625q0.53125 0.15625 0.875 0.328125q0.359375 0.15625 0.578125 0.359375q0.234375 0.203125 0.328125 0.46875q0.09375 0.25 0.09375 0.578125zm7.1757812 -4.28125l-2.0 5.265625q-0.3125 0.8125 -0.65625 1.390625q-0.328125 0.578125 -0.71875 0.953125q-0.390625 0.375 -0.859375 0.546875q-0.46875 0.171875 -1.046875 0.171875q-0.15625 0 -0.28125 -0.015625q-0.109375 0 -0.265625 0l0 -0.9375q0.125 0.015625 0.28125 0.03125q0.15625 0.015625 0.328125 0.015625q0.28125 0 0.515625 -0.078125q0.25 -0.078125 0.46875 -0.265625q0.21875 -0.1875 0.40625 -0.484375q0.203125 -0.28125 0.390625 -0.703125l-2.34375 -5.890625l1.15625 0l1.484375 3.890625l0.296875 0.921875l0.34375 -0.9375l1.375 -3.875l1.125 0zm1.3632812 0l0.90625 0l0.046875 0.953125q0.25 -0.296875 0.484375 -0.5q0.25 -0.203125 0.484375 -0.328125q0.234375 -0.125 0.46875 -0.171875q0.234375 -0.046875 0.5 -0.046875q0.90625 0 1.375 0.53125q0.46875 0.53125 0.46875 1.609375l0 3.84375l-1.03125 0l0 -3.75q0 -0.703125 -0.265625 -1.03125q-0.25 -0.328125 -0.75 -0.328125q-0.1875 0 -0.375 0.0625q-0.171875 0.046875 -0.375 0.1875q-0.1875 0.140625 -0.421875 0.375q-0.21875 0.234375 -0.5 0.578125l0 3.90625l-1.015625 0l0 -5.890625zm11.175781 5.671875q-0.40625 0.15625 -0.828125 0.21875q-0.421875 0.078125 -0.859375 0.078125q-1.390625 0 -2.15625 -0.75q-0.75 -0.765625 -0.75 -2.21875q0 -0.6875 0.21875 -1.25q0.21875 -0.578125 0.609375 -0.984375q0.390625 -0.40625 0.9375 -0.625q0.546875 -0.21875 1.203125 -0.21875q0.453125 0 0.84375 0.0625q0.40625 0.0625 0.78125 0.21875l0 0.96875q-0.390625 -0.203125 -0.78125 -0.28125q-0.390625 -0.09375 -0.8125 -0.09375q-0.40625 0 -0.75 0.15625q-0.34375 0.140625 -0.609375 0.421875q-0.265625 0.28125 -0.421875 0.6875q-0.140625 0.40625 -0.140625 0.90625q0 1.0625 0.515625 1.59375q0.515625 0.53125 1.4375 0.53125q0.40625 0 0.796875 -0.09375q0.390625 -0.09375 0.765625 -0.28125l0 0.953125z" fill-rule="nonzero"/><path fill="#000000" d="m199.47234 114.413574q0 0.6875 -0.203125 1.265625q-0.1875 0.5625 -0.546875 0.96875q-0.359375 0.40625 -0.890625 0.640625q-0.515625 0.21875 -1.1875 0.21875q-0.625 0 -1.125 -0.1875q-0.5 -0.203125 -0.859375 -0.578125q-0.34375 -0.390625 -0.53125 -0.953125q-0.171875 -0.5625 -0.171875 -1.296875q0 -0.6875 0.1875 -1.25q0.1875 -0.5625 0.546875 -0.96875q0.375 -0.40625 0.890625 -0.625q0.53125 -0.234375 1.1875 -0.234375q0.625 0 1.125 0.203125q0.515625 0.1875 0.859375 0.5625q0.34375 0.375 0.53125 0.9375q0.1875 0.5625 0.1875 1.296875zm-1.046875 0.046875q0 -0.546875 -0.125 -0.953125q-0.109375 -0.40625 -0.34375 -0.671875q-0.21875 -0.28125 -0.546875 -0.421875q-0.3125 -0.140625 -0.703125 -0.140625q-0.453125 0 -0.78125 0.1875q-0.328125 0.171875 -0.53125 0.46875q-0.203125 0.296875 -0.3125 0.703125q-0.09375 0.390625 -0.09375 0.828125q0 0.546875 0.125 0.953125q0.125 0.40625 0.34375 0.6875q0.21875 0.265625 0.53125 0.40625q0.328125 0.140625 0.71875 0.140625q0.453125 0 0.78125 -0.171875q0.328125 -0.1875 0.53125 -0.484375q0.21875 -0.3125 0.3125 -0.703125q0.09375 -0.390625 0.09375 -0.828125zm2.7539062 -2.953125l0.9375 0l0.03125 1.09375q0.515625 -0.625 1.015625 -0.90625q0.515625 -0.28125 1.03125 -0.28125q0.921875 0 1.390625 0.59375q0.46875 0.578125 0.4375 1.75l-1.03125 0q0.015625 -0.765625 -0.234375 -1.109375q-0.234375 -0.359375 -0.703125 -0.359375q-0.203125 0 -0.421875 0.078125q-0.203125 0.0625 -0.421875 0.234375q-0.21875 0.15625 -0.46875 0.40625q-0.25 0.25 -0.53125 0.609375l0 3.78125l-1.03125 0l0 -5.890625z" fill-rule="nonzero"/><path fill="#38761d" d="m218.79655 115.788574q0 0.3125 -0.109375 0.5625q-0.09375 0.25 -0.28125 0.4375q-0.1875 0.1875 -0.421875 0.328125q-0.234375 0.125 -0.515625 0.21875q-0.265625 0.09375 -0.5625 0.125q-0.28125 0.046875 -0.5625 0.046875q-0.59375 0 -1.09375 -0.0625q-0.5 -0.046875 -0.984375 -0.171875l0 -0.9375q0.515625 0.15625 1.03125 0.234375q0.515625 0.078125 1.015625 0.078125q0.734375 0 1.078125 -0.203125q0.359375 -0.203125 0.359375 -0.578125q0 -0.15625 -0.0625 -0.28125q-0.046875 -0.125 -0.203125 -0.234375q-0.140625 -0.109375 -0.453125 -0.234375q-0.3125 -0.125 -0.84375 -0.28125q-0.390625 -0.125 -0.734375 -0.265625q-0.328125 -0.15625 -0.578125 -0.359375q-0.25 -0.203125 -0.390625 -0.46875q-0.140625 -0.28125 -0.140625 -0.65625q0 -0.25 0.109375 -0.546875q0.125 -0.296875 0.390625 -0.546875q0.28125 -0.25 0.75 -0.40625q0.46875 -0.171875 1.171875 -0.171875q0.34375 0 0.765625 0.046875q0.421875 0.03125 0.875 0.125l0 0.90625q-0.46875 -0.125 -0.90625 -0.171875q-0.421875 -0.0625 -0.75 -0.0625q-0.375 0 -0.640625 0.0625q-0.25 0.0625 -0.421875 0.171875q-0.15625 0.09375 -0.234375 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.171875 0.0625 0.296875q0.0625 0.125 0.21875 0.25q0.171875 0.109375 0.46875 0.234375q0.296875 0.125 0.78125 0.265625q0.53125 0.15625 0.875 0.328125q0.359375 0.15625 0.578125 0.359375q0.234375 0.203125 0.328125 0.46875q0.09375 0.25 0.09375 0.578125zm7.1757812 -4.28125l-2.0 5.265625q-0.3125 0.8125 -0.65625 1.390625q-0.328125 0.578125 -0.71875 0.953125q-0.390625 0.375 -0.859375 0.546875q-0.46875 0.171875 -1.046875 0.171875q-0.15625 0 -0.28125 -0.015625q-0.109375 0 -0.265625 0l0 -0.9375q0.125 0.015625 0.28125 0.03125q0.15625 0.015625 0.328125 0.015625q0.28125 0 0.515625 -0.078125q0.25 -0.078125 0.46875 -0.265625q0.21875 -0.1875 0.40625 -0.484375q0.203125 -0.28125 0.390625 -0.703125l-2.34375 -5.890625l1.15625 0l1.484375 3.890625l0.296875 0.921875l0.34375 -0.9375l1.375 -3.875l1.125 0zm1.3632812 0l0.90625 0l0.046875 0.953125q0.25 -0.296875 0.484375 -0.5q0.25 -0.203125 0.484375 -0.328125q0.234375 -0.125 0.46875 -0.171875q0.234375 -0.046875 0.5 -0.046875q0.90625 0 1.375 0.53125q0.46875 0.53125 0.46875 1.609375l0 3.84375l-1.03125 0l0 -3.75q0 -0.703125 -0.265625 -1.03125q-0.25 -0.328125 -0.75 -0.328125q-0.1875 0 -0.375 0.0625q-0.171875 0.046875 -0.375 0.1875q-0.1875 0.140625 -0.421875 0.375q-0.21875 0.234375 -0.5 0.578125l0 3.90625l-1.015625 0l0 -5.890625zm11.175781 5.671875q-0.40625 0.15625 -0.828125 0.21875q-0.421875 0.078125 -0.859375 0.078125q-1.390625 0 -2.15625 -0.75q-0.75 -0.765625 -0.75 -2.21875q0 -0.6875 0.21875 -1.25q0.21875 -0.578125 0.609375 -0.984375q0.390625 -0.40625 0.9375 -0.625q0.546875 -0.21875 1.203125 -0.21875q0.453125 0 0.84375 0.0625q0.40625 0.0625 0.78125 0.21875l0 0.96875q-0.390625 -0.203125 -0.78125 -0.28125q-0.390625 -0.09375 -0.8125 -0.09375q-0.40625 0 -0.75 0.15625q-0.34375 0.140625 -0.609375 0.421875q-0.265625 0.28125 -0.421875 0.6875q-0.140625 0.40625 -0.140625 0.90625q0 1.0625 0.515625 1.59375q0.515625 0.53125 1.4375 0.53125q0.40625 0 0.796875 -0.09375q0.390625 -0.09375 0.765625 -0.28125l0 0.953125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m288.0 58.396324l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m288.0 58.396324l154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m442.0016 60.048058l4.5381165 -1.6517334l-4.5381165 -1.6517296z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m288.0 66.396324l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m288.0 66.396324l154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m442.0016 68.04806l4.5381165 -1.6517334l-4.5381165 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m448.0016 138.39633l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m448.0016 138.39633l-154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m294.0 136.7446l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m448.0016 130.39633l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m448.0016 130.39633l-154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m294.0 128.7446l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m304.0 146.39633l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m321.35547 153.9332q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624451 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm4.3588257 0l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7963867 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5150757 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557617 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.093201 7.359375l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm6.1557617 0l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm4.1088257 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.9682617 0.0625l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm6.2025757 4.703125q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm5.4682617 4.75l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m309.00525 40.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m322.3219 50.239998l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm4.358856 0l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.796356 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.515106 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557312 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.093231 7.359375l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm6.155731 0l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.874481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.436981 -4.75l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm3.4369812 2.890625l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm6.999481 9.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m449.41733 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m449.41733 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path fill="#000000" d="m485.4274 88.23795l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.2187805 0 1.8437805 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.29690552 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.7969055 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" d="m520.38055 100.41358q0 0.6875 -0.203125 1.265625q-0.1875 0.5625 -0.546875 0.96875q-0.359375 0.40625 -0.890625 0.640625q-0.515625 0.21875 -1.1875 0.21875q-0.625 0 -1.125 -0.1875q-0.5 -0.203125 -0.859375 -0.578125q-0.34375 -0.390625 -0.53125 -0.953125q-0.171875 -0.5625 -0.171875 -1.296875q0 -0.6875 0.1875 -1.25q0.1875 -0.5625 0.546875 -0.96875q0.375 -0.40625 0.890625 -0.625q0.53125 -0.234375 1.1875 -0.234375q0.625 0 1.125 0.203125q0.515625 0.1875 0.859375 0.5625q0.34375 0.375 0.53125 0.9375q0.1875 0.5625 0.1875 1.296875zm-1.046875 0.046875q0 -0.546875 -0.125 -0.953125q-0.109375 -0.40625 -0.34375 -0.671875q-0.21875 -0.28125 -0.546875 -0.421875q-0.3125 -0.140625 -0.703125 -0.140625q-0.453125 0 -0.78125 0.1875q-0.328125 0.171875 -0.53125 0.46875q-0.203125 0.296875 -0.3125 0.703125q-0.09375 0.390625 -0.09375 0.828125q0 0.546875 0.125 0.953125q0.125 0.40625 0.34375 0.6875q0.21875 0.265625 0.53125 0.40625q0.328125 0.140625 0.71875 0.140625q0.453125 0 0.78125 -0.171875q0.328125 -0.1875 0.53125 -0.484375q0.21875 -0.3125 0.3125 -0.703125q0.09375 -0.390625 0.09375 -0.828125zm7.5507812 -0.109375q0 0.78125 -0.21875 1.375q-0.21875 0.578125 -0.609375 0.96875q-0.390625 0.390625 -0.921875 0.59375q-0.515625 0.1875 -1.140625 0.1875q-0.28125 0 -0.5625 -0.03125q-0.265625 -0.03125 -0.5625 -0.109375l0 2.4687424l-1.015625 0l0 -8.296867l0.90625 0l0.0625 0.984375q0.4375 -0.59375 0.9375 -0.828125q0.5 -0.25 1.078125 -0.25q0.515625 0 0.890625 0.21875q0.375 0.203125 0.625 0.59375q0.265625 0.375 0.390625 0.921875q0.140625 0.53125 0.140625 1.203125zm-1.046875 0.046875q0 -0.46875 -0.078125 -0.84375q-0.0625 -0.390625 -0.203125 -0.65625q-0.140625 -0.28125 -0.375 -0.4375q-0.234375 -0.15625 -0.546875 -0.15625q-0.1875 0 -0.390625 0.0625q-0.203125 0.0625 -0.421875 0.203125q-0.203125 0.140625 -0.4375 0.375q-0.234375 0.234375 -0.515625 0.59375l0 2.84375q0.28125 0.125 0.59375 0.203125q0.3125 0.0625 0.609375 0.0625q0.828125 0 1.296875 -0.5625q0.46875 -0.5625 0.46875 -1.6875zm7.3476562 2.921875q-0.34375 0.078125 -0.71875 0.109375q-0.359375 0.046875 -0.734375 0.046875q-1.109375 0 -1.65625 -0.5q-0.546875 -0.5 -0.546875 -1.53125l0 -3.078125l-1.640625 0l0 -0.859375l1.640625 0l0 -1.609375l1.015625 -0.265625l0 1.875l2.640625 0l0 0.859375l-2.640625 0l0 2.984375q0 0.640625 0.328125 0.953125q0.34375 0.3125 1.0 0.3125q0.28125 0 0.609375 -0.046875q0.34375 -0.046875 0.703125 -0.140625l0 0.890625zm3.8632812 -4.96875l-1.734375 0l0 -0.84375l2.765625 0l0 5.046875l1.75 0l0 0.84375l-4.703125 0l0 -0.84375l1.921875 0l0 -4.203125zm0.359375 -3.296875q0.171875 0 0.3125 0.0625q0.15625 0.046875 0.265625 0.171875q0.109375 0.109375 0.171875 0.25q0.0625 0.140625 0.0625 0.3125q0 0.15625 -0.0625 0.3125q-0.0625 0.140625 -0.171875 0.25q-0.109375 0.109375 -0.265625 0.171875q-0.140625 0.0625 -0.3125 0.0625q-0.171875 0 -0.3125 -0.0625q-0.140625 -0.0625 -0.25 -0.171875q-0.109375 -0.109375 -0.171875 -0.25q-0.0625 -0.15625 -0.0625 -0.3125q0 -0.171875 0.0625 -0.3125q0.0625 -0.140625 0.171875 -0.25q0.109375 -0.125 0.25 -0.171875q0.140625 -0.0625 0.3125 -0.0625zm9.363281 5.359375q0 0.6875 -0.203125 1.265625q-0.1875 0.5625 -0.546875 0.96875q-0.359375 0.40625 -0.890625 0.640625q-0.515625 0.21875 -1.1875 0.21875q-0.625 0 -1.125 -0.1875q-0.5 -0.203125 -0.859375 -0.578125q-0.34375 -0.390625 -0.53125 -0.953125q-0.171875 -0.5625 -0.171875 -1.296875q0 -0.6875 0.1875 -1.25q0.1875 -0.5625 0.546875 -0.96875q0.375 -0.40625 0.890625 -0.625q0.53125 -0.234375 1.1875 -0.234375q0.625 0 1.125 0.203125q0.515625 0.1875 0.859375 0.5625q0.34375 0.375 0.53125 0.9375q0.1875 0.5625 0.1875 1.296875zm-1.046875 0.046875q0 -0.546875 -0.125 -0.953125q-0.109375 -0.40625 -0.34375 -0.671875q-0.21875 -0.28125 -0.546875 -0.421875q-0.3125 -0.140625 -0.703125 -0.140625q-0.453125 0 -0.78125 0.1875q-0.328125 0.171875 -0.53125 0.46875q-0.203125 0.296875 -0.3125 0.703125q-0.09375 0.390625 -0.09375 0.828125q0 0.546875 0.125 0.953125q0.125 0.40625 0.34375 0.6875q0.21875 0.265625 0.53125 0.40625q0.328125 0.140625 0.71875 0.140625q0.453125 0 0.78125 -0.171875q0.328125 -0.1875 0.53125 -0.484375q0.21875 -0.3125 0.3125 -0.703125q0.09375 -0.390625 0.09375 -0.828125zm2.5195312 -2.953125l0.90625 0l0.046875 0.953125q0.25 -0.296875 0.484375 -0.5q0.25 -0.203125 0.484375 -0.328125q0.234375 -0.125 0.46875 -0.171875q0.234375 -0.046875 0.5 -0.046875q0.90625 0 1.375 0.53125q0.46875 0.53125 0.46875 1.609375l0 3.84375l-1.03125 0l0 -3.75q0 -0.703125 -0.265625 -1.03125q-0.25 -0.328125 -0.75 -0.328125q-0.1875 0 -0.375 0.0625q-0.171875 0.046875 -0.375 0.1875q-0.1875 0.140625 -0.421875 0.375q-0.21875 0.234375 -0.5 0.578125l0 3.90625l-1.015625 0l0 -5.890625zm11.253906 4.28125q0 0.3125 -0.109375 0.5625q-0.09375 0.25 -0.28125 0.4375q-0.1875 0.1875 -0.421875 0.328125q-0.234375 0.125 -0.515625 0.21875q-0.265625 0.09375 -0.5625 0.125q-0.28125 0.046875 -0.5625 0.046875q-0.59375 0 -1.09375 -0.0625q-0.5 -0.046875 -0.984375 -0.171875l0 -0.9375q0.515625 0.15625 1.03125 0.234375q0.515625 0.078125 1.015625 0.078125q0.734375 0 1.078125 -0.203125q0.359375 -0.203125 0.359375 -0.578125q0 -0.15625 -0.0625 -0.28125q-0.046875 -0.125 -0.203125 -0.234375q-0.140625 -0.109375 -0.453125 -0.234375q-0.3125 -0.125 -0.84375 -0.28125q-0.390625 -0.125 -0.734375 -0.265625q-0.328125 -0.15625 -0.578125 -0.359375q-0.25 -0.203125 -0.390625 -0.46875q-0.140625 -0.28125 -0.140625 -0.65625q0 -0.25 0.109375 -0.546875q0.125 -0.296875 0.390625 -0.546875q0.28125 -0.25 0.75 -0.40625q0.46875 -0.171875 1.171875 -0.171875q0.34375 0 0.765625 0.046875q0.421875 0.03125 0.875 0.125l0 0.90625q-0.46875 -0.125 -0.90625 -0.171875q-0.421875 -0.0625 -0.75 -0.0625q-0.375 0 -0.640625 0.0625q-0.25 0.0625 -0.421875 0.171875q-0.15625 0.09375 -0.234375 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.171875 0.0625 0.296875q0.0625 0.125 0.21875 0.25q0.171875 0.109375 0.46875 0.234375q0.296875 0.125 0.78125 0.265625q0.53125 0.15625 0.875 0.328125q0.359375 0.15625 0.578125 0.359375q0.234375 0.203125 0.328125 0.46875q0.09375 0.25 0.09375 0.578125zm4.3007812 -4.375q0.1875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.1875q0.125 0.125 0.1875 0.296875q0.078125 0.15625 0.078125 0.328125q0 0.1875 -0.078125 0.359375q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.296875 0.203125q-0.15625 0.0625 -0.34375 0.0625q-0.1875 0 -0.34375 -0.0625q-0.15625 -0.078125 -0.28125 -0.203125q-0.125 -0.125 -0.203125 -0.28125q-0.0625 -0.171875 -0.0625 -0.359375q0 -0.171875 0.0625 -0.328125q0.078125 -0.171875 0.203125 -0.296875q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm0 4.296875q0.1875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.1875q0.125 0.125 0.1875 0.296875q0.078125 0.15625 0.078125 0.328125q0 0.1875 -0.078125 0.359375q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.296875 0.1875q-0.15625 0.078125 -0.34375 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.1875q-0.125 -0.125 -0.203125 -0.28125q-0.0625 -0.171875 -0.0625 -0.359375q0 -0.171875 0.0625 -0.328125q0.078125 -0.171875 0.203125 -0.296875q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125z" fill-rule="nonzero"/><path fill="#ff0000" d="m502.54263 117.39795l-0.03125 -0.796875q-0.46875 0.484375 -0.96875 0.703125q-0.5 0.203125 -1.046875 0.203125q-0.5 0 -0.859375 -0.125q-0.359375 -0.140625 -0.59375 -0.359375q-0.21875 -0.234375 -0.328125 -0.53125q-0.109375 -0.3125 -0.109375 -0.671875q0 -0.875 0.65625 -1.375q0.65625 -0.5 1.953125 -0.5l1.21875 0l0 -0.515625q0 -0.53125 -0.34375 -0.84375q-0.328125 -0.3125 -1.015625 -0.3125q-0.5 0 -0.984375 0.109375q-0.484375 0.109375 -1.0 0.328125l0 -0.921875q0.203125 -0.078125 0.4375 -0.140625q0.234375 -0.0625 0.5 -0.109375q0.265625 -0.0625 0.546875 -0.09375q0.296875 -0.03125 0.578125 -0.03125q0.546875 0 0.96875 0.125q0.421875 0.109375 0.71875 0.34375q0.296875 0.234375 0.453125 0.609375q0.15625 0.359375 0.15625 0.84375l0 4.0625l-0.90625 0zm-0.109375 -2.671875l-1.296875 0q-0.375 0 -0.65625 0.078125q-0.28125 0.0625 -0.453125 0.203125q-0.171875 0.140625 -0.265625 0.34375q-0.078125 0.1875 -0.078125 0.4375q0 0.171875 0.046875 0.328125q0.0625 0.15625 0.171875 0.28125q0.125 0.109375 0.3125 0.1875q0.1875 0.0625 0.453125 0.0625q0.34375 0 0.796875 -0.203125q0.46875 -0.21875 0.96875 -0.6875l0 -1.03125zm7.5820312 1.0625q0 0.3125 -0.109375 0.5625q-0.09375 0.25 -0.28125 0.4375q-0.1875 0.1875 -0.421875 0.328125q-0.234375 0.125 -0.515625 0.21875q-0.265625 0.09375 -0.5625 0.125q-0.28125 0.046875 -0.5625 0.046875q-0.59375 0 -1.09375 -0.0625q-0.5 -0.046875 -0.984375 -0.171875l0 -0.9375q0.515625 0.15625 1.03125 0.234375q0.515625 0.078125 1.015625 0.078125q0.734375 0 1.078125 -0.203125q0.359375 -0.203125 0.359375 -0.578125q0 -0.15625 -0.0625 -0.28125q-0.046875 -0.125 -0.203125 -0.234375q-0.140625 -0.109375 -0.453125 -0.234375q-0.3125 -0.125 -0.84375 -0.28125q-0.390625 -0.125 -0.734375 -0.265625q-0.328125 -0.15625 -0.578125 -0.359375q-0.25 -0.203125 -0.390625 -0.46875q-0.140625 -0.28125 -0.140625 -0.65625q0 -0.25 0.109375 -0.546875q0.125 -0.296875 0.390625 -0.546875q0.28125 -0.25 0.75 -0.40625q0.46875 -0.171875 1.171875 -0.171875q0.34375 0 0.765625 0.046875q0.421875 0.03125 0.875 0.125l0 0.90625q-0.46875 -0.125 -0.90625 -0.171875q-0.421875 -0.0625 -0.75 -0.0625q-0.375 0 -0.640625 0.0625q-0.25 0.0625 -0.421875 0.171875q-0.15625 0.09375 -0.234375 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.171875 0.0625 0.296875q0.0625 0.125 0.21875 0.25q0.171875 0.109375 0.46875 0.234375q0.296875 0.125 0.78125 0.265625q0.53125 0.15625 0.875 0.328125q0.359375 0.15625 0.578125 0.359375q0.234375 0.203125 0.328125 0.46875q0.09375 0.25 0.09375 0.578125zm7.175812 -4.28125l-2.0 5.265625q-0.3125 0.8125 -0.65625 1.390625q-0.328125 0.578125 -0.71875 0.953125q-0.390625 0.375 -0.859375 0.546875q-0.46875 0.171875 -1.0469055 0.171875q-0.15625 0 -0.28125 -0.015625q-0.109375 0 -0.265625 0l0 -0.9375q0.125 0.015625 0.28125 0.03125q0.15625 0.015625 0.328125 0.015625q0.28128052 0 0.5156555 -0.078125q0.25 -0.078125 0.46875 -0.265625q0.21875 -0.1875 0.40625 -0.484375q0.203125 -0.28125 0.390625 -0.703125l-2.3437805 -5.890625l1.1562805 0l1.484375 3.890625l0.296875 0.921875l0.34375 -0.9375l1.375 -3.875l1.125 0zm1.3632812 0l0.90625 0l0.046875 0.953125q0.25 -0.296875 0.484375 -0.5q0.25 -0.203125 0.484375 -0.328125q0.234375 -0.125 0.46875 -0.171875q0.234375 -0.046875 0.5 -0.046875q0.90625 0 1.375 0.53125q0.46875 0.53125 0.46875 1.609375l0 3.84375l-1.03125 0l0 -3.75q0 -0.703125 -0.265625 -1.03125q-0.25 -0.328125 -0.75 -0.328125q-0.1875 0 -0.375 0.0625q-0.171875 0.046875 -0.375 0.1875q-0.1875 0.140625 -0.421875 0.375q-0.21875 0.234375 -0.5 0.578125l0 3.90625l-1.015625 0l0 -5.890625zm11.175781 5.671875q-0.40625 0.15625 -0.828125 0.21875q-0.421875 0.078125 -0.859375 0.078125q-1.390625 0 -2.15625 -0.75q-0.75 -0.765625 -0.75 -2.21875q0 -0.6875 0.21875 -1.25q0.21875 -0.578125 0.609375 -0.984375q0.390625 -0.40625 0.9375 -0.625q0.546875 -0.21875 1.203125 -0.21875q0.453125 0 0.84375 0.0625q0.40625 0.0625 0.78125 0.21875l0 0.96875q-0.390625 -0.203125 -0.78125 -0.28125q-0.390625 -0.09375 -0.8125 -0.09375q-0.40625 0 -0.75 0.15625q-0.34375 0.140625 -0.609375 0.421875q-0.265625 0.28125 -0.421875 0.6875q-0.140625 0.40625 -0.140625 0.90625q0 1.0625 0.515625 1.59375q0.515625 0.53125 1.4375 0.53125q0.40625 0 0.796875 -0.09375q0.390625 -0.09375 0.765625 -0.28125l0 0.953125z" fill-rule="nonzero"/><path fill="#000000" d="m543.47235 114.413574q0 0.6875 -0.203125 1.265625q-0.1875 0.5625 -0.546875 0.96875q-0.359375 0.40625 -0.890625 0.640625q-0.515625 0.21875 -1.1875 0.21875q-0.625 0 -1.125 -0.1875q-0.5 -0.203125 -0.859375 -0.578125q-0.34375 -0.390625 -0.53125 -0.953125q-0.171875 -0.5625 -0.171875 -1.296875q0 -0.6875 0.1875 -1.25q0.1875 -0.5625 0.546875 -0.96875q0.375 -0.40625 0.890625 -0.625q0.53125 -0.234375 1.1875 -0.234375q0.625 0 1.125 0.203125q0.515625 0.1875 0.859375 0.5625q0.34375 0.375 0.53125 0.9375q0.1875 0.5625 0.1875 1.296875zm-1.046875 0.046875q0 -0.546875 -0.125 -0.953125q-0.109375 -0.40625 -0.34375 -0.671875q-0.21875 -0.28125 -0.546875 -0.421875q-0.3125 -0.140625 -0.703125 -0.140625q-0.453125 0 -0.78125 0.1875q-0.328125 0.171875 -0.53125 0.46875q-0.203125 0.296875 -0.3125 0.703125q-0.09375 0.390625 -0.09375 0.828125q0 0.546875 0.125 0.953125q0.125 0.40625 0.34375 0.6875q0.21875 0.265625 0.53125 0.40625q0.328125 0.140625 0.71875 0.140625q0.453125 0 0.78125 -0.171875q0.328125 -0.1875 0.53125 -0.484375q0.21875 -0.3125 0.3125 -0.703125q0.09375 -0.390625 0.09375 -0.828125zm2.7539062 -2.953125l0.9375 0l0.03125 1.09375q0.515625 -0.625 1.015625 -0.90625q0.515625 -0.28125 1.03125 -0.28125q0.921875 0 1.390625 0.59375q0.46875 0.578125 0.4375 1.75l-1.03125 0q0.015625 -0.765625 -0.234375 -1.109375q-0.234375 -0.359375 -0.703125 -0.359375q-0.203125 0 -0.421875 0.078125q-0.203125 0.0625 -0.421875 0.234375q-0.21875 0.15625 -0.46875 0.40625q-0.25 0.25 -0.53125 0.609375l0 3.78125l-1.03125 0l0 -5.890625z" fill-rule="nonzero"/><path fill="#38761d" d="m562.7966 115.788574q0 0.3125 -0.109375 0.5625q-0.09375 0.25 -0.28125 0.4375q-0.1875 0.1875 -0.421875 0.328125q-0.234375 0.125 -0.515625 0.21875q-0.265625 0.09375 -0.5625 0.125q-0.28125 0.046875 -0.5625 0.046875q-0.59375 0 -1.09375 -0.0625q-0.5 -0.046875 -0.984375 -0.171875l0 -0.9375q0.515625 0.15625 1.03125 0.234375q0.515625 0.078125 1.015625 0.078125q0.734375 0 1.078125 -0.203125q0.359375 -0.203125 0.359375 -0.578125q0 -0.15625 -0.0625 -0.28125q-0.046875 -0.125 -0.203125 -0.234375q-0.140625 -0.109375 -0.453125 -0.234375q-0.3125 -0.125 -0.84375 -0.28125q-0.390625 -0.125 -0.734375 -0.265625q-0.328125 -0.15625 -0.578125 -0.359375q-0.25 -0.203125 -0.390625 -0.46875q-0.140625 -0.28125 -0.140625 -0.65625q0 -0.25 0.109375 -0.546875q0.125 -0.296875 0.390625 -0.546875q0.28125 -0.25 0.75 -0.40625q0.46875 -0.171875 1.171875 -0.171875q0.34375 0 0.765625 0.046875q0.421875 0.03125 0.875 0.125l0 0.90625q-0.46875 -0.125 -0.90625 -0.171875q-0.421875 -0.0625 -0.75 -0.0625q-0.375 0 -0.640625 0.0625q-0.25 0.0625 -0.421875 0.171875q-0.15625 0.09375 -0.234375 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.171875 0.0625 0.296875q0.0625 0.125 0.21875 0.25q0.171875 0.109375 0.46875 0.234375q0.296875 0.125 0.78125 0.265625q0.53125 0.15625 0.875 0.328125q0.359375 0.15625 0.578125 0.359375q0.234375 0.203125 0.328125 0.46875q0.09375 0.25 0.09375 0.578125zm7.1757812 -4.28125l-2.0 5.265625q-0.3125 0.8125 -0.65625 1.390625q-0.328125 0.578125 -0.71875 0.953125q-0.390625 0.375 -0.859375 0.546875q-0.46875 0.171875 -1.046875 0.171875q-0.15625 0 -0.28125 -0.015625q-0.109375 0 -0.265625 0l0 -0.9375q0.125 0.015625 0.28125 0.03125q0.15625 0.015625 0.328125 0.015625q0.28125 0 0.515625 -0.078125q0.25 -0.078125 0.46875 -0.265625q0.21875 -0.1875 0.40625 -0.484375q0.203125 -0.28125 0.390625 -0.703125l-2.34375 -5.890625l1.15625 0l1.484375 3.890625l0.296875 0.921875l0.34375 -0.9375l1.375 -3.875l1.125 0zm1.3632812 0l0.90625 0l0.046875 0.953125q0.25 -0.296875 0.484375 -0.5q0.25 -0.203125 0.484375 -0.328125q0.234375 -0.125 0.46875 -0.171875q0.234375 -0.046875 0.5 -0.046875q0.90625 0 1.375 0.53125q0.46875 0.53125 0.46875 1.609375l0 3.84375l-1.03125 0l0 -3.75q0 -0.703125 -0.265625 -1.03125q-0.25 -0.328125 -0.75 -0.328125q-0.1875 0 -0.375 0.0625q-0.171875 0.046875 -0.375 0.1875q-0.1875 0.140625 -0.421875 0.375q-0.21875 0.234375 -0.5 0.578125l0 3.90625l-1.015625 0l0 -5.890625zm11.175781 5.671875q-0.40625 0.15625 -0.828125 0.21875q-0.421875 0.078125 -0.859375 0.078125q-1.390625 0 -2.15625 -0.75q-0.75 -0.765625 -0.75 -2.21875q0 -0.6875 0.21875 -1.25q0.21875 -0.578125 0.609375 -0.984375q0.390625 -0.40625 0.9375 -0.625q0.546875 -0.21875 1.203125 -0.21875q0.453125 0 0.84375 0.0625q0.40625 0.0625 0.78125 0.21875l0 0.96875q-0.390625 -0.203125 -0.78125 -0.28125q-0.390625 -0.09375 -0.8125 -0.09375q-0.40625 0 -0.75 0.15625q-0.34375 0.140625 -0.609375 0.421875q-0.265625 0.28125 -0.421875 0.6875q-0.140625 0.40625 -0.140625 0.90625q0 1.0625 0.515625 1.59375q0.515625 0.53125 1.4375 0.53125q0.40625 0 0.796875 -0.09375q0.390625 -0.09375 0.765625 -0.28125l0 0.953125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m632.0 88.0l37.44879 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m632.0 88.0l31.448792 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m663.4488 89.65173l4.538147 -1.6517334l-4.538147 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m672.0 80.0l56.0 0l0 16.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m677.2969 87.53687q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932617 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7650757 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624451 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3745117 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.9369507 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm6.1869507 2.140625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3745117 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m66.55118 96.0l37.448822 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m66.55118 96.0l31.448822 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m98.0 97.65173l4.538101 -1.6517334l-4.538101 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m-8.0 88.0l72.0 0l0 16.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m27.175507 98.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m672.0 64.0l72.0 0l0 16.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m677.2969 71.53687q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932617 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7650757 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624451 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3900757 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.890076 7.359375l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088867 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m24.0 16.0l136.0 0l0 16.0l-136.0 0z" fill-rule="evenodd"/><path fill="#666666" d="m31.953125 27.2975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.109375 -0.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm12.34375 7.078125q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm9.796875 4.0l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm9.109375 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm13.515625 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m472.0 16.0l256.0 0l0 16.0l-256.0 0z" fill-rule="evenodd"/><path fill="#666666" d="m619.9375 31.36l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.5625 -3.203125l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm7.53125 0l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm3.90625 -4.265625l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm10.46875 -6.078125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m632.0 112.0l37.44879 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m632.0 112.0l31.448792 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m663.4488 113.65173l4.538147 -1.6517334l-4.538147 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m669.4488 72.0l-37.44879 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m669.4488 72.0l-31.448792 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m638.0 70.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m672.0 104.0l72.0 0l0 16.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m674.6094 109.77125l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077576 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.0619507 -2.8125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.1557617 -1.46875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.4682007 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm10.046326 5.21875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -2.09375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm5.5463867 0.8125l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm9.108826 8.75l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m632.0 128.0l37.44879 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m632.0 128.0l31.448792 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m663.4488 129.65173l4.538147 -1.6517334l-4.538147 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m672.0 120.0l56.0 0l0 16.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m676.1875 130.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.2343826q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.5937576l-0.8125 0zm-0.09375 -2.3750076l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.18750763q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.9218826zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.6093826l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.8593826zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125076 0.4375 1.2500076q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.1406326q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088257 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.3593826l-0.921875 0l0 -5.2187576zm9.858887 5.1406326q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.7343826l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.6562576q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.7968826q0 0.6093826 -0.171875 1.1250076q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.1406326q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.48438263 0.109375 0.8437576q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.7500076z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m448.0016 90.396324l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m448.0016 90.396324l-154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m294.0 88.74459l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m448.0016 82.396324l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m448.0016 82.396324l-154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m294.0 80.74459l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m304.0 98.396324l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m323.1755 108.63632l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.655731 2.1875q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.499481 0.1875l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm6.186981 2.140625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm4.358856 0l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.796356 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.515106 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557312 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.093231 7.359375l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm6.155731 0l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm4.108856 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.968231 0.0625l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm6.202606 4.703125q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm5.468231 4.75l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/></g></svg>
\ No newline at end of file
+<svg version="1.1" viewBox="0.0 0.0 761.3779527559055 175.5564304461942" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l761.3779 0l0 175.55643l-761.3779 0l0 -175.55643z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l761.3779 0l0 175.55643l-761.3779 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m8.0 8.0l296.0 0l0 160.0l-296.0 0z" fill-rule="evenodd"/><path stroke="#999999" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m8.0 8.0l296.0 0l0 160.0l-296.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m424.0 8.0l328.0 0l0 160.0l-328.0 0z" fill-rule="evenodd"/><path stroke="#999999" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m424.0 8.0l328.0 0l0 160.0l-328.0 0z" fill-rule="evenodd"/><path fill="#c9daf8" d="m105.41732 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m105.41732 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path fill="#000000" d="m150.22429 88.23795l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" d="m176.38054 100.41358q0 0.6875 -0.203125 1.265625q-0.1875 0.5625 -0.546875 0.96875q-0.359375 0.40625 -0.890625 0.640625q-0.515625 0.21875 -1.1875 0.21875q-0.625 0 -1.125 -0.1875q-0.5 -0.203125 -0.859375 -0.578125q-0.34375 -0.390625 -0.53125 -0.953125q-0.171875 -0.5625 -0.171875 -1.296875q0 -0.6875 0.1875 -1.25q0.1875 -0.5625 0.546875 -0.96875q0.375 -0.40625 0.890625 -0.625q0.53125 -0.234375 1.1875 -0.234375q0.625 0 1.125 0.203125q0.515625 0.1875 0.859375 0.5625q0.34375 0.375 0.53125 0.9375q0.1875 0.5625 0.1875 1.296875zm-1.046875 0.046875q0 -0.546875 -0.125 -0.953125q-0.109375 -0.40625 -0.34375 -0.671875q-0.21875 -0.28125 -0.546875 -0.421875q-0.3125 -0.140625 -0.703125 -0.140625q-0.453125 0 -0.78125 0.1875q-0.328125 0.171875 -0.53125 0.46875q-0.203125 0.296875 -0.3125 0.703125q-0.09375 0.390625 -0.09375 0.828125q0 0.546875 0.125 0.953125q0.125 0.40625 0.34375 0.6875q0.21875 0.265625 0.53125 0.40625q0.328125 0.140625 0.71875 0.140625q0.453125 0 0.78125 -0.171875q0.328125 -0.1875 0.53125 -0.484375q0.21875 -0.3125 0.3125 -0.703125q0.09375 -0.390625 0.09375 -0.828125zm7.5507812 -0.109375q0 0.78125 -0.21875 1.375q-0.21875 0.578125 -0.609375 0.96875q-0.390625 0.390625 -0.921875 0.59375q-0.515625 0.1875 -1.140625 0.1875q-0.28125 0 -0.5625 -0.03125q-0.265625 -0.03125 -0.5625 -0.109375l0 2.4687424l-1.015625 0l0 -8.296867l0.90625 0l0.0625 0.984375q0.4375 -0.59375 0.9375 -0.828125q0.5 -0.25 1.078125 -0.25q0.515625 0 0.890625 0.21875q0.375 0.203125 0.625 0.59375q0.265625 0.375 0.390625 0.921875q0.140625 0.53125 0.140625 1.203125zm-1.046875 0.046875q0 -0.46875 -0.078125 -0.84375q-0.0625 -0.390625 -0.203125 -0.65625q-0.140625 -0.28125 -0.375 -0.4375q-0.234375 -0.15625 -0.546875 -0.15625q-0.1875 0 -0.390625 0.0625q-0.203125 0.0625 -0.421875 0.203125q-0.203125 0.140625 -0.4375 0.375q-0.234375 0.234375 -0.515625 0.59375l0 2.84375q0.28125 0.125 0.59375 0.203125q0.3125 0.0625 0.609375 0.0625q0.828125 0 1.296875 -0.5625q0.46875 -0.5625 0.46875 -1.6875zm7.3476562 2.921875q-0.34375 0.078125 -0.71875 0.109375q-0.359375 0.046875 -0.734375 0.046875q-1.109375 0 -1.65625 -0.5q-0.546875 -0.5 -0.546875 -1.53125l0 -3.078125l-1.640625 0l0 -0.859375l1.640625 0l0 -1.609375l1.015625 -0.265625l0 1.875l2.640625 0l0 0.859375l-2.640625 0l0 2.984375q0 0.640625 0.328125 0.953125q0.34375 0.3125 1.0 0.3125q0.28125 0 0.609375 -0.046875q0.34375 -0.046875 0.703125 -0.140625l0 0.890625zm3.8632812 -4.96875l-1.734375 0l0 -0.84375l2.765625 0l0 5.046875l1.75 0l0 0.84375l-4.703125 0l0 -0.84375l1.921875 0l0 -4.203125zm0.359375 -3.296875q0.171875 0 0.3125 0.0625q0.15625 0.046875 0.265625 0.171875q0.109375 0.109375 0.171875 0.25q0.0625 0.140625 0.0625 0.3125q0 0.15625 -0.0625 0.3125q-0.0625 0.140625 -0.171875 0.25q-0.109375 0.109375 -0.265625 0.171875q-0.140625 0.0625 -0.3125 0.0625q-0.171875 0 -0.3125 -0.0625q-0.140625 -0.0625 -0.25 -0.171875q-0.109375 -0.109375 -0.171875 -0.25q-0.0625 -0.15625 -0.0625 -0.3125q0 -0.171875 0.0625 -0.3125q0.0625 -0.140625 0.171875 -0.25q0.109375 -0.125 0.25 -0.171875q0.140625 -0.0625 0.3125 -0.0625zm9.363281 5.359375q0 0.6875 -0.203125 1.265625q-0.1875 0.5625 -0.546875 0.96875q-0.359375 0.40625 -0.890625 0.640625q-0.515625 0.21875 -1.1875 0.21875q-0.625 0 -1.125 -0.1875q-0.5 -0.203125 -0.859375 -0.578125q-0.34375 -0.390625 -0.53125 -0.953125q-0.171875 -0.5625 -0.171875 -1.296875q0 -0.6875 0.1875 -1.25q0.1875 -0.5625 0.546875 -0.96875q0.375 -0.40625 0.890625 -0.625q0.53125 -0.234375 1.1875 -0.234375q0.625 0 1.125 0.203125q0.515625 0.1875 0.859375 0.5625q0.34375 0.375 0.53125 0.9375q0.1875 0.5625 0.1875 1.296875zm-1.046875 0.046875q0 -0.546875 -0.125 -0.953125q-0.109375 -0.40625 -0.34375 -0.671875q-0.21875 -0.28125 -0.546875 -0.421875q-0.3125 -0.140625 -0.703125 -0.140625q-0.453125 0 -0.78125 0.1875q-0.328125 0.171875 -0.53125 0.46875q-0.203125 0.296875 -0.3125 0.703125q-0.09375 0.390625 -0.09375 0.828125q0 0.546875 0.125 0.953125q0.125 0.40625 0.34375 0.6875q0.21875 0.265625 0.53125 0.40625q0.328125 0.140625 0.71875 0.140625q0.453125 0 0.78125 -0.171875q0.328125 -0.1875 0.53125 -0.484375q0.21875 -0.3125 0.3125 -0.703125q0.09375 -0.390625 0.09375 -0.828125zm2.5195312 -2.953125l0.90625 0l0.046875 0.953125q0.25 -0.296875 0.484375 -0.5q0.25 -0.203125 0.484375 -0.328125q0.234375 -0.125 0.46875 -0.171875q0.234375 -0.046875 0.5 -0.046875q0.90625 0 1.375 0.53125q0.46875 0.53125 0.46875 1.609375l0 3.84375l-1.03125 0l0 -3.75q0 -0.703125 -0.265625 -1.03125q-0.25 -0.328125 -0.75 -0.328125q-0.1875 0 -0.375 0.0625q-0.171875 0.046875 -0.375 0.1875q-0.1875 0.140625 -0.421875 0.375q-0.21875 0.234375 -0.5 0.578125l0 3.90625l-1.015625 0l0 -5.890625zm11.253906 4.28125q0 0.3125 -0.109375 0.5625q-0.09375 0.25 -0.28125 0.4375q-0.1875 0.1875 -0.421875 0.328125q-0.234375 0.125 -0.515625 0.21875q-0.265625 0.09375 -0.5625 0.125q-0.28125 0.046875 -0.5625 0.046875q-0.59375 0 -1.09375 -0.0625q-0.5 -0.046875 -0.984375 -0.171875l0 -0.9375q0.515625 0.15625 1.03125 0.234375q0.515625 0.078125 1.015625 0.078125q0.734375 0 1.078125 -0.203125q0.359375 -0.203125 0.359375 -0.578125q0 -0.15625 -0.0625 -0.28125q-0.046875 -0.125 -0.203125 -0.234375q-0.140625 -0.109375 -0.453125 -0.234375q-0.3125 -0.125 -0.84375 -0.28125q-0.390625 -0.125 -0.734375 -0.265625q-0.328125 -0.15625 -0.578125 -0.359375q-0.25 -0.203125 -0.390625 -0.46875q-0.140625 -0.28125 -0.140625 -0.65625q0 -0.25 0.109375 -0.546875q0.125 -0.296875 0.390625 -0.546875q0.28125 -0.25 0.75 -0.40625q0.46875 -0.171875 1.171875 -0.171875q0.34375 0 0.765625 0.046875q0.421875 0.03125 0.875 0.125l0 0.90625q-0.46875 -0.125 -0.90625 -0.171875q-0.421875 -0.0625 -0.75 -0.0625q-0.375 0 -0.640625 0.0625q-0.25 0.0625 -0.421875 0.171875q-0.15625 0.09375 -0.234375 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.171875 0.0625 0.296875q0.0625 0.125 0.21875 0.25q0.171875 0.109375 0.46875 0.234375q0.296875 0.125 0.78125 0.265625q0.53125 0.15625 0.875 0.328125q0.359375 0.15625 0.578125 0.359375q0.234375 0.203125 0.328125 0.46875q0.09375 0.25 0.09375 0.578125zm4.3007812 -4.375q0.1875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.1875q0.125 0.125 0.1875 0.296875q0.078125 0.15625 0.078125 0.328125q0 0.1875 -0.078125 0.359375q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.296875 0.203125q-0.15625 0.0625 -0.34375 0.0625q-0.1875 0 -0.34375 -0.0625q-0.15625 -0.078125 -0.28125 -0.203125q-0.125 -0.125 -0.203125 -0.28125q-0.0625 -0.171875 -0.0625 -0.359375q0 -0.171875 0.0625 -0.328125q0.078125 -0.171875 0.203125 -0.296875q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm0 4.296875q0.1875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.1875q0.125 0.125 0.1875 0.296875q0.078125 0.15625 0.078125 0.328125q0 0.1875 -0.078125 0.359375q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.296875 0.1875q-0.15625 0.078125 -0.34375 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.1875q-0.125 -0.125 -0.203125 -0.28125q-0.0625 -0.171875 -0.0625 -0.359375q0 -0.171875 0.0625 -0.328125q0.078125 -0.171875 0.203125 -0.296875q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125z" fill-rule="nonzero"/><path fill="#ff0000" d="m158.54265 117.39795l-0.03125 -0.796875q-0.46875 0.484375 -0.96875 0.703125q-0.5 0.203125 -1.046875 0.203125q-0.5 0 -0.859375 -0.125q-0.359375 -0.140625 -0.59375 -0.359375q-0.21875 -0.234375 -0.328125 -0.53125q-0.109375 -0.3125 -0.109375 -0.671875q0 -0.875 0.65625 -1.375q0.65625 -0.5 1.953125 -0.5l1.21875 0l0 -0.515625q0 -0.53125 -0.34375 -0.84375q-0.328125 -0.3125 -1.015625 -0.3125q-0.5 0 -0.984375 0.109375q-0.484375 0.109375 -1.0 0.328125l0 -0.921875q0.203125 -0.078125 0.4375 -0.140625q0.234375 -0.0625 0.5 -0.109375q0.265625 -0.0625 0.546875 -0.09375q0.296875 -0.03125 0.578125 -0.03125q0.546875 0 0.96875 0.125q0.421875 0.109375 0.71875 0.34375q0.296875 0.234375 0.453125 0.609375q0.15625 0.359375 0.15625 0.84375l0 4.0625l-0.90625 0zm-0.109375 -2.671875l-1.296875 0q-0.375 0 -0.65625 0.078125q-0.28125 0.0625 -0.453125 0.203125q-0.171875 0.140625 -0.265625 0.34375q-0.078125 0.1875 -0.078125 0.4375q0 0.171875 0.046875 0.328125q0.0625 0.15625 0.171875 0.28125q0.125 0.109375 0.3125 0.1875q0.1875 0.0625 0.453125 0.0625q0.34375 0 0.796875 -0.203125q0.46875 -0.21875 0.96875 -0.6875l0 -1.03125zm7.5820312 1.0625q0 0.3125 -0.109375 0.5625q-0.09375 0.25 -0.28125 0.4375q-0.1875 0.1875 -0.421875 0.328125q-0.234375 0.125 -0.515625 0.21875q-0.265625 0.09375 -0.5625 0.125q-0.28125 0.046875 -0.5625 0.046875q-0.59375 0 -1.09375 -0.0625q-0.5 -0.046875 -0.984375 -0.171875l0 -0.9375q0.515625 0.15625 1.03125 0.234375q0.515625 0.078125 1.015625 0.078125q0.734375 0 1.078125 -0.203125q0.359375 -0.203125 0.359375 -0.578125q0 -0.15625 -0.0625 -0.28125q-0.046875 -0.125 -0.203125 -0.234375q-0.140625 -0.109375 -0.453125 -0.234375q-0.3125 -0.125 -0.84375 -0.28125q-0.390625 -0.125 -0.734375 -0.265625q-0.328125 -0.15625 -0.578125 -0.359375q-0.25 -0.203125 -0.390625 -0.46875q-0.140625 -0.28125 -0.140625 -0.65625q0 -0.25 0.109375 -0.546875q0.125 -0.296875 0.390625 -0.546875q0.28125 -0.25 0.75 -0.40625q0.46875 -0.171875 1.171875 -0.171875q0.34375 0 0.765625 0.046875q0.421875 0.03125 0.875 0.125l0 0.90625q-0.46875 -0.125 -0.90625 -0.171875q-0.421875 -0.0625 -0.75 -0.0625q-0.375 0 -0.640625 0.0625q-0.25 0.0625 -0.421875 0.171875q-0.15625 0.09375 -0.234375 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.171875 0.0625 0.296875q0.0625 0.125 0.21875 0.25q0.171875 0.109375 0.46875 0.234375q0.296875 0.125 0.78125 0.265625q0.53125 0.15625 0.875 0.328125q0.359375 0.15625 0.578125 0.359375q0.234375 0.203125 0.328125 0.46875q0.09375 0.25 0.09375 0.578125zm7.1757812 -4.28125l-2.0 5.265625q-0.3125 0.8125 -0.65625 1.390625q-0.328125 0.578125 -0.71875 0.953125q-0.390625 0.375 -0.859375 0.546875q-0.46875 0.171875 -1.046875 0.171875q-0.15625 0 -0.28125 -0.015625q-0.109375 0 -0.265625 0l0 -0.9375q0.125 0.015625 0.28125 0.03125q0.15625 0.015625 0.328125 0.015625q0.28125 0 0.515625 -0.078125q0.25 -0.078125 0.46875 -0.265625q0.21875 -0.1875 0.40625 -0.484375q0.203125 -0.28125 0.390625 -0.703125l-2.34375 -5.890625l1.15625 0l1.484375 3.890625l0.296875 0.921875l0.34375 -0.9375l1.375 -3.875l1.125 0zm1.3632812 0l0.90625 0l0.046875 0.953125q0.25 -0.296875 0.484375 -0.5q0.25 -0.203125 0.484375 -0.328125q0.234375 -0.125 0.46875 -0.171875q0.234375 -0.046875 0.5 -0.046875q0.90625 0 1.375 0.53125q0.46875 0.53125 0.46875 1.609375l0 3.84375l-1.03125 0l0 -3.75q0 -0.703125 -0.265625 -1.03125q-0.25 -0.328125 -0.75 -0.328125q-0.1875 0 -0.375 0.0625q-0.171875 0.046875 -0.375 0.1875q-0.1875 0.140625 -0.421875 0.375q-0.21875 0.234375 -0.5 0.578125l0 3.90625l-1.015625 0l0 -5.890625zm11.175781 5.671875q-0.40625 0.15625 -0.828125 0.21875q-0.421875 0.078125 -0.859375 0.078125q-1.390625 0 -2.15625 -0.75q-0.75 -0.765625 -0.75 -2.21875q0 -0.6875 0.21875 -1.25q0.21875 -0.578125 0.609375 -0.984375q0.390625 -0.40625 0.9375 -0.625q0.546875 -0.21875 1.203125 -0.21875q0.453125 0 0.84375 0.0625q0.40625 0.0625 0.78125 0.21875l0 0.96875q-0.390625 -0.203125 -0.78125 -0.28125q-0.390625 -0.09375 -0.8125 -0.09375q-0.40625 0 -0.75 0.15625q-0.34375 0.140625 -0.609375 0.421875q-0.265625 0.28125 -0.421875 0.6875q-0.140625 0.40625 -0.140625 0.90625q0 1.0625 0.515625 1.59375q0.515625 0.53125 1.4375 0.53125q0.40625 0 0.796875 -0.09375q0.390625 -0.09375 0.765625 -0.28125l0 0.953125z" fill-rule="nonzero"/><path fill="#000000" d="m199.47234 114.413574q0 0.6875 -0.203125 1.265625q-0.1875 0.5625 -0.546875 0.96875q-0.359375 0.40625 -0.890625 0.640625q-0.515625 0.21875 -1.1875 0.21875q-0.625 0 -1.125 -0.1875q-0.5 -0.203125 -0.859375 -0.578125q-0.34375 -0.390625 -0.53125 -0.953125q-0.171875 -0.5625 -0.171875 -1.296875q0 -0.6875 0.1875 -1.25q0.1875 -0.5625 0.546875 -0.96875q0.375 -0.40625 0.890625 -0.625q0.53125 -0.234375 1.1875 -0.234375q0.625 0 1.125 0.203125q0.515625 0.1875 0.859375 0.5625q0.34375 0.375 0.53125 0.9375q0.1875 0.5625 0.1875 1.296875zm-1.046875 0.046875q0 -0.546875 -0.125 -0.953125q-0.109375 -0.40625 -0.34375 -0.671875q-0.21875 -0.28125 -0.546875 -0.421875q-0.3125 -0.140625 -0.703125 -0.140625q-0.453125 0 -0.78125 0.1875q-0.328125 0.171875 -0.53125 0.46875q-0.203125 0.296875 -0.3125 0.703125q-0.09375 0.390625 -0.09375 0.828125q0 0.546875 0.125 0.953125q0.125 0.40625 0.34375 0.6875q0.21875 0.265625 0.53125 0.40625q0.328125 0.140625 0.71875 0.140625q0.453125 0 0.78125 -0.171875q0.328125 -0.1875 0.53125 -0.484375q0.21875 -0.3125 0.3125 -0.703125q0.09375 -0.390625 0.09375 -0.828125zm2.7539062 -2.953125l0.9375 0l0.03125 1.09375q0.515625 -0.625 1.015625 -0.90625q0.515625 -0.28125 1.03125 -0.28125q0.921875 0 1.390625 0.59375q0.46875 0.578125 0.4375 1.75l-1.03125 0q0.015625 -0.765625 -0.234375 -1.109375q-0.234375 -0.359375 -0.703125 -0.359375q-0.203125 0 -0.421875 0.078125q-0.203125 0.0625 -0.421875 0.234375q-0.21875 0.15625 -0.46875 0.40625q-0.25 0.25 -0.53125 0.609375l0 3.78125l-1.03125 0l0 -5.890625z" fill-rule="nonzero"/><path fill="#38761d" d="m218.79655 115.788574q0 0.3125 -0.109375 0.5625q-0.09375 0.25 -0.28125 0.4375q-0.1875 0.1875 -0.421875 0.328125q-0.234375 0.125 -0.515625 0.21875q-0.265625 0.09375 -0.5625 0.125q-0.28125 0.046875 -0.5625 0.046875q-0.59375 0 -1.09375 -0.0625q-0.5 -0.046875 -0.984375 -0.171875l0 -0.9375q0.515625 0.15625 1.03125 0.234375q0.515625 0.078125 1.015625 0.078125q0.734375 0 1.078125 -0.203125q0.359375 -0.203125 0.359375 -0.578125q0 -0.15625 -0.0625 -0.28125q-0.046875 -0.125 -0.203125 -0.234375q-0.140625 -0.109375 -0.453125 -0.234375q-0.3125 -0.125 -0.84375 -0.28125q-0.390625 -0.125 -0.734375 -0.265625q-0.328125 -0.15625 -0.578125 -0.359375q-0.25 -0.203125 -0.390625 -0.46875q-0.140625 -0.28125 -0.140625 -0.65625q0 -0.25 0.109375 -0.546875q0.125 -0.296875 0.390625 -0.546875q0.28125 -0.25 0.75 -0.40625q0.46875 -0.171875 1.171875 -0.171875q0.34375 0 0.765625 0.046875q0.421875 0.03125 0.875 0.125l0 0.90625q-0.46875 -0.125 -0.90625 -0.171875q-0.421875 -0.0625 -0.75 -0.0625q-0.375 0 -0.640625 0.0625q-0.25 0.0625 -0.421875 0.171875q-0.15625 0.09375 -0.234375 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.171875 0.0625 0.296875q0.0625 0.125 0.21875 0.25q0.171875 0.109375 0.46875 0.234375q0.296875 0.125 0.78125 0.265625q0.53125 0.15625 0.875 0.328125q0.359375 0.15625 0.578125 0.359375q0.234375 0.203125 0.328125 0.46875q0.09375 0.25 0.09375 0.578125zm7.1757812 -4.28125l-2.0 5.265625q-0.3125 0.8125 -0.65625 1.390625q-0.328125 0.578125 -0.71875 0.953125q-0.390625 0.375 -0.859375 0.546875q-0.46875 0.171875 -1.046875 0.171875q-0.15625 0 -0.28125 -0.015625q-0.109375 0 -0.265625 0l0 -0.9375q0.125 0.015625 0.28125 0.03125q0.15625 0.015625 0.328125 0.015625q0.28125 0 0.515625 -0.078125q0.25 -0.078125 0.46875 -0.265625q0.21875 -0.1875 0.40625 -0.484375q0.203125 -0.28125 0.390625 -0.703125l-2.34375 -5.890625l1.15625 0l1.484375 3.890625l0.296875 0.921875l0.34375 -0.9375l1.375 -3.875l1.125 0zm1.3632812 0l0.90625 0l0.046875 0.953125q0.25 -0.296875 0.484375 -0.5q0.25 -0.203125 0.484375 -0.328125q0.234375 -0.125 0.46875 -0.171875q0.234375 -0.046875 0.5 -0.046875q0.90625 0 1.375 0.53125q0.46875 0.53125 0.46875 1.609375l0 3.84375l-1.03125 0l0 -3.75q0 -0.703125 -0.265625 -1.03125q-0.25 -0.328125 -0.75 -0.328125q-0.1875 0 -0.375 0.0625q-0.171875 0.046875 -0.375 0.1875q-0.1875 0.140625 -0.421875 0.375q-0.21875 0.234375 -0.5 0.578125l0 3.90625l-1.015625 0l0 -5.890625zm11.175781 5.671875q-0.40625 0.15625 -0.828125 0.21875q-0.421875 0.078125 -0.859375 0.078125q-1.390625 0 -2.15625 -0.75q-0.75 -0.765625 -0.75 -2.21875q0 -0.6875 0.21875 -1.25q0.21875 -0.578125 0.609375 -0.984375q0.390625 -0.40625 0.9375 -0.625q0.546875 -0.21875 1.203125 -0.21875q0.453125 0 0.84375 0.0625q0.40625 0.0625 0.78125 0.21875l0 0.96875q-0.390625 -0.203125 -0.78125 -0.28125q-0.390625 -0.09375 -0.8125 -0.09375q-0.40625 0 -0.75 0.15625q-0.34375 0.140625 -0.609375 0.421875q-0.265625 0.28125 -0.421875 0.6875q-0.140625 0.40625 -0.140625 0.90625q0 1.0625 0.515625 1.59375q0.515625 0.53125 1.4375 0.53125q0.40625 0 0.796875 -0.09375q0.390625 -0.09375 0.765625 -0.28125l0 0.953125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m288.0 58.396324l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m288.0 58.396324l154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m442.0016 60.048058l4.5381165 -1.6517334l-4.5381165 -1.6517296z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m288.0 66.396324l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m288.0 66.396324l154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m442.0016 68.04806l4.5381165 -1.6517334l-4.5381165 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m448.0016 138.39633l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m448.0016 138.39633l-154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m294.0 136.7446l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m448.0016 130.39633l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m448.0016 130.39633l-154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m294.0 128.7446l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m304.0 146.39633l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m314.3872 156.63632l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm1.0463257 -7.359375l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.358887 5.21875l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm3.2025757 -1.640625q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm8.265137 -1.0625q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624451 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5151367 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557007 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m309.00525 40.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m316.46304 50.239998l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.358856 0.078125l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm3.2026062 -1.640625q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm7.155731 1.640625l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.296356 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.515106 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557312 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m449.41733 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m449.41733 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path fill="#000000" d="m485.4274 88.23795l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.2187805 0 1.8437805 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.29690552 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.7969055 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" d="m520.38055 100.41358q0 0.6875 -0.203125 1.265625q-0.1875 0.5625 -0.546875 0.96875q-0.359375 0.40625 -0.890625 0.640625q-0.515625 0.21875 -1.1875 0.21875q-0.625 0 -1.125 -0.1875q-0.5 -0.203125 -0.859375 -0.578125q-0.34375 -0.390625 -0.53125 -0.953125q-0.171875 -0.5625 -0.171875 -1.296875q0 -0.6875 0.1875 -1.25q0.1875 -0.5625 0.546875 -0.96875q0.375 -0.40625 0.890625 -0.625q0.53125 -0.234375 1.1875 -0.234375q0.625 0 1.125 0.203125q0.515625 0.1875 0.859375 0.5625q0.34375 0.375 0.53125 0.9375q0.1875 0.5625 0.1875 1.296875zm-1.046875 0.046875q0 -0.546875 -0.125 -0.953125q-0.109375 -0.40625 -0.34375 -0.671875q-0.21875 -0.28125 -0.546875 -0.421875q-0.3125 -0.140625 -0.703125 -0.140625q-0.453125 0 -0.78125 0.1875q-0.328125 0.171875 -0.53125 0.46875q-0.203125 0.296875 -0.3125 0.703125q-0.09375 0.390625 -0.09375 0.828125q0 0.546875 0.125 0.953125q0.125 0.40625 0.34375 0.6875q0.21875 0.265625 0.53125 0.40625q0.328125 0.140625 0.71875 0.140625q0.453125 0 0.78125 -0.171875q0.328125 -0.1875 0.53125 -0.484375q0.21875 -0.3125 0.3125 -0.703125q0.09375 -0.390625 0.09375 -0.828125zm7.5507812 -0.109375q0 0.78125 -0.21875 1.375q-0.21875 0.578125 -0.609375 0.96875q-0.390625 0.390625 -0.921875 0.59375q-0.515625 0.1875 -1.140625 0.1875q-0.28125 0 -0.5625 -0.03125q-0.265625 -0.03125 -0.5625 -0.109375l0 2.4687424l-1.015625 0l0 -8.296867l0.90625 0l0.0625 0.984375q0.4375 -0.59375 0.9375 -0.828125q0.5 -0.25 1.078125 -0.25q0.515625 0 0.890625 0.21875q0.375 0.203125 0.625 0.59375q0.265625 0.375 0.390625 0.921875q0.140625 0.53125 0.140625 1.203125zm-1.046875 0.046875q0 -0.46875 -0.078125 -0.84375q-0.0625 -0.390625 -0.203125 -0.65625q-0.140625 -0.28125 -0.375 -0.4375q-0.234375 -0.15625 -0.546875 -0.15625q-0.1875 0 -0.390625 0.0625q-0.203125 0.0625 -0.421875 0.203125q-0.203125 0.140625 -0.4375 0.375q-0.234375 0.234375 -0.515625 0.59375l0 2.84375q0.28125 0.125 0.59375 0.203125q0.3125 0.0625 0.609375 0.0625q0.828125 0 1.296875 -0.5625q0.46875 -0.5625 0.46875 -1.6875zm7.3476562 2.921875q-0.34375 0.078125 -0.71875 0.109375q-0.359375 0.046875 -0.734375 0.046875q-1.109375 0 -1.65625 -0.5q-0.546875 -0.5 -0.546875 -1.53125l0 -3.078125l-1.640625 0l0 -0.859375l1.640625 0l0 -1.609375l1.015625 -0.265625l0 1.875l2.640625 0l0 0.859375l-2.640625 0l0 2.984375q0 0.640625 0.328125 0.953125q0.34375 0.3125 1.0 0.3125q0.28125 0 0.609375 -0.046875q0.34375 -0.046875 0.703125 -0.140625l0 0.890625zm3.8632812 -4.96875l-1.734375 0l0 -0.84375l2.765625 0l0 5.046875l1.75 0l0 0.84375l-4.703125 0l0 -0.84375l1.921875 0l0 -4.203125zm0.359375 -3.296875q0.171875 0 0.3125 0.0625q0.15625 0.046875 0.265625 0.171875q0.109375 0.109375 0.171875 0.25q0.0625 0.140625 0.0625 0.3125q0 0.15625 -0.0625 0.3125q-0.0625 0.140625 -0.171875 0.25q-0.109375 0.109375 -0.265625 0.171875q-0.140625 0.0625 -0.3125 0.0625q-0.171875 0 -0.3125 -0.0625q-0.140625 -0.0625 -0.25 -0.171875q-0.109375 -0.109375 -0.171875 -0.25q-0.0625 -0.15625 -0.0625 -0.3125q0 -0.171875 0.0625 -0.3125q0.0625 -0.140625 0.171875 -0.25q0.109375 -0.125 0.25 -0.171875q0.140625 -0.0625 0.3125 -0.0625zm9.363281 5.359375q0 0.6875 -0.203125 1.265625q-0.1875 0.5625 -0.546875 0.96875q-0.359375 0.40625 -0.890625 0.640625q-0.515625 0.21875 -1.1875 0.21875q-0.625 0 -1.125 -0.1875q-0.5 -0.203125 -0.859375 -0.578125q-0.34375 -0.390625 -0.53125 -0.953125q-0.171875 -0.5625 -0.171875 -1.296875q0 -0.6875 0.1875 -1.25q0.1875 -0.5625 0.546875 -0.96875q0.375 -0.40625 0.890625 -0.625q0.53125 -0.234375 1.1875 -0.234375q0.625 0 1.125 0.203125q0.515625 0.1875 0.859375 0.5625q0.34375 0.375 0.53125 0.9375q0.1875 0.5625 0.1875 1.296875zm-1.046875 0.046875q0 -0.546875 -0.125 -0.953125q-0.109375 -0.40625 -0.34375 -0.671875q-0.21875 -0.28125 -0.546875 -0.421875q-0.3125 -0.140625 -0.703125 -0.140625q-0.453125 0 -0.78125 0.1875q-0.328125 0.171875 -0.53125 0.46875q-0.203125 0.296875 -0.3125 0.703125q-0.09375 0.390625 -0.09375 0.828125q0 0.546875 0.125 0.953125q0.125 0.40625 0.34375 0.6875q0.21875 0.265625 0.53125 0.40625q0.328125 0.140625 0.71875 0.140625q0.453125 0 0.78125 -0.171875q0.328125 -0.1875 0.53125 -0.484375q0.21875 -0.3125 0.3125 -0.703125q0.09375 -0.390625 0.09375 -0.828125zm2.5195312 -2.953125l0.90625 0l0.046875 0.953125q0.25 -0.296875 0.484375 -0.5q0.25 -0.203125 0.484375 -0.328125q0.234375 -0.125 0.46875 -0.171875q0.234375 -0.046875 0.5 -0.046875q0.90625 0 1.375 0.53125q0.46875 0.53125 0.46875 1.609375l0 3.84375l-1.03125 0l0 -3.75q0 -0.703125 -0.265625 -1.03125q-0.25 -0.328125 -0.75 -0.328125q-0.1875 0 -0.375 0.0625q-0.171875 0.046875 -0.375 0.1875q-0.1875 0.140625 -0.421875 0.375q-0.21875 0.234375 -0.5 0.578125l0 3.90625l-1.015625 0l0 -5.890625zm11.253906 4.28125q0 0.3125 -0.109375 0.5625q-0.09375 0.25 -0.28125 0.4375q-0.1875 0.1875 -0.421875 0.328125q-0.234375 0.125 -0.515625 0.21875q-0.265625 0.09375 -0.5625 0.125q-0.28125 0.046875 -0.5625 0.046875q-0.59375 0 -1.09375 -0.0625q-0.5 -0.046875 -0.984375 -0.171875l0 -0.9375q0.515625 0.15625 1.03125 0.234375q0.515625 0.078125 1.015625 0.078125q0.734375 0 1.078125 -0.203125q0.359375 -0.203125 0.359375 -0.578125q0 -0.15625 -0.0625 -0.28125q-0.046875 -0.125 -0.203125 -0.234375q-0.140625 -0.109375 -0.453125 -0.234375q-0.3125 -0.125 -0.84375 -0.28125q-0.390625 -0.125 -0.734375 -0.265625q-0.328125 -0.15625 -0.578125 -0.359375q-0.25 -0.203125 -0.390625 -0.46875q-0.140625 -0.28125 -0.140625 -0.65625q0 -0.25 0.109375 -0.546875q0.125 -0.296875 0.390625 -0.546875q0.28125 -0.25 0.75 -0.40625q0.46875 -0.171875 1.171875 -0.171875q0.34375 0 0.765625 0.046875q0.421875 0.03125 0.875 0.125l0 0.90625q-0.46875 -0.125 -0.90625 -0.171875q-0.421875 -0.0625 -0.75 -0.0625q-0.375 0 -0.640625 0.0625q-0.25 0.0625 -0.421875 0.171875q-0.15625 0.09375 -0.234375 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.171875 0.0625 0.296875q0.0625 0.125 0.21875 0.25q0.171875 0.109375 0.46875 0.234375q0.296875 0.125 0.78125 0.265625q0.53125 0.15625 0.875 0.328125q0.359375 0.15625 0.578125 0.359375q0.234375 0.203125 0.328125 0.46875q0.09375 0.25 0.09375 0.578125zm4.3007812 -4.375q0.1875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.1875q0.125 0.125 0.1875 0.296875q0.078125 0.15625 0.078125 0.328125q0 0.1875 -0.078125 0.359375q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.296875 0.203125q-0.15625 0.0625 -0.34375 0.0625q-0.1875 0 -0.34375 -0.0625q-0.15625 -0.078125 -0.28125 -0.203125q-0.125 -0.125 -0.203125 -0.28125q-0.0625 -0.171875 -0.0625 -0.359375q0 -0.171875 0.0625 -0.328125q0.078125 -0.171875 0.203125 -0.296875q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm0 4.296875q0.1875 0 0.34375 0.078125q0.171875 0.0625 0.296875 0.1875q0.125 0.125 0.1875 0.296875q0.078125 0.15625 0.078125 0.328125q0 0.1875 -0.078125 0.359375q-0.0625 0.15625 -0.1875 0.28125q-0.125 0.125 -0.296875 0.1875q-0.15625 0.078125 -0.34375 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.1875q-0.125 -0.125 -0.203125 -0.28125q-0.0625 -0.171875 -0.0625 -0.359375q0 -0.171875 0.0625 -0.328125q0.078125 -0.171875 0.203125 -0.296875q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125z" fill-rule="nonzero"/><path fill="#ff0000" d="m502.54263 117.39795l-0.03125 -0.796875q-0.46875 0.484375 -0.96875 0.703125q-0.5 0.203125 -1.046875 0.203125q-0.5 0 -0.859375 -0.125q-0.359375 -0.140625 -0.59375 -0.359375q-0.21875 -0.234375 -0.328125 -0.53125q-0.109375 -0.3125 -0.109375 -0.671875q0 -0.875 0.65625 -1.375q0.65625 -0.5 1.953125 -0.5l1.21875 0l0 -0.515625q0 -0.53125 -0.34375 -0.84375q-0.328125 -0.3125 -1.015625 -0.3125q-0.5 0 -0.984375 0.109375q-0.484375 0.109375 -1.0 0.328125l0 -0.921875q0.203125 -0.078125 0.4375 -0.140625q0.234375 -0.0625 0.5 -0.109375q0.265625 -0.0625 0.546875 -0.09375q0.296875 -0.03125 0.578125 -0.03125q0.546875 0 0.96875 0.125q0.421875 0.109375 0.71875 0.34375q0.296875 0.234375 0.453125 0.609375q0.15625 0.359375 0.15625 0.84375l0 4.0625l-0.90625 0zm-0.109375 -2.671875l-1.296875 0q-0.375 0 -0.65625 0.078125q-0.28125 0.0625 -0.453125 0.203125q-0.171875 0.140625 -0.265625 0.34375q-0.078125 0.1875 -0.078125 0.4375q0 0.171875 0.046875 0.328125q0.0625 0.15625 0.171875 0.28125q0.125 0.109375 0.3125 0.1875q0.1875 0.0625 0.453125 0.0625q0.34375 0 0.796875 -0.203125q0.46875 -0.21875 0.96875 -0.6875l0 -1.03125zm7.5820312 1.0625q0 0.3125 -0.109375 0.5625q-0.09375 0.25 -0.28125 0.4375q-0.1875 0.1875 -0.421875 0.328125q-0.234375 0.125 -0.515625 0.21875q-0.265625 0.09375 -0.5625 0.125q-0.28125 0.046875 -0.5625 0.046875q-0.59375 0 -1.09375 -0.0625q-0.5 -0.046875 -0.984375 -0.171875l0 -0.9375q0.515625 0.15625 1.03125 0.234375q0.515625 0.078125 1.015625 0.078125q0.734375 0 1.078125 -0.203125q0.359375 -0.203125 0.359375 -0.578125q0 -0.15625 -0.0625 -0.28125q-0.046875 -0.125 -0.203125 -0.234375q-0.140625 -0.109375 -0.453125 -0.234375q-0.3125 -0.125 -0.84375 -0.28125q-0.390625 -0.125 -0.734375 -0.265625q-0.328125 -0.15625 -0.578125 -0.359375q-0.25 -0.203125 -0.390625 -0.46875q-0.140625 -0.28125 -0.140625 -0.65625q0 -0.25 0.109375 -0.546875q0.125 -0.296875 0.390625 -0.546875q0.28125 -0.25 0.75 -0.40625q0.46875 -0.171875 1.171875 -0.171875q0.34375 0 0.765625 0.046875q0.421875 0.03125 0.875 0.125l0 0.90625q-0.46875 -0.125 -0.90625 -0.171875q-0.421875 -0.0625 -0.75 -0.0625q-0.375 0 -0.640625 0.0625q-0.25 0.0625 -0.421875 0.171875q-0.15625 0.09375 -0.234375 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.171875 0.0625 0.296875q0.0625 0.125 0.21875 0.25q0.171875 0.109375 0.46875 0.234375q0.296875 0.125 0.78125 0.265625q0.53125 0.15625 0.875 0.328125q0.359375 0.15625 0.578125 0.359375q0.234375 0.203125 0.328125 0.46875q0.09375 0.25 0.09375 0.578125zm7.175812 -4.28125l-2.0 5.265625q-0.3125 0.8125 -0.65625 1.390625q-0.328125 0.578125 -0.71875 0.953125q-0.390625 0.375 -0.859375 0.546875q-0.46875 0.171875 -1.0469055 0.171875q-0.15625 0 -0.28125 -0.015625q-0.109375 0 -0.265625 0l0 -0.9375q0.125 0.015625 0.28125 0.03125q0.15625 0.015625 0.328125 0.015625q0.28128052 0 0.5156555 -0.078125q0.25 -0.078125 0.46875 -0.265625q0.21875 -0.1875 0.40625 -0.484375q0.203125 -0.28125 0.390625 -0.703125l-2.3437805 -5.890625l1.1562805 0l1.484375 3.890625l0.296875 0.921875l0.34375 -0.9375l1.375 -3.875l1.125 0zm1.3632812 0l0.90625 0l0.046875 0.953125q0.25 -0.296875 0.484375 -0.5q0.25 -0.203125 0.484375 -0.328125q0.234375 -0.125 0.46875 -0.171875q0.234375 -0.046875 0.5 -0.046875q0.90625 0 1.375 0.53125q0.46875 0.53125 0.46875 1.609375l0 3.84375l-1.03125 0l0 -3.75q0 -0.703125 -0.265625 -1.03125q-0.25 -0.328125 -0.75 -0.328125q-0.1875 0 -0.375 0.0625q-0.171875 0.046875 -0.375 0.1875q-0.1875 0.140625 -0.421875 0.375q-0.21875 0.234375 -0.5 0.578125l0 3.90625l-1.015625 0l0 -5.890625zm11.175781 5.671875q-0.40625 0.15625 -0.828125 0.21875q-0.421875 0.078125 -0.859375 0.078125q-1.390625 0 -2.15625 -0.75q-0.75 -0.765625 -0.75 -2.21875q0 -0.6875 0.21875 -1.25q0.21875 -0.578125 0.609375 -0.984375q0.390625 -0.40625 0.9375 -0.625q0.546875 -0.21875 1.203125 -0.21875q0.453125 0 0.84375 0.0625q0.40625 0.0625 0.78125 0.21875l0 0.96875q-0.390625 -0.203125 -0.78125 -0.28125q-0.390625 -0.09375 -0.8125 -0.09375q-0.40625 0 -0.75 0.15625q-0.34375 0.140625 -0.609375 0.421875q-0.265625 0.28125 -0.421875 0.6875q-0.140625 0.40625 -0.140625 0.90625q0 1.0625 0.515625 1.59375q0.515625 0.53125 1.4375 0.53125q0.40625 0 0.796875 -0.09375q0.390625 -0.09375 0.765625 -0.28125l0 0.953125z" fill-rule="nonzero"/><path fill="#000000" d="m543.47235 114.413574q0 0.6875 -0.203125 1.265625q-0.1875 0.5625 -0.546875 0.96875q-0.359375 0.40625 -0.890625 0.640625q-0.515625 0.21875 -1.1875 0.21875q-0.625 0 -1.125 -0.1875q-0.5 -0.203125 -0.859375 -0.578125q-0.34375 -0.390625 -0.53125 -0.953125q-0.171875 -0.5625 -0.171875 -1.296875q0 -0.6875 0.1875 -1.25q0.1875 -0.5625 0.546875 -0.96875q0.375 -0.40625 0.890625 -0.625q0.53125 -0.234375 1.1875 -0.234375q0.625 0 1.125 0.203125q0.515625 0.1875 0.859375 0.5625q0.34375 0.375 0.53125 0.9375q0.1875 0.5625 0.1875 1.296875zm-1.046875 0.046875q0 -0.546875 -0.125 -0.953125q-0.109375 -0.40625 -0.34375 -0.671875q-0.21875 -0.28125 -0.546875 -0.421875q-0.3125 -0.140625 -0.703125 -0.140625q-0.453125 0 -0.78125 0.1875q-0.328125 0.171875 -0.53125 0.46875q-0.203125 0.296875 -0.3125 0.703125q-0.09375 0.390625 -0.09375 0.828125q0 0.546875 0.125 0.953125q0.125 0.40625 0.34375 0.6875q0.21875 0.265625 0.53125 0.40625q0.328125 0.140625 0.71875 0.140625q0.453125 0 0.78125 -0.171875q0.328125 -0.1875 0.53125 -0.484375q0.21875 -0.3125 0.3125 -0.703125q0.09375 -0.390625 0.09375 -0.828125zm2.7539062 -2.953125l0.9375 0l0.03125 1.09375q0.515625 -0.625 1.015625 -0.90625q0.515625 -0.28125 1.03125 -0.28125q0.921875 0 1.390625 0.59375q0.46875 0.578125 0.4375 1.75l-1.03125 0q0.015625 -0.765625 -0.234375 -1.109375q-0.234375 -0.359375 -0.703125 -0.359375q-0.203125 0 -0.421875 0.078125q-0.203125 0.0625 -0.421875 0.234375q-0.21875 0.15625 -0.46875 0.40625q-0.25 0.25 -0.53125 0.609375l0 3.78125l-1.03125 0l0 -5.890625z" fill-rule="nonzero"/><path fill="#38761d" d="m562.7966 115.788574q0 0.3125 -0.109375 0.5625q-0.09375 0.25 -0.28125 0.4375q-0.1875 0.1875 -0.421875 0.328125q-0.234375 0.125 -0.515625 0.21875q-0.265625 0.09375 -0.5625 0.125q-0.28125 0.046875 -0.5625 0.046875q-0.59375 0 -1.09375 -0.0625q-0.5 -0.046875 -0.984375 -0.171875l0 -0.9375q0.515625 0.15625 1.03125 0.234375q0.515625 0.078125 1.015625 0.078125q0.734375 0 1.078125 -0.203125q0.359375 -0.203125 0.359375 -0.578125q0 -0.15625 -0.0625 -0.28125q-0.046875 -0.125 -0.203125 -0.234375q-0.140625 -0.109375 -0.453125 -0.234375q-0.3125 -0.125 -0.84375 -0.28125q-0.390625 -0.125 -0.734375 -0.265625q-0.328125 -0.15625 -0.578125 -0.359375q-0.25 -0.203125 -0.390625 -0.46875q-0.140625 -0.28125 -0.140625 -0.65625q0 -0.25 0.109375 -0.546875q0.125 -0.296875 0.390625 -0.546875q0.28125 -0.25 0.75 -0.40625q0.46875 -0.171875 1.171875 -0.171875q0.34375 0 0.765625 0.046875q0.421875 0.03125 0.875 0.125l0 0.90625q-0.46875 -0.125 -0.90625 -0.171875q-0.421875 -0.0625 -0.75 -0.0625q-0.375 0 -0.640625 0.0625q-0.25 0.0625 -0.421875 0.171875q-0.15625 0.09375 -0.234375 0.234375q-0.0625 0.125 -0.0625 0.28125q0 0.171875 0.0625 0.296875q0.0625 0.125 0.21875 0.25q0.171875 0.109375 0.46875 0.234375q0.296875 0.125 0.78125 0.265625q0.53125 0.15625 0.875 0.328125q0.359375 0.15625 0.578125 0.359375q0.234375 0.203125 0.328125 0.46875q0.09375 0.25 0.09375 0.578125zm7.1757812 -4.28125l-2.0 5.265625q-0.3125 0.8125 -0.65625 1.390625q-0.328125 0.578125 -0.71875 0.953125q-0.390625 0.375 -0.859375 0.546875q-0.46875 0.171875 -1.046875 0.171875q-0.15625 0 -0.28125 -0.015625q-0.109375 0 -0.265625 0l0 -0.9375q0.125 0.015625 0.28125 0.03125q0.15625 0.015625 0.328125 0.015625q0.28125 0 0.515625 -0.078125q0.25 -0.078125 0.46875 -0.265625q0.21875 -0.1875 0.40625 -0.484375q0.203125 -0.28125 0.390625 -0.703125l-2.34375 -5.890625l1.15625 0l1.484375 3.890625l0.296875 0.921875l0.34375 -0.9375l1.375 -3.875l1.125 0zm1.3632812 0l0.90625 0l0.046875 0.953125q0.25 -0.296875 0.484375 -0.5q0.25 -0.203125 0.484375 -0.328125q0.234375 -0.125 0.46875 -0.171875q0.234375 -0.046875 0.5 -0.046875q0.90625 0 1.375 0.53125q0.46875 0.53125 0.46875 1.609375l0 3.84375l-1.03125 0l0 -3.75q0 -0.703125 -0.265625 -1.03125q-0.25 -0.328125 -0.75 -0.328125q-0.1875 0 -0.375 0.0625q-0.171875 0.046875 -0.375 0.1875q-0.1875 0.140625 -0.421875 0.375q-0.21875 0.234375 -0.5 0.578125l0 3.90625l-1.015625 0l0 -5.890625zm11.175781 5.671875q-0.40625 0.15625 -0.828125 0.21875q-0.421875 0.078125 -0.859375 0.078125q-1.390625 0 -2.15625 -0.75q-0.75 -0.765625 -0.75 -2.21875q0 -0.6875 0.21875 -1.25q0.21875 -0.578125 0.609375 -0.984375q0.390625 -0.40625 0.9375 -0.625q0.546875 -0.21875 1.203125 -0.21875q0.453125 0 0.84375 0.0625q0.40625 0.0625 0.78125 0.21875l0 0.96875q-0.390625 -0.203125 -0.78125 -0.28125q-0.390625 -0.09375 -0.8125 -0.09375q-0.40625 0 -0.75 0.15625q-0.34375 0.140625 -0.609375 0.421875q-0.265625 0.28125 -0.421875 0.6875q-0.140625 0.40625 -0.140625 0.90625q0 1.0625 0.515625 1.59375q0.515625 0.53125 1.4375 0.53125q0.40625 0 0.796875 -0.09375q0.390625 -0.09375 0.765625 -0.28125l0 0.953125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m632.0 88.0l37.44879 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m632.0 88.0l31.448792 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m663.4488 89.65173l4.538147 -1.6517334l-4.538147 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m672.0 80.0l56.0 0l0 16.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m677.2969 87.53687q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932617 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7650757 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624451 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3745117 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.9369507 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm6.1869507 2.140625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3745117 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m66.55118 96.0l37.448822 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m66.55118 96.0l31.448822 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m98.0 97.65173l4.538101 -1.6517334l-4.538101 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m-8.0 88.0l72.0 0l0 16.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m27.175507 98.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m672.0 64.0l72.0 0l0 16.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m677.2969 71.53687q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932617 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7650757 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624451 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3900757 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.890076 7.359375l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088867 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m24.0 16.0l136.0 0l0 16.0l-136.0 0z" fill-rule="evenodd"/><path fill="#666666" d="m31.953125 27.2975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.109375 -0.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm12.34375 7.078125q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm9.796875 4.0l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm9.109375 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm13.515625 7.84375l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m472.0 16.0l256.0 0l0 16.0l-256.0 0z" fill-rule="evenodd"/><path fill="#666666" d="m619.9375 31.36l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.5625 -3.203125l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm7.53125 0l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm3.90625 -4.265625l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm10.46875 -6.078125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m632.0 112.0l37.44879 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m632.0 112.0l31.448792 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m663.4488 113.65173l4.538147 -1.6517334l-4.538147 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m669.4488 72.0l-37.44879 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m669.4488 72.0l-31.448792 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m638.0 70.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m672.0 104.0l72.0 0l0 16.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m674.6094 109.77125l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077576 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.0619507 -2.8125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.1557617 -1.46875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.4682007 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm10.046326 5.21875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -2.09375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm5.5463867 0.8125l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm9.108826 8.75l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m632.0 128.0l37.44879 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m632.0 128.0l31.448792 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m663.4488 129.65173l4.538147 -1.6517334l-4.538147 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m672.0 120.0l56.0 0l0 16.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m676.1875 130.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.2343826q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.5937576l-0.8125 0zm-0.09375 -2.3750076l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.18750763q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.9218826zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.6093826l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.8593826zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125076 0.4375 1.2500076q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.1406326q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088257 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.3593826l-0.921875 0l0 -5.2187576zm9.858887 5.1406326q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.7343826l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.6562576q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.7968826q0 0.6093826 -0.171875 1.1250076q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.1406326q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.48438263 0.109375 0.8437576q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.7500076z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m448.0016 90.396324l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m448.0016 90.396324l-154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m294.0 88.74459l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m448.0016 82.396324l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m448.0016 82.396324l-154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m294.0 80.74459l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m304.0 98.396324l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m317.31665 108.63632l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm1.0463562 -7.359375l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.358856 5.21875l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm3.2026062 -1.640625q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm7.155731 1.640625l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.655731 2.1875q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.499481 0.1875l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm6.186981 2.140625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.296356 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.515106 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557312 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875z" fill-rule="nonzero"/></g></svg>
\ No newline at end of file
diff --git a/hw/ip/alert_handler/doc/alert_handler_block_diagram.svg b/hw/ip/alert_handler/doc/alert_handler_block_diagram.svg
index 04abb98..7d863dd 100644
--- a/hw/ip/alert_handler/doc/alert_handler_block_diagram.svg
+++ b/hw/ip/alert_handler/doc/alert_handler_block_diagram.svg
@@ -1 +1 @@
-<svg version="1.1" viewBox="0.0 0.0 1093.241469816273 923.1154855643044" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l1093.2415 0l0 923.1155l-1093.2415 0l0 -923.1155z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l1093.2415 0l0 923.1155l-1093.2415 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m824.0 24.005249l265.95276 0l0 256.0l-265.95276 0z" fill-rule="evenodd"/><path stroke="#9900ff" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m824.0 24.005249l265.95276 0l0 256.0l-265.95276 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m744.0 888.0l344.0 0l0 -256.0l0 -344.0l-344.0 0l0 -264.0l-664.0 0.0052490234l0 863.98425z" fill-rule="evenodd"/><path stroke="#0000ff" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m744.0 888.0l344.0 0l0 -256.0l0 -344.0l-344.0 0l0 -264.0l-664.0 0.0052490234l0 863.98425z" fill-rule="evenodd"/><path fill="#d9d9d9" d="m832.0 304.0l237.35437 0l0 136.0l-237.35437 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m832.0 304.0l237.35437 0l0 136.0l-237.35437 0z" fill-rule="evenodd"/><path fill="#000000" d="m997.83453 326.1856q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.788513 -0.390625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.554199 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm13.007263 1.3125l-2.703125 0l0 -1.3125l4.296936 0l0 7.8125l2.734375 0l0 1.328125l-7.343811 0l0 -1.328125l3.015625 0l0 -6.5zm0.54693604 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.50006104 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.23443604 -0.09375 0.50006104 -0.09375zm14.413452 8.25q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.413574 4.671875l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm10.522949 0l-7.46875 0l0 -1.46875l3.0625 0l0 -8.703125l-2.859375 1.546875l-0.578125 -1.34375l3.78125 -1.984375l1.40625 0l0 10.484375l2.65625 0l0 1.46875z" fill-rule="nonzero"/><path fill="#d9d9d9" d="m832.0 56.00525l237.35437 0l0 208.0l-237.35437 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m832.0 56.00525l237.35437 0l0 208.0l-237.35437 0z" fill-rule="evenodd"/><path fill="#000000" d="m997.83453 78.19087q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.788513 -0.390625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.554199 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm13.007263 1.3125l-2.703125 0l0 -1.3125l4.296936 0l0 7.8125l2.734375 0l0 1.328125l-7.343811 0l0 -1.328125l3.015625 0l0 -6.5zm0.54693604 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.50006104 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.23443604 -0.09375 0.50006104 -0.09375zm14.413452 8.25q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.413574 4.671875l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm10.897949 -5.953125q0 1.375 -0.28125 2.5q-0.265625 1.125 -0.828125 1.9375q-0.546875 0.796875 -1.375 1.234375q-0.8125 0.4375 -1.9375 0.4375q-0.953125 0 -1.734375 -0.359375q-0.78125 -0.359375 -1.34375 -1.09375q-0.546875 -0.75 -0.859375 -1.90625q-0.296875 -1.15625 -0.296875 -2.75q0 -1.359375 0.28125 -2.484375q0.28125 -1.140625 0.828125 -1.9375q0.546875 -0.8125 1.375 -1.25q0.84375 -0.4375 1.9375 -0.4375q0.953125 0 1.734375 0.359375q0.796875 0.359375 1.34375 1.109375q0.5625 0.75 0.859375 1.90625q0.296875 1.15625 0.296875 2.734375zm-1.59375 0.0625q0 -0.3125 -0.03125 -0.609375q-0.015625 -0.3125 -0.046875 -0.609375l-5.046875 3.75q0.140625 0.46875 0.34375 0.875q0.21875 0.390625 0.515625 0.6875q0.296875 0.28125 0.671875 0.453125q0.375 0.15625 0.859375 0.15625q0.625 0 1.125 -0.296875q0.515625 -0.3125 0.859375 -0.90625q0.359375 -0.59375 0.546875 -1.46875q0.203125 -0.875 0.203125 -2.03125zm-5.453125 -0.109375q0 0.28125 0 0.5625q0 0.28125 0.03125 0.546875l5.046875 -3.734375q-0.140625 -0.453125 -0.359375 -0.828125q-0.203125 -0.390625 -0.5 -0.671875q-0.28125 -0.28125 -0.65625 -0.4375q-0.375 -0.15625 -0.84375 -0.15625q-0.609375 0 -1.125 0.3125q-0.5 0.296875 -0.859375 0.890625q-0.359375 0.59375 -0.546875 1.484375q-0.1875 0.875 -0.1875 2.03125z" fill-rule="nonzero"/><path fill="#d9d9d9" d="m96.0 56.0l640.0 0l0 816.0l-640.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m96.0 56.0l640.0 0l0 816.0l-640.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m112.328125 82.92l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm7.6791687 -7.40625l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm14.866669 6.5q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.257294 9.015625q-0.53125 0.140625 -1.109375 0.1875q-0.578125 0.0625 -1.171875 0.0625q-1.71875 0 -2.5625 -0.78125q-0.84375 -0.78125 -0.84375 -2.390625l0 -4.765625l-2.5625 0l0 -1.328125l2.5625 0l0 -2.515625l1.578125 -0.40625l0 2.921875l4.109375 0l0 1.328125l-4.109375 0l0 4.640625q0 0.984375 0.515625 1.46875q0.53125 0.484375 1.546875 0.484375q0.4375 0 0.953125 -0.0625q0.53125 -0.0625 1.09375 -0.21875l0 1.375zm11.679169 3.859375l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm8.819794 -3.734375l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm8.772919 0l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm4.5541687 -4.984375l1.421875 0l0.0625 1.46875q0.390625 -0.46875 0.765625 -0.78125q0.375 -0.3125 0.734375 -0.5q0.359375 -0.203125 0.734375 -0.28125q0.375 -0.078125 0.78125 -0.078125q1.40625 0 2.125 0.84375q0.734375 0.828125 0.734375 2.5l0 5.96875l-1.59375 0l0 -5.84375q0 -1.078125 -0.40625 -1.578125q-0.390625 -0.515625 -1.1875 -0.515625q-0.28125 0 -0.5625 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.34375 0.359375 -0.78125 0.90625l0 6.0625l-1.59375 0l0 -9.140625zm9.804169 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm12.226044 -7.078125l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm14.866669 6.5q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625z" fill-rule="nonzero"/><path fill="#ffffff" d="m48.0 319.01825l16.0 -16.0l0 8.0l48.0 0l0 -8.0l16.0 16.0l-16.0 16.0l0 -8.0l-48.0 0l0 8.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m48.0 319.01825l16.0 -16.0l0 8.0l48.0 0l0 -8.0l16.0 16.0l-16.0 16.0l0 -8.0l-48.0 0l0 8.0z" fill-rule="evenodd"/><path fill="#c9daf8" d="m127.74803 264.0l96.25197 0l0 114.26773l-96.25197 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m127.74803 264.0l96.25197 0l0 114.26773l-96.25197 0z" fill-rule="evenodd"/><path fill="#000000" d="m166.06151 326.60324q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125zm8.8125 -2.375q0 0.71875 -0.296875 1.265625q-0.296875 0.546875 -0.828125 0.921875q-0.53125 0.359375 -1.28125 0.546875q-0.75 0.171875 -1.640625 0.171875q-0.40625 0 -0.8125 -0.03125q-0.40625 -0.03125 -0.78125 -0.078125q-0.359375 -0.046875 -0.6875 -0.109375q-0.328125 -0.0625 -0.59375 -0.140625l0 -1.34375q0.578125 0.21875 1.3125 0.34375q0.734375 0.125 1.65625 0.125q0.671875 0 1.140625 -0.09375q0.484375 -0.109375 0.78125 -0.3125q0.296875 -0.21875 0.4375 -0.515625q0.140625 -0.296875 0.140625 -0.671875q0 -0.421875 -0.234375 -0.703125q-0.234375 -0.296875 -0.609375 -0.53125q-0.375 -0.234375 -0.859375 -0.421875q-0.46875 -0.1875 -0.96875 -0.390625q-0.5 -0.203125 -0.984375 -0.4375q-0.484375 -0.25 -0.859375 -0.5625q-0.375 -0.328125 -0.609375 -0.765625q-0.21875 -0.4375 -0.21875 -1.046875q0 -0.515625 0.21875 -1.015625q0.21875 -0.515625 0.671875 -0.90625q0.46875 -0.40625 1.1875 -0.640625q0.71875 -0.25 1.71875 -0.25q0.265625 0 0.5625 0.03125q0.296875 0.015625 0.609375 0.0625q0.3125 0.046875 0.609375 0.109375q0.296875 0.046875 0.5625 0.109375l0 1.25q-0.609375 -0.171875 -1.21875 -0.265625q-0.59375 -0.09375 -1.15625 -0.09375q-1.1875 0 -1.75 0.40625q-0.5625 0.390625 -0.5625 1.0625q0 0.421875 0.21875 0.71875q0.234375 0.296875 0.609375 0.53125q0.375 0.234375 0.859375 0.421875q0.484375 0.1875 0.984375 0.390625q0.5 0.203125 0.96875 0.453125q0.484375 0.234375 0.859375 0.578125q0.375 0.328125 0.609375 0.78125q0.234375 0.4375 0.234375 1.046875zm9.21875 2.765625l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm10.28125 5.265625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125z" fill-rule="nonzero"/><path fill="#c9daf8" d="m128.0 408.00516l368.0 0l0 72.0l-368.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 408.00516l368.0 0l0 72.0l-368.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m232.75 440.36514l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0zm6.625 -6.453125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm17.796875 -0.28125q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125zm4.9375 -9.53125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm11.171875 9.921875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.125 1.4375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.796875 0q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm5.25 -4.578125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm12.609375 1.359375q-1.078125 -0.21875 -1.84375 -0.21875q-1.84375 0 -1.84375 1.921875l0 1.375l3.4375 0l0 1.125l-3.4375 0l0 5.578125l-1.375 0l0 -5.578125l-2.53125 0l0 -1.125l2.53125 0l0 -1.296875q0 -3.140625 3.265625 -3.140625q0.8125 0 1.796875 0.1875l0 1.171875zm-8.21875 1.9375l0 0zm12.71875 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.734375 10.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm7.75 0.296875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.234375 3.46875q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm5.140625 -6.609375l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm12.46875 7.15625q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm3.359375 -3.921875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 96.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#ff0000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 96.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m623.96875 117.7975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm6.296875 -3.203125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#000000" d="m629.21094 144.06313l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#ff0000" d="m643.44714 156.92749q-2.390625 -2.21875 -2.390625 -4.90625q0 -0.640625 0.125 -1.265625q0.125 -0.625 0.40625 -1.25q0.296875 -0.640625 0.75 -1.265625q0.46875 -0.625 1.140625 -1.25l0.515625 0.53125q-2.015625 2.0 -2.015625 4.421875q0 1.203125 0.5 2.328125q0.515625 1.109375 1.515625 2.09375l-0.546875 0.5625zm6.0932007 -2.1875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338867 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.3744507 -3.796875l-1.78125 4.671875q-0.28125 0.703125 -0.578125 1.21875q-0.296875 0.515625 -0.640625 0.84375q-0.34375 0.34375 -0.765625 0.5q-0.40625 0.15625 -0.921875 0.15625q-0.140625 0 -0.25 -0.015625q-0.109375 0 -0.234375 -0.015625l0 -0.8125q0.109375 0.015625 0.234375 0.015625q0.140625 0.015625 0.296875 0.015625q0.25 0 0.46875 -0.078125q0.21875 -0.0625 0.40625 -0.234375q0.1875 -0.15625 0.359375 -0.421875q0.1875 -0.25 0.359375 -0.625l-2.09375 -5.21875l1.03125 0l1.3125 3.453125l0.265625 0.8125l0.3125 -0.84375l1.21875 -3.421875l1.0 0zm1.2026367 0l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.921326 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm2.8745117 -7.5625q2.390625 2.21875 2.390625 4.953125q0 0.546875 -0.125 1.15625q-0.109375 0.609375 -0.390625 1.25q-0.265625 0.625 -0.734375 1.265625q-0.453125 0.65625 -1.171875 1.3125l-0.515625 -0.53125q1.015625 -1.015625 1.5 -2.109375q0.5 -1.09375 0.5 -2.28125q0 -2.484375 -2.0 -4.453125l0.546875 -0.5625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 184.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#ff0000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 184.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m623.96875 205.7975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm6.296875 -3.203125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#000000" d="m629.21094 232.06313l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#ff0000" d="m643.44714 244.92749q-2.390625 -2.21875 -2.390625 -4.90625q0 -0.640625 0.125 -1.265625q0.125 -0.625 0.40625 -1.25q0.296875 -0.640625 0.75 -1.265625q0.46875 -0.625 1.140625 -1.25l0.515625 0.53125q-2.015625 2.0 -2.015625 4.421875q0 1.203125 0.5 2.328125q0.515625 1.109375 1.515625 2.09375l-0.546875 0.5625zm6.0932007 -2.1875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338867 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.3744507 -3.796875l-1.78125 4.671875q-0.28125 0.703125 -0.578125 1.21875q-0.296875 0.515625 -0.640625 0.84375q-0.34375 0.34375 -0.765625 0.5q-0.40625 0.15625 -0.921875 0.15625q-0.140625 0 -0.25 -0.015625q-0.109375 0 -0.234375 -0.015625l0 -0.8125q0.109375 0.015625 0.234375 0.015625q0.140625 0.015625 0.296875 0.015625q0.25 0 0.46875 -0.078125q0.21875 -0.0625 0.40625 -0.234375q0.1875 -0.15625 0.359375 -0.421875q0.1875 -0.25 0.359375 -0.625l-2.09375 -5.21875l1.03125 0l1.3125 3.453125l0.265625 0.8125l0.3125 -0.84375l1.21875 -3.421875l1.0 0zm1.2026367 0l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.921326 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm2.8745117 -7.5625q2.390625 2.21875 2.390625 4.953125q0 0.546875 -0.125 1.15625q-0.109375 0.609375 -0.390625 1.25q-0.265625 0.625 -0.734375 1.265625q-0.453125 0.65625 -1.171875 1.3125l-0.515625 -0.53125q1.015625 -1.015625 1.5 -2.109375q0.5 -1.09375 0.5 -2.28125q0 -2.484375 -2.0 -4.453125l0.546875 -0.5625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 336.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#38761d" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 336.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m623.96875 357.7975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm6.296875 -3.203125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#000000" d="m629.21094 384.0631l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#38761d" d="m646.3766 396.9275q-2.390625 -2.21875 -2.390625 -4.90625q0 -0.640625 0.125 -1.265625q0.125 -0.625 0.40625 -1.25q0.296875 -0.640625 0.75 -1.265625q0.46875 -0.625 1.140625 -1.25l0.515625 0.53125q-2.015625 2.0 -2.015625 4.421875q0 1.203125 0.5 2.328125q0.515625 1.109375 1.515625 2.09375l-0.546875 0.5625zm6.8744507 -3.609375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.3744507 -3.796875l-1.78125 4.671875q-0.28125 0.703125 -0.578125 1.21875q-0.296875 0.515625 -0.640625 0.84375q-0.34375 0.34375 -0.765625 0.5q-0.40625 0.15625 -0.921875 0.15625q-0.140625 0 -0.25 -0.015625q-0.109375 0 -0.234375 -0.015625l0 -0.8125q0.109375 0.015625 0.234375 0.015625q0.140625 0.015625 0.296875 0.015625q0.25 0 0.46875 -0.078125q0.21875 -0.0625 0.40625 -0.234375q0.1875 -0.15625 0.359375 -0.421875q0.1875 -0.25 0.359375 -0.625l-2.09375 -5.21875l1.03125 0l1.3125 3.453125l0.265625 0.8125l0.3125 -0.84375l1.21875 -3.421875l1.0 0zm1.2026367 0l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.921387 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm2.8744507 -7.5625q2.390625 2.21875 2.390625 4.953125q0 0.546875 -0.125 1.15625q-0.109375 0.609375 -0.390625 1.25q-0.265625 0.625 -0.734375 1.265625q-0.453125 0.65625 -1.171875 1.3125l-0.515625 -0.53125q1.015625 -1.015625 1.5 -2.109375q0.5 -1.09375 0.5 -2.28125q0 -2.484375 -2.0 -4.453125l0.546875 -0.5625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 512.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 512.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m645.96094 536.3027q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m623.8906 555.0214q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 600.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 600.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m645.96094 624.3027q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m623.8906 643.0214q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 688.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 688.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m645.96094 712.3027q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m623.8906 731.0214q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 776.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 776.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m645.96094 800.3027q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m623.8906 819.0214q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#c9daf8" d="m400.0 512.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m400.0 512.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m438.1797 540.3652l-5.796875 0l0 -10.21875l5.796875 0l0 1.171875l-4.40625 0l0 3.171875l4.234375 0l0 1.171875l-4.234375 0l0 3.515625l4.40625 0l0 1.1875zm8.890625 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625z" fill-rule="nonzero"/><path fill="#000000" d="m421.33594 550.3339l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm4.59375 2.3125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm24.734375 7.84375l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0z" fill-rule="nonzero"/><path fill="#c9daf8" d="m400.0 600.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m400.0 600.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m438.1797 628.3652l-5.796875 0l0 -10.21875l5.796875 0l0 1.171875l-4.40625 0l0 3.171875l4.234375 0l0 1.171875l-4.234375 0l0 3.515625l4.40625 0l0 1.1875zm8.890625 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625z" fill-rule="nonzero"/><path fill="#000000" d="m421.33594 638.3339l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm4.59375 2.3125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm23.953125 4.78125q0 0.734375 -0.28125 1.3125q-0.28125 0.5625 -0.8125 0.953125q-0.53125 0.390625 -1.28125 0.59375q-0.734375 0.203125 -1.65625 0.203125l-2.671875 0l0 -10.21875l2.921875 0q3.421875 0 3.421875 2.484375q0 0.828125 -0.40625 1.421875q-0.390625 0.59375 -1.28125 0.890625q0.421875 0.078125 0.78125 0.265625q0.375 0.1875 0.65625 0.484375q0.28125 0.296875 0.4375 0.703125q0.171875 0.40625 0.171875 0.90625zm-1.8125 -4.484375q0 -0.3125 -0.09375 -0.578125q-0.09375 -0.28125 -0.328125 -0.484375q-0.234375 -0.203125 -0.640625 -0.3125q-0.390625 -0.125 -1.0 -0.125l-1.4375 0l0 3.203125l1.390625 0q0.484375 0 0.859375 -0.09375q0.390625 -0.109375 0.671875 -0.3125q0.28125 -0.21875 0.421875 -0.53125q0.15625 -0.328125 0.15625 -0.765625zm0.34375 4.53125q0 -0.390625 -0.171875 -0.703125q-0.15625 -0.3125 -0.46875 -0.515625q-0.3125 -0.21875 -0.765625 -0.328125q-0.453125 -0.125 -1.015625 -0.125l-1.421875 0l0 3.515625l1.46875 0q1.203125 0 1.78125 -0.4375q0.59375 -0.453125 0.59375 -1.40625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m400.0 688.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m400.0 688.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m438.1797 716.3652l-5.796875 0l0 -10.21875l5.796875 0l0 1.171875l-4.40625 0l0 3.171875l4.234375 0l0 1.171875l-4.234375 0l0 3.515625l4.40625 0l0 1.1875zm8.890625 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625z" fill-rule="nonzero"/><path fill="#000000" d="m421.33594 726.3339l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm4.59375 2.3125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm23.796875 7.453125q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125z" fill-rule="nonzero"/><path fill="#c9daf8" d="m400.0 776.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m400.0 776.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m438.1797 804.3652l-5.796875 0l0 -10.21875l5.796875 0l0 1.171875l-4.40625 0l0 3.171875l4.234375 0l0 1.171875l-4.234375 0l0 3.515625l4.40625 0l0 1.1875zm8.890625 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625z" fill-rule="nonzero"/><path fill="#000000" d="m421.33594 814.3339l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm4.59375 2.3125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm24.25 2.625q0 0.734375 -0.125 1.40625q-0.109375 0.671875 -0.359375 1.25q-0.25 0.578125 -0.65625 1.046875q-0.390625 0.46875 -0.96875 0.8125q-0.578125 0.328125 -1.34375 0.515625q-0.765625 0.1875 -1.734375 0.1875l-2.1875 0l0 -10.21875l2.625 0q2.390625 0 3.5625 1.234375q1.1875 1.234375 1.1875 3.765625zm-1.46875 0.09375q0 -1.078125 -0.203125 -1.828125q-0.203125 -0.75 -0.625 -1.203125q-0.40625 -0.46875 -1.03125 -0.671875q-0.625 -0.21875 -1.46875 -0.21875l-1.1875 0l0 7.84375l1.03125 0q3.484375 0 3.484375 -3.921875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m120.0 776.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.0 776.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m133.71094 792.8183l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm13.3125 9.046875l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm6.46875 7.5q-0.8125 -0.125 -1.421875 -0.515625q-0.59375 -0.40625 -1.0 -1.046875q-0.390625 -0.65625 -0.59375 -1.546875q-0.1875 -0.890625 -0.1875 -1.96875q0 -1.390625 0.3125 -2.40625q0.328125 -1.015625 0.875 -1.671875q0.546875 -0.65625 1.28125 -0.96875q0.734375 -0.328125 1.5625 -0.328125q0.984375 0 1.703125 0.359375q0.734375 0.359375 1.21875 1.03125q0.484375 0.65625 0.71875 1.609375q0.25 0.953125 0.25 2.140625q0 1.25 -0.265625 2.1875q-0.265625 0.9375 -0.734375 1.59375q-0.453125 0.65625 -1.078125 1.03125q-0.625 0.375 -1.34375 0.5q0.109375 0.6875 0.53125 1.109375q0.4375 0.421875 1.21875 0.421875q0.375 0 0.734375 -0.125q0.375 -0.125 0.75 -0.421875l0.609375 0.953125q-0.515625 0.421875 -1.0625 0.59375q-0.546875 0.1875 -1.125 0.1875q-0.625 0 -1.15625 -0.171875q-0.515625 -0.171875 -0.90625 -0.515625q-0.375 -0.328125 -0.609375 -0.84375q-0.234375 -0.515625 -0.28125 -1.1875zm3.265625 -5.15625q0 -0.90625 -0.140625 -1.640625q-0.125 -0.75 -0.4375 -1.28125q-0.296875 -0.546875 -0.78125 -0.84375q-0.484375 -0.296875 -1.15625 -0.296875q-0.65625 0 -1.140625 0.3125q-0.46875 0.3125 -0.78125 0.859375q-0.296875 0.53125 -0.453125 1.25q-0.140625 0.703125 -0.140625 1.515625q0 0.921875 0.140625 1.6875q0.140625 0.75 0.4375 1.296875q0.3125 0.53125 0.78125 0.828125q0.484375 0.28125 1.15625 0.28125q0.65625 0 1.125 -0.3125q0.484375 -0.3125 0.78125 -0.84375q0.3125 -0.546875 0.453125 -1.265625q0.15625 -0.71875 0.15625 -1.546875zm18.90625 -0.15625q0 0.734375 -0.125 1.40625q-0.109375 0.671875 -0.359375 1.25q-0.25 0.578125 -0.65625 1.046875q-0.390625 0.46875 -0.96875 0.8125q-0.578125 0.328125 -1.34375 0.515625q-0.765625 0.1875 -1.734375 0.1875l-2.1875 0l0 -10.21875l2.625 0q2.390625 0 3.5625 1.234375q1.1875 1.234375 1.1875 3.765625zm-1.46875 0.09375q0 -1.078125 -0.203125 -1.828125q-0.203125 -0.75 -0.625 -1.203125q-0.40625 -0.46875 -1.03125 -0.671875q-0.625 -0.21875 -1.46875 -0.21875l-1.1875 0l0 7.84375l1.03125 0q3.484375 0 3.484375 -3.921875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m264.0 512.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m264.0 512.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m282.32812 537.8652l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0zm10.046875 3.171875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm8.796875 0q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm18.75 0l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0z" fill-rule="nonzero"/><path fill="#c9daf8" d="m264.0 600.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m264.0 600.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m282.32812 625.8652l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0zm10.046875 3.171875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm8.796875 0q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm17.96875 -3.0625q0 0.734375 -0.28125 1.3125q-0.28125 0.5625 -0.8125 0.953125q-0.53125 0.390625 -1.28125 0.59375q-0.734375 0.203125 -1.65625 0.203125l-2.671875 0l0 -10.21875l2.921875 0q3.421875 0 3.421875 2.484375q0 0.828125 -0.40625 1.421875q-0.390625 0.59375 -1.28125 0.890625q0.421875 0.078125 0.78125 0.265625q0.375 0.1875 0.65625 0.484375q0.28125 0.296875 0.4375 0.703125q0.171875 0.40625 0.171875 0.90625zm-1.8125 -4.484375q0 -0.3125 -0.09375 -0.578125q-0.09375 -0.28125 -0.328125 -0.484375q-0.234375 -0.203125 -0.640625 -0.3125q-0.390625 -0.125 -1.0 -0.125l-1.4375 0l0 3.203125l1.390625 0q0.484375 0 0.859375 -0.09375q0.390625 -0.109375 0.671875 -0.3125q0.28125 -0.21875 0.421875 -0.53125q0.15625 -0.328125 0.15625 -0.765625zm0.34375 4.53125q0 -0.390625 -0.171875 -0.703125q-0.15625 -0.3125 -0.46875 -0.515625q-0.3125 -0.21875 -0.765625 -0.328125q-0.453125 -0.125 -1.015625 -0.125l-1.421875 0l0 3.515625l1.46875 0q1.203125 0 1.78125 -0.4375q0.59375 -0.453125 0.59375 -1.40625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m264.0 688.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m264.0 688.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m282.32812 713.8652l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0zm10.046875 3.171875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm8.796875 0q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm17.8125 -0.390625q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125z" fill-rule="nonzero"/><path fill="#c9daf8" d="m264.0 776.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m264.0 776.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m282.32812 801.8652l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0zm10.046875 3.171875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm8.796875 0q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm18.265625 -5.21875q0 0.734375 -0.125 1.40625q-0.109375 0.671875 -0.359375 1.25q-0.25 0.578125 -0.65625 1.046875q-0.390625 0.46875 -0.96875 0.8125q-0.578125 0.328125 -1.34375 0.515625q-0.765625 0.1875 -1.734375 0.1875l-2.1875 0l0 -10.21875l2.625 0q2.390625 0 3.5625 1.234375q1.1875 1.234375 1.1875 3.765625zm-1.46875 0.09375q0 -1.078125 -0.203125 -1.828125q-0.203125 -0.75 -0.625 -1.203125q-0.40625 -0.46875 -1.03125 -0.671875q-0.625 -0.21875 -1.46875 -0.21875l-1.1875 0l0 7.84375l1.03125 0q3.484375 0 3.484375 -3.921875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m872.0 96.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#ff0000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 96.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m887.96875 117.7975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm6.296875 -3.203125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#000000" d="m902.0078 144.06313l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#ff0000" d="m907.44714 156.92749q-2.390625 -2.21875 -2.390625 -4.90625q0 -0.640625 0.125 -1.265625q0.125 -0.625 0.40625 -1.25q0.296875 -0.640625 0.75 -1.265625q0.46875 -0.625 1.140625 -1.25l0.515625 0.53125q-2.015625 2.0 -2.015625 4.421875q0 1.203125 0.5 2.328125q0.515625 1.109375 1.515625 2.09375l-0.546875 0.5625zm6.0932007 -2.1875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338867 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.3744507 -3.796875l-1.78125 4.671875q-0.28125 0.703125 -0.578125 1.21875q-0.296875 0.515625 -0.640625 0.84375q-0.34375 0.34375 -0.765625 0.5q-0.40625 0.15625 -0.921875 0.15625q-0.140625 0 -0.25 -0.015625q-0.109375 0 -0.234375 -0.015625l0 -0.8125q0.109375 0.015625 0.234375 0.015625q0.140625 0.015625 0.296875 0.015625q0.25 0 0.46875 -0.078125q0.21875 -0.0625 0.40625 -0.234375q0.1875 -0.15625 0.359375 -0.421875q0.1875 -0.25 0.359375 -0.625l-2.09375 -5.21875l1.03125 0l1.3125 3.453125l0.265625 0.8125l0.3125 -0.84375l1.21875 -3.421875l1.0 0zm1.2026367 0l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.921326 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm2.8745117 -7.5625q2.390625 2.21875 2.390625 4.953125q0 0.546875 -0.125 1.15625q-0.109375 0.609375 -0.390625 1.25q-0.265625 0.625 -0.734375 1.265625q-0.453125 0.65625 -1.171875 1.3125l-0.515625 -0.53125q1.015625 -1.015625 1.5 -2.109375q0.5 -1.09375 0.5 -2.28125q0 -2.484375 -2.0 -4.453125l0.546875 -0.5625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m872.0 184.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#ff0000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 184.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m887.96875 205.7975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm6.296875 -3.203125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#000000" d="m902.0078 232.06313l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#ff0000" d="m907.44714 244.92749q-2.390625 -2.21875 -2.390625 -4.90625q0 -0.640625 0.125 -1.265625q0.125 -0.625 0.40625 -1.25q0.296875 -0.640625 0.75 -1.265625q0.46875 -0.625 1.140625 -1.25l0.515625 0.53125q-2.015625 2.0 -2.015625 4.421875q0 1.203125 0.5 2.328125q0.515625 1.109375 1.515625 2.09375l-0.546875 0.5625zm6.0932007 -2.1875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338867 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.3744507 -3.796875l-1.78125 4.671875q-0.28125 0.703125 -0.578125 1.21875q-0.296875 0.515625 -0.640625 0.84375q-0.34375 0.34375 -0.765625 0.5q-0.40625 0.15625 -0.921875 0.15625q-0.140625 0 -0.25 -0.015625q-0.109375 0 -0.234375 -0.015625l0 -0.8125q0.109375 0.015625 0.234375 0.015625q0.140625 0.015625 0.296875 0.015625q0.25 0 0.46875 -0.078125q0.21875 -0.0625 0.40625 -0.234375q0.1875 -0.15625 0.359375 -0.421875q0.1875 -0.25 0.359375 -0.625l-2.09375 -5.21875l1.03125 0l1.3125 3.453125l0.265625 0.8125l0.3125 -0.84375l1.21875 -3.421875l1.0 0zm1.2026367 0l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.921326 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm2.8745117 -7.5625q2.390625 2.21875 2.390625 4.953125q0 0.546875 -0.125 1.15625q-0.109375 0.609375 -0.390625 1.25q-0.265625 0.625 -0.734375 1.265625q-0.453125 0.65625 -1.171875 1.3125l-0.515625 -0.53125q1.015625 -1.015625 1.5 -2.109375q0.5 -1.09375 0.5 -2.28125q0 -2.484375 -2.0 -4.453125l0.546875 -0.5625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m872.0 335.9999l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#38761d" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 335.9999l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m887.96875 357.7974q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm6.296875 -3.203125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#000000" d="m902.0078 384.06302l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#38761d" d="m910.3766 396.9274q-2.390625 -2.21875 -2.390625 -4.90625q0 -0.640625 0.125 -1.265625q0.125 -0.625 0.40625 -1.25q0.296875 -0.640625 0.75 -1.265625q0.46875 -0.625 1.140625 -1.25l0.515625 0.53125q-2.015625 2.0 -2.015625 4.421875q0 1.203125 0.5 2.328125q0.515625 1.109375 1.515625 2.09375l-0.546875 0.5625zm6.8744507 -3.609375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.3744507 -3.796875l-1.78125 4.671875q-0.28125 0.703125 -0.578125 1.21875q-0.296875 0.515625 -0.640625 0.84375q-0.34375 0.34375 -0.765625 0.5q-0.40625 0.15625 -0.921875 0.15625q-0.140625 0 -0.25 -0.015625q-0.109375 0 -0.234375 -0.015625l0 -0.8125q0.109375 0.015625 0.234375 0.015625q0.140625 0.015625 0.296875 0.015625q0.25 0 0.46875 -0.078125q0.21875 -0.0625 0.40625 -0.234375q0.1875 -0.15625 0.359375 -0.421875q0.1875 -0.25 0.359375 -0.625l-2.09375 -5.21875l1.03125 0l1.3125 3.453125l0.265625 0.8125l0.3125 -0.84375l1.21875 -3.421875l1.0 0zm1.2026367 0l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.921387 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm2.8744507 -7.5625q2.390625 2.21875 2.390625 4.953125q0 0.546875 -0.125 1.15625q-0.109375 0.609375 -0.390625 1.25q-0.265625 0.625 -0.734375 1.265625q-0.453125 0.65625 -1.171875 1.3125l-0.515625 -0.53125q1.015625 -1.015625 1.5 -2.109375q0.5 -1.09375 0.5 -2.28125q0 -2.484375 -2.0 -4.453125l0.546875 -0.5625z" fill-rule="nonzero"/><path fill="#d9d9d9" d="m832.0 744.00525l237.35437 0l0 128.0l-237.35437 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m832.0 744.00525l237.35437 0l0 128.0l-237.35437 0z" fill-rule="evenodd"/><path fill="#000000" d="m987.48346 765.86273q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm11.429199 2.78125q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.116638 2.15625q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm11.944824 4.078125l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm8.710449 -6.234375q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.92193604 0 -1.703186 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578186 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.312561 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.812561 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.000061 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46881104 0.1875 1.218811 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.741577 -2.5625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm3.3198242 -3.859375l1.8125 0l2.234375 6.046875l0.484375 1.46875l0.5 -1.515625l2.234375 -6.0l1.734375 0l-3.59375 9.140625l-1.8125 0l-3.59375 -9.140625zm18.6167 5.53125q0 0.78125 -0.328125 1.46875q-0.3125 0.6875 -0.953125 1.203125q-0.625 0.515625 -1.59375 0.8125q-0.96875 0.28125 -2.25 0.28125q-0.71875 0 -1.28125 -0.046875q-0.5625 -0.03125 -1.046875 -0.109375l0 -1.421875q0.5625 0.09375 1.1875 0.15625q0.625 0.046875 1.28125 0.046875q0.890625 0 1.515625 -0.15625q0.640625 -0.15625 1.046875 -0.453125q0.40625 -0.296875 0.578125 -0.71875q0.1875 -0.4375 0.1875 -0.984375q0 -0.484375 -0.21875 -0.84375q-0.21875 -0.375 -0.625 -0.609375q-0.390625 -0.25 -0.9375 -0.375q-0.546875 -0.125 -1.203125 -0.125l-1.359375 0l0 -1.296875l1.375 0q0.53125 0 0.96875 -0.140625q0.453125 -0.140625 0.765625 -0.40625q0.3125 -0.265625 0.484375 -0.65625q0.171875 -0.390625 0.171875 -0.875q0 -0.953125 -0.59375 -1.390625q-0.578125 -0.4375 -1.703125 -0.4375q-0.609375 0 -1.25 0.125q-0.625 0.109375 -1.359375 0.34375l0 -1.390625q0.3125 -0.109375 0.65625 -0.1875q0.359375 -0.09375 0.703125 -0.140625q0.359375 -0.0625 0.703125 -0.09375q0.34375 -0.03125 0.65625 -0.03125q0.953125 0 1.671875 0.203125q0.71875 0.203125 1.203125 0.59375q0.484375 0.375 0.71875 0.921875q0.25 0.546875 0.25 1.21875q0 1.03125 -0.53125 1.71875q-0.515625 0.6875 -1.421875 1.109375q0.46875 0.0625 0.90625 0.28125q0.453125 0.21875 0.8125 0.5625q0.375 0.34375 0.59375 0.8125q0.21875 0.453125 0.21875 1.03125z" fill-rule="nonzero"/><path fill="#d9d9d9" d="m832.0 480.00525l237.35437 0l0 128.0l-237.35437 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m832.0 480.00525l237.35437 0l0 128.0l-237.35437 0z" fill-rule="evenodd"/><path fill="#000000" d="m987.48346 501.86276q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm11.429199 2.78125q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.116638 2.15625q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm11.944824 4.078125l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm8.710449 -6.234375q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.92193604 0 -1.703186 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578186 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.312561 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.812561 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.000061 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46881104 0.1875 1.218811 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.741577 -2.5625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm3.3198242 -3.859375l1.8125 0l2.234375 6.046875l0.484375 1.46875l0.5 -1.515625l2.234375 -6.0l1.734375 0l-3.59375 9.140625l-1.8125 0l-3.59375 -9.140625zm19.1167 3.1875q0 1.375 -0.28125 2.5q-0.265625 1.125 -0.828125 1.9375q-0.546875 0.796875 -1.375 1.234375q-0.8125 0.4375 -1.9375 0.4375q-0.953125 0 -1.734375 -0.359375q-0.78125 -0.359375 -1.34375 -1.09375q-0.546875 -0.75 -0.859375 -1.90625q-0.296875 -1.15625 -0.296875 -2.75q0 -1.359375 0.28125 -2.484375q0.28125 -1.140625 0.828125 -1.9375q0.546875 -0.8125 1.375 -1.25q0.84375 -0.4375 1.9375 -0.4375q0.953125 0 1.734375 0.359375q0.796875 0.359375 1.34375 1.109375q0.5625 0.75 0.859375 1.90625q0.296875 1.15625 0.296875 2.734375zm-1.59375 0.0625q0 -0.3125 -0.03125 -0.609375q-0.015625 -0.3125 -0.046875 -0.609375l-5.046875 3.75q0.140625 0.46875 0.34375 0.875q0.21875 0.390625 0.515625 0.6875q0.296875 0.28125 0.671875 0.453125q0.375 0.15625 0.859375 0.15625q0.625 0 1.125 -0.296875q0.515625 -0.3125 0.859375 -0.90625q0.359375 -0.59375 0.546875 -1.46875q0.203125 -0.875 0.203125 -2.03125zm-5.453125 -0.109375q0 0.28125 0 0.5625q0 0.28125 0.03125 0.546875l5.046875 -3.734375q-0.140625 -0.453125 -0.359375 -0.828125q-0.203125 -0.390625 -0.5 -0.671875q-0.28125 -0.28125 -0.65625 -0.4375q-0.375 -0.15625 -0.84375 -0.15625q-0.609375 0 -1.125 0.3125q-0.5 0.296875 -0.859375 0.890625q-0.359375 0.59375 -0.546875 1.484375q-0.1875 0.875 -0.1875 2.03125z" fill-rule="nonzero"/><path fill="#c9daf8" d="m872.0 512.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 512.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m896.7656 536.3027q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.875 -7.546875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625z" fill-rule="nonzero"/><path fill="#000000" d="m893.21094 562.5683l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#c9daf8" d="m872.0 776.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 776.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m896.7656 800.3027q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.875 -7.546875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625z" fill-rule="nonzero"/><path fill="#000000" d="m893.21094 826.5683l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m1000.0 648.0051l0 56.0l-48.0 0l0 -56.0z" fill-rule="evenodd"/><path fill="#000000" d="m966.4 667.3181l2.671875 0l0 2.671875l-2.671875 0l0 -2.671875zm0 7.405945l2.671875 0l0 2.671875l-2.671875 0l0 -2.671875zm0 7.405945l2.671875 0l0 2.671875l-2.671875 0l0 -2.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m336.0 528.0051l63.748016 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 528.0052l57.748016 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m393.74805 529.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m336.0 616.0051l63.748016 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 616.0052l57.748016 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m393.74805 617.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m336.0 704.0051l63.748016 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 704.0052l57.748016 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m393.74805 705.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m337.0491 796.7479l63.748047 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m337.0491 796.7479l57.748016 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m394.79712 798.39966l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m711.9984 528.0051l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m711.99835 528.0052l154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 529.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m711.9984 536.0051l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m711.99835 536.0052l154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 537.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0 560.0051l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 560.0052l-154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m717.99835 558.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0 552.0051l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 552.0052l-154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m717.99835 550.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 792.0051l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 792.0052l154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.00165 793.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 800.0051l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 800.0052l154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.00165 801.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0016 824.0051l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.00165 824.0052l-154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0 822.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0016 816.0051l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.00165 816.0052l-154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0 814.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m583.04987 540.7453l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m583.04987 540.7453l18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m601.04987 542.39703l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m200.0 824.0051l192.0 0l0 16.0l-192.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m204.89062 834.0577q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5776062 -6.421875l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.436981 6.609375l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.733856 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.858856 0q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm1.4526062 -1.078125q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm10.218231 4.703125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm8.718231 -0.96875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.374481 0.625q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.061981 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.1244812 0.515625q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m200.0 480.00516l0 343.99997" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m200.0 480.00516l0 343.99997" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m184.0 796.0051l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m190.0 796.0052l68.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m190.0 794.35345l-4.538101 1.6517334l4.538101 1.6517334z" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m258.0 797.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m200.0 824.0051l200.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m200.0 824.0052l194.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m394.0 825.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m216.0 480.00516l0 255.99997" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m216.0 480.00516l0 255.99997" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m248.0 480.00516l0 79.99997" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m248.0 480.00516l0 79.99997" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m232.0 480.00516l0 167.99997" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m232.0 480.00516l0 167.99997" fill-rule="evenodd"/><path fill="#000000" d="m198.62204 795.9894l0 0c0 -0.7914429 0.6416168 -1.4330444 1.433075 -1.4330444l0 0c0.38008118 0 0.74458313 0.15100098 1.0133362 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.433075 1.4331055l0 0c-0.79145813 0 -1.433075 -0.64160156 -1.433075 -1.4331055z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m198.62204 795.9894l0 0c0 -0.7914429 0.6416168 -1.4330444 1.433075 -1.4330444l0 0c0.38008118 0 0.74458313 0.15100098 1.0133362 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.433075 1.4331055l0 0c-0.79145813 0 -1.433075 -0.64160156 -1.433075 -1.4331055z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m336.0 768.0051l64.0 0l0 32.0l-64.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m352.38464 778.0577q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5775757 -6.421875l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.4370117 6.609375l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338257 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.8588867 0q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm1.4525757 -1.078125q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm10.218262 4.703125l-5.859375 0l0 -0.75l5.859375 0l0 0.75z" fill-rule="nonzero"/><path fill="#000000" d="m349.81458 788.35455q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.530731 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.780731 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.827606 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m504.0 496.0l56.0 0l0 16.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m508.89062 506.0525q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5776367 -6.421875l-1.5469055 0l0 -0.75l2.4687805 0l0 6.609375l1.546875 0l0 0.75l-4.1875305 0l0 -0.75l1.7187805 0l0 -5.859375zm7.4369507 6.609375l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338257 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.8588867 0q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.0776367 1.421875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm7.6244507 4.515625l-5.859375 0l0 -0.75l5.859375 0l0 0.75z" fill-rule="nonzero"/><path fill="#000000" d="m509.25 516.34937q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.9844055 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.45315552 0.0625 0.8906555 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.6562805 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.42190552 -0.15625 1.0312805 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.14065552 0.078125 -0.20315552 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.20315552 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807007 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m488.0 536.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 536.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 528.0l64.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 528.0l58.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m546.0 529.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 544.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 544.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 552.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 552.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m584.0 624.0l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m584.0 624.0l18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m602.0 625.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m584.0 712.0051l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m584.0 712.0052l18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m602.0 713.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m584.0 800.0l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m584.0 800.0l18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m602.0 801.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 624.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 624.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 616.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 616.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 632.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 632.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 640.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 640.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 712.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 712.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 704.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 704.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 720.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 720.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 728.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 728.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 800.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 800.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 792.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 792.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 808.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 808.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 816.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 816.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m496.0 616.0l40.0 -80.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m496.0 616.0l40.0 -80.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m496.0 704.0l40.0 -160.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m496.0 704.0l40.0 -160.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m576.0 608.0l0 56.0l-48.0 0l0 -56.0z" fill-rule="evenodd"/><path fill="#000000" d="m542.4 627.3129l2.671875 0l0 2.671875l-2.671875 0l0 -2.671875zm0 7.405945l2.671875 0l0 2.671875l-2.671875 0l0 -2.671875zm0 7.406006l2.671875 0l0 2.671875l-2.671875 0l0 -2.671875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m120.0 688.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.0 688.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m133.71094 704.8183l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm13.3125 9.046875l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm6.46875 7.5q-0.8125 -0.125 -1.421875 -0.515625q-0.59375 -0.40625 -1.0 -1.046875q-0.390625 -0.65625 -0.59375 -1.546875q-0.1875 -0.890625 -0.1875 -1.96875q0 -1.390625 0.3125 -2.40625q0.328125 -1.015625 0.875 -1.671875q0.546875 -0.65625 1.28125 -0.96875q0.734375 -0.328125 1.5625 -0.328125q0.984375 0 1.703125 0.359375q0.734375 0.359375 1.21875 1.03125q0.484375 0.65625 0.71875 1.609375q0.25 0.953125 0.25 2.140625q0 1.25 -0.265625 2.1875q-0.265625 0.9375 -0.734375 1.59375q-0.453125 0.65625 -1.078125 1.03125q-0.625 0.375 -1.34375 0.5q0.109375 0.6875 0.53125 1.109375q0.4375 0.421875 1.21875 0.421875q0.375 0 0.734375 -0.125q0.375 -0.125 0.75 -0.421875l0.609375 0.953125q-0.515625 0.421875 -1.0625 0.59375q-0.546875 0.1875 -1.125 0.1875q-0.625 0 -1.15625 -0.171875q-0.515625 -0.171875 -0.90625 -0.515625q-0.375 -0.328125 -0.609375 -0.84375q-0.234375 -0.515625 -0.28125 -1.1875zm3.265625 -5.15625q0 -0.90625 -0.140625 -1.640625q-0.125 -0.75 -0.4375 -1.28125q-0.296875 -0.546875 -0.78125 -0.84375q-0.484375 -0.296875 -1.15625 -0.296875q-0.65625 0 -1.140625 0.3125q-0.46875 0.3125 -0.78125 0.859375q-0.296875 0.53125 -0.453125 1.25q-0.140625 0.703125 -0.140625 1.515625q0 0.921875 0.140625 1.6875q0.140625 0.75 0.4375 1.296875q0.3125 0.53125 0.78125 0.828125q0.484375 0.28125 1.15625 0.28125q0.65625 0 1.125 -0.3125q0.484375 -0.3125 0.78125 -0.84375q0.3125 -0.546875 0.453125 -1.265625q0.15625 -0.71875 0.15625 -1.546875zm18.453125 4.671875q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m184.0 708.0051l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m190.0 708.0052l68.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m190.0 706.35345l-4.538101 1.6517334l4.538101 1.6517334z" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m258.0 709.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" d="m214.22047 707.9894l0 0c0 -0.7914429 0.64160156 -1.4330444 1.433075 -1.4330444l0 0c0.38006592 0 0.7445679 0.15100098 1.0133209 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.4330597 1.4331055l0 0c-0.7914734 0 -1.433075 -0.64160156 -1.433075 -1.4331055z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m214.22047 707.9894l0 0c0 -0.7914429 0.64160156 -1.4330444 1.433075 -1.4330444l0 0c0.38006592 0 0.7445679 0.15100098 1.0133209 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.4330597 1.4331055l0 0c-0.7914734 0 -1.433075 -0.64160156 -1.433075 -1.4331055z" fill-rule="evenodd"/><path fill="#c9daf8" d="m120.0 600.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.0 600.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m133.71094 616.8183l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm13.3125 9.046875l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm6.46875 7.5q-0.8125 -0.125 -1.421875 -0.515625q-0.59375 -0.40625 -1.0 -1.046875q-0.390625 -0.65625 -0.59375 -1.546875q-0.1875 -0.890625 -0.1875 -1.96875q0 -1.390625 0.3125 -2.40625q0.328125 -1.015625 0.875 -1.671875q0.546875 -0.65625 1.28125 -0.96875q0.734375 -0.328125 1.5625 -0.328125q0.984375 0 1.703125 0.359375q0.734375 0.359375 1.21875 1.03125q0.484375 0.65625 0.71875 1.609375q0.25 0.953125 0.25 2.140625q0 1.25 -0.265625 2.1875q-0.265625 0.9375 -0.734375 1.59375q-0.453125 0.65625 -1.078125 1.03125q-0.625 0.375 -1.34375 0.5q0.109375 0.6875 0.53125 1.109375q0.4375 0.421875 1.21875 0.421875q0.375 0 0.734375 -0.125q0.375 -0.125 0.75 -0.421875l0.609375 0.953125q-0.515625 0.421875 -1.0625 0.59375q-0.546875 0.1875 -1.125 0.1875q-0.625 0 -1.15625 -0.171875q-0.515625 -0.171875 -0.90625 -0.515625q-0.375 -0.328125 -0.609375 -0.84375q-0.234375 -0.515625 -0.28125 -1.1875zm3.265625 -5.15625q0 -0.90625 -0.140625 -1.640625q-0.125 -0.75 -0.4375 -1.28125q-0.296875 -0.546875 -0.78125 -0.84375q-0.484375 -0.296875 -1.15625 -0.296875q-0.65625 0 -1.140625 0.3125q-0.46875 0.3125 -0.78125 0.859375q-0.296875 0.53125 -0.453125 1.25q-0.140625 0.703125 -0.140625 1.515625q0 0.921875 0.140625 1.6875q0.140625 0.75 0.4375 1.296875q0.3125 0.53125 0.78125 0.828125q0.484375 0.28125 1.15625 0.28125q0.65625 0 1.125 -0.3125q0.484375 -0.3125 0.78125 -0.84375q0.3125 -0.546875 0.453125 -1.265625q0.15625 -0.71875 0.15625 -1.546875zm18.609375 2.0q0 0.734375 -0.28125 1.3125q-0.28125 0.5625 -0.8125 0.953125q-0.53125 0.390625 -1.28125 0.59375q-0.734375 0.203125 -1.65625 0.203125l-2.671875 0l0 -10.21875l2.921875 0q3.421875 0 3.421875 2.484375q0 0.828125 -0.40625 1.421875q-0.390625 0.59375 -1.28125 0.890625q0.421875 0.078125 0.78125 0.265625q0.375 0.1875 0.65625 0.484375q0.28125 0.296875 0.4375 0.703125q0.171875 0.40625 0.171875 0.90625zm-1.8125 -4.484375q0 -0.3125 -0.09375 -0.578125q-0.09375 -0.28125 -0.328125 -0.484375q-0.234375 -0.203125 -0.640625 -0.3125q-0.390625 -0.125 -1.0 -0.125l-1.4375 0l0 3.203125l1.390625 0q0.484375 0 0.859375 -0.09375q0.390625 -0.109375 0.671875 -0.3125q0.28125 -0.21875 0.421875 -0.53125q0.15625 -0.328125 0.15625 -0.765625zm0.34375 4.53125q0 -0.390625 -0.171875 -0.703125q-0.15625 -0.3125 -0.46875 -0.515625q-0.3125 -0.21875 -0.765625 -0.328125q-0.453125 -0.125 -1.015625 -0.125l-1.421875 0l0 3.515625l1.46875 0q1.203125 0 1.78125 -0.4375q0.59375 -0.453125 0.59375 -1.40625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m184.0 620.0051l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m190.0 620.0052l68.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m190.0 618.35345l-4.538101 1.6517334l4.538101 1.6517334z" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m258.0 621.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#c9daf8" d="m120.0 512.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.0 512.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m133.71094 528.8183l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm13.3125 9.046875l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm6.46875 7.5q-0.8125 -0.125 -1.421875 -0.515625q-0.59375 -0.40625 -1.0 -1.046875q-0.390625 -0.65625 -0.59375 -1.546875q-0.1875 -0.890625 -0.1875 -1.96875q0 -1.390625 0.3125 -2.40625q0.328125 -1.015625 0.875 -1.671875q0.546875 -0.65625 1.28125 -0.96875q0.734375 -0.328125 1.5625 -0.328125q0.984375 0 1.703125 0.359375q0.734375 0.359375 1.21875 1.03125q0.484375 0.65625 0.71875 1.609375q0.25 0.953125 0.25 2.140625q0 1.25 -0.265625 2.1875q-0.265625 0.9375 -0.734375 1.59375q-0.453125 0.65625 -1.078125 1.03125q-0.625 0.375 -1.34375 0.5q0.109375 0.6875 0.53125 1.109375q0.4375 0.421875 1.21875 0.421875q0.375 0 0.734375 -0.125q0.375 -0.125 0.75 -0.421875l0.609375 0.953125q-0.515625 0.421875 -1.0625 0.59375q-0.546875 0.1875 -1.125 0.1875q-0.625 0 -1.15625 -0.171875q-0.515625 -0.171875 -0.90625 -0.515625q-0.375 -0.328125 -0.609375 -0.84375q-0.234375 -0.515625 -0.28125 -1.1875zm3.265625 -5.15625q0 -0.90625 -0.140625 -1.640625q-0.125 -0.75 -0.4375 -1.28125q-0.296875 -0.546875 -0.78125 -0.84375q-0.484375 -0.296875 -1.15625 -0.296875q-0.65625 0 -1.140625 0.3125q-0.46875 0.3125 -0.78125 0.859375q-0.296875 0.53125 -0.453125 1.25q-0.140625 0.703125 -0.140625 1.515625q0 0.921875 0.140625 1.6875q0.140625 0.75 0.4375 1.296875q0.3125 0.53125 0.78125 0.828125q0.484375 0.28125 1.15625 0.28125q0.65625 0 1.125 -0.3125q0.484375 -0.3125 0.78125 -0.84375q0.3125 -0.546875 0.453125 -1.265625q0.15625 -0.71875 0.15625 -1.546875zm19.390625 5.0625l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m184.0 532.0051l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m190.0 532.0052l68.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m190.0 530.35345l-4.538101 1.6517334l4.538101 1.6517334z" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m258.0 533.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" d="m246.46457 531.9894l0 0c0 -0.7914429 0.64160156 -1.4330444 1.433075 -1.4330444l0 0c0.38006592 0 0.7445679 0.15100098 1.0133209 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.4330597 1.4331055l0 0c-0.7914734 0 -1.433075 -0.64160156 -1.433075 -1.4331055z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m246.46457 531.9894l0 0c0 -0.7914429 0.64160156 -1.4330444 1.433075 -1.4330444l0 0c0.38006592 0 0.7445679 0.15100098 1.0133209 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.4330597 1.4331055l0 0c-0.7914734 0 -1.433075 -0.64160156 -1.433075 -1.4331055z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m216.0 736.0051l184.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m216.0 736.0052l178.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m394.0 737.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m232.0 648.0051l168.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m232.0 648.0052l162.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m394.0 649.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" d="m230.43832 619.97626l0 0c0 -0.7914429 0.64160156 -1.4330444 1.4330597 -1.4330444l0 0c0.38008118 0 0.74458313 0.15100098 1.0133362 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.433075 1.4331055l0 0c-0.79145813 0 -1.4330597 -0.64160156 -1.4330597 -1.4331055z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m230.43832 619.97626l0 0c0 -0.7914429 0.64160156 -1.4330444 1.4330597 -1.4330444l0 0c0.38008118 0 0.74458313 0.15100098 1.0133362 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.433075 1.4331055l0 0c-0.79145813 0 -1.4330597 -0.64160156 -1.4330597 -1.4331055z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m248.0 560.0051l152.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m248.0 560.0052l146.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m394.0 561.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m328.0 496.00516l80.0 0l0 31.99997l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m352.38464 506.05765q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5775757 -6.421875l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.4370117 6.609375l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338257 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.8588867 0q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.0775757 1.421875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm7.6245117 4.515625l-5.859375 0l0 -0.75l5.859375 0l0 0.75z" fill-rule="nonzero"/><path fill="#000000" d="m349.81458 516.35455q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.530731 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.780731 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.827606 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.9531555q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15628052q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.10940552 0.21875 -0.15628052q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375305q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m248.0 560.0051l144.0 0l0 16.0l-144.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m252.89062 570.0577q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5776062 -6.421875l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.436981 6.609375l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.733856 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.858856 0q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.077606 1.421875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm7.624481 4.515625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm8.718231 -0.96875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.374481 0.625q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.061981 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.1244812 0.515625q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 160.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m753.03436 170.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3744507 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499512 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713257 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963867 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5151367 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557007 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057617 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 88.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m757.0732 95.53687q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624451 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5151367 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557007 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390137 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 400.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m753.03436 410.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3744507 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499512 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713257 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963867 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5151367 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557007 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7495117 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713867 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 328.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m757.0732 335.53687q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624451 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5151367 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557007 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390137 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7494507 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713867 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 560.0051l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m752.8232 565.0264l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.061951 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.1869507 -1.28125q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm7.3432617 4.8125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5151367 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557007 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390137 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 512.0051l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m759.9557 519.35455q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5151367 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557617 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 824.0051l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m752.8232 829.0264l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.061951 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.1869507 -1.28125q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm7.3432617 4.8125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5151367 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557007 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390137 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6088257 -4.203125q0 0.4375 -0.1875 0.84375q-0.171875 0.390625 -0.546875 0.6875q-0.359375 0.28125 -0.90625 0.453125q-0.546875 0.171875 -1.28125 0.171875q-0.40625 0 -0.734375 -0.03125q-0.3125 -0.015625 -0.59375 -0.0625l0 -0.8125q0.3125 0.0625 0.671875 0.09375q0.359375 0.03125 0.734375 0.03125q0.515625 0 0.875 -0.09375q0.359375 -0.09375 0.578125 -0.265625q0.234375 -0.171875 0.34375 -0.40625q0.109375 -0.25 0.109375 -0.5625q0 -0.28125 -0.125 -0.484375q-0.125 -0.203125 -0.359375 -0.34375q-0.21875 -0.140625 -0.546875 -0.203125q-0.3125 -0.078125 -0.671875 -0.078125l-0.78125 0l0 -0.75l0.78125 0q0.3125 0 0.5625 -0.078125q0.25 -0.078125 0.421875 -0.234375q0.1875 -0.15625 0.28125 -0.375q0.09375 -0.21875 0.09375 -0.5q0 -0.546875 -0.328125 -0.796875q-0.328125 -0.25 -0.96875 -0.25q-0.34375 0 -0.71875 0.078125q-0.359375 0.0625 -0.78125 0.1875l0 -0.78125q0.1875 -0.0625 0.375 -0.109375q0.203125 -0.0625 0.40625 -0.09375q0.203125 -0.03125 0.390625 -0.046875q0.203125 -0.015625 0.390625 -0.015625q0.53125 0 0.9375 0.125q0.421875 0.109375 0.6875 0.328125q0.28125 0.21875 0.421875 0.53125q0.140625 0.3125 0.140625 0.703125q0 0.578125 -0.296875 0.984375q-0.296875 0.390625 -0.8125 0.625q0.265625 0.03125 0.515625 0.15625q0.265625 0.125 0.46875 0.328125q0.203125 0.1875 0.328125 0.453125q0.125 0.265625 0.125 0.59375zm4.8120117 4.203125l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 776.0051l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m759.9557 783.35455q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5151367 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557617 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6088257 -4.203125q0 0.4375 -0.1875 0.84375q-0.171875 0.390625 -0.546875 0.6875q-0.359375 0.28125 -0.90625 0.453125q-0.546875 0.171875 -1.28125 0.171875q-0.40625 0 -0.734375 -0.03125q-0.3125 -0.015625 -0.59375 -0.0625l0 -0.8125q0.3125 0.0625 0.671875 0.09375q0.359375 0.03125 0.734375 0.03125q0.515625 0 0.875 -0.09375q0.359375 -0.09375 0.578125 -0.265625q0.234375 -0.171875 0.34375 -0.40625q0.109375 -0.25 0.109375 -0.5625q0 -0.28125 -0.125 -0.484375q-0.125 -0.203125 -0.359375 -0.34375q-0.21875 -0.140625 -0.546875 -0.203125q-0.3125 -0.078125 -0.671875 -0.078125l-0.78125 0l0 -0.75l0.78125 0q0.3125 0 0.5625 -0.078125q0.25 -0.078125 0.421875 -0.234375q0.1875 -0.15625 0.28125 -0.375q0.09375 -0.21875 0.09375 -0.5q0 -0.546875 -0.328125 -0.796875q-0.328125 -0.25 -0.96875 -0.25q-0.34375 0 -0.71875 0.078125q-0.359375 0.0625 -0.78125 0.1875l0 -0.78125q0.1875 -0.0625 0.375 -0.109375q0.203125 -0.0625 0.40625 -0.09375q0.203125 -0.03125 0.390625 -0.046875q0.203125 -0.015625 0.390625 -0.015625q0.53125 0 0.9375 0.125q0.421875 0.109375 0.6875 0.328125q0.28125 0.21875 0.421875 0.53125q0.140625 0.3125 0.140625 0.703125q0 0.578125 -0.296875 0.984375q-0.296875 0.390625 -0.8125 0.625q0.265625 0.03125 0.515625 0.15625q0.265625 0.125 0.46875 0.328125q0.203125 0.1875 0.328125 0.453125q0.125 0.265625 0.125 0.59375zm4.8120117 4.203125l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m712.0286 616.0051l39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0286 616.0051l39.969543 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0286 624.0051l39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0286 624.0051l39.969543 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.99817 648.0051l-39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m751.99817 648.0052l-33.969543 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0286 646.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.99817 640.0051l-39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m751.99817 640.0052l-33.969543 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0286 638.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.97766 616.0051l32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m751.97766 616.0051l32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.97766 624.0051l32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m751.97766 624.0051l32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m783.99207 648.0051l-32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m783.99207 648.0051l-32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m783.99207 640.0051l-32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m783.99207 640.0051l-32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0286 704.0051l39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0286 704.0051l39.969543 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0286 712.0051l39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0286 712.0051l39.969543 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.99817 736.0051l-39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m751.99817 736.0052l-33.969543 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0286 734.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.99817 728.0051l-39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m751.99817 728.0052l-33.969543 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0286 726.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.97766 704.0051l32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m751.97766 704.0051l32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.97766 712.0051l32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m751.97766 712.0051l32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m783.99207 736.0051l-32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m783.99207 736.0051l-32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m783.99207 728.0051l-32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m783.99207 728.0051l-32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m607.74805 120.00516l-207.74805 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m607.74805 120.00516l-207.74805 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m400.0 104.0l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m415.4578 114.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm8.718231 -0.96875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.374481 0.625q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.061981 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.1244812 0.515625q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm8.718231 4.703125l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.905731 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546356 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m607.9055 136.00516l-23.905518 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m607.9055 136.00516l-17.905518 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 134.35342l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 152.00516l-23.905518 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 152.00516l-17.905518 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0945 150.35342l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m120.0 532.05237l-63.74803 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.0 532.0524l-57.74803 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m62.25197 530.4007l-4.5380974 1.6517334l4.5380974 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m120.580055 620.40674l-63.748035 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.580055 620.40674l-57.748035 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m62.83202 618.755l-4.5380974 1.6517334l4.5380974 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m120.7664 708.3674l-63.74803 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.7664 708.3674l-57.74803 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m63.01837 706.71564l-4.5380974 1.6517334l4.5380974 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m120.1601 795.8398l-63.748028 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.1601 795.8398l-57.748028 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m62.412075 794.1881l-4.5380974 1.6516724l4.5380974 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m607.74805 208.00516l-191.74805 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m607.74805 208.00516l-191.74805 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m607.9055 224.00516l-23.905518 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m607.9055 224.00514l-17.905518 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 222.35341l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 240.00516l-23.905518 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 240.00514l-17.905518 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0945 238.35341l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m607.74805 352.0l-175.74805 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m607.74805 352.0l-175.74805 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m607.9055 368.0l-23.905518 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m607.9055 368.0l-17.905518 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 366.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 384.0l-23.905518 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 384.0l-17.905518 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0945 382.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m400.0 120.0l0 288.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m400.0 120.0l0 282.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m398.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m416.0 208.0l0 200.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m416.0 208.0l0 194.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m414.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m432.0 352.0l0 56.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m432.0 352.0l0 50.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m430.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m416.0 192.0l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m431.4578 202.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm8.718231 -0.96875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.374481 0.625q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.061981 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.1244812 0.515625q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.0469055 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.9531555 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34378052 0 0.7187805 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.7344055 0 -1.1562805 0.484375q-0.40625 0.46875 -0.40625 1.484375zm8.718231 4.703125l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6869507 -2.140625l-4.265625 0l0 -0.84375l1.75 0l0 -4.96875l-1.640625 0.890625l-0.328125 -0.765625l2.15625 -1.140625l0.8125 0l0 5.984375l1.515625 0l0 0.84375zm4.7338867 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m432.0 335.99484l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m447.4578 346.23483l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm8.718231 -0.96875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.374481 0.625q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088257 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.062012 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.1245117 0.515625q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm8.718201 4.703125l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7494507 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713867 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 128.00516l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m494.4458 138.24515l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8124695 0 1.2343445 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21871948 -0.3125 -0.6249695 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.9370117 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm4.6870117 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546326 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 144.00516l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m476.86923 154.24515l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.5468445 0l0 0.75l-4.1874695 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077637 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.0619507 -2.8125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.1557007 -1.46875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.4682617 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm10.046326 5.21875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3744507 -2.09375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm5.5463867 0.8125l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.6088867 8.75l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546326 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 216.00516l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m494.4458 226.24515l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8124695 0 1.2343445 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21871948 -0.3125 -0.6249695 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.9370117 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm4.6870117 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6869507 -2.140625l-4.265625 0l0 -0.84375l1.75 0l0 -4.96875l-1.640625 0.890625l-0.328125 -0.765625l2.15625 -1.140625l0.8125 0l0 5.984375l1.515625 0l0 0.84375zm4.7338257 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 232.00516l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m476.86923 242.24515l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.5468445 0l0 0.75l-4.1874695 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077637 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.0619507 -2.8125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.1557007 -1.46875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.4682617 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm10.046326 5.21875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3744507 -2.09375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm5.5463867 0.8125l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.6088867 8.75l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6869507 -2.140625l-4.265625 0l0 -0.84375l1.75 0l0 -4.96875l-1.640625 0.890625l-0.328125 -0.765625l2.15625 -1.140625l0.8125 0l0 5.984375l1.515625 0l0 0.84375zm4.7338257 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 360.0l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m494.4458 370.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8124695 0 1.2343445 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21871948 -0.3125 -0.6249695 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.9370117 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm4.6870117 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7494507 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713257 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 376.0l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m476.86923 386.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.5468445 0l0 0.75l-4.1874695 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077637 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.0619507 -2.8125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.1557007 -1.46875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.4682617 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm10.046326 5.21875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3744507 -2.09375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm5.5463867 0.8125l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.6088867 8.75l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7494507 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713257 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m352.0 216.0l0 -112.0l16.0 0l0 112.0z" fill-rule="evenodd"/><path fill="#000000" d="m362.24 211.8125l-0.703125 0.015625q0.421875 0.4375 0.609375 0.875q0.1875 0.4375 0.1875 0.921875q0 0.4375 -0.109375 0.765625q-0.125 0.3125 -0.3125 0.515625q-0.203125 0.203125 -0.46875 0.3125q-0.28125 0.09375 -0.59375 0.09375q-0.796875 0 -1.234375 -0.578125q-0.4375 -0.59375 -0.4375 -1.734375l0 -1.09375l-0.46875 0q-0.453125 0 -0.734375 0.296875q-0.28125 0.296875 -0.28125 0.90625q0 0.4375 0.109375 0.875q0.09375 0.421875 0.265625 0.890625l-0.8125 0q-0.0625 -0.171875 -0.125 -0.375q-0.0625 -0.21875 -0.109375 -0.453125q-0.046875 -0.234375 -0.0625 -0.484375q-0.03125 -0.265625 -0.03125 -0.515625q0 -0.484375 0.109375 -0.859375q0.09375 -0.375 0.3125 -0.640625q0.203125 -0.265625 0.53125 -0.40625q0.328125 -0.140625 0.765625 -0.140625l3.59375 0l0 0.8125zm-2.375 0.09375l0 1.15625q0 0.328125 0.0625 0.578125q0.0625 0.25 0.1875 0.40625q0.125 0.15625 0.3125 0.234375q0.171875 0.0625 0.390625 0.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.25 -0.140625q0.109375 -0.109375 0.171875 -0.265625q0.0625 -0.171875 0.0625 -0.421875q0 -0.296875 -0.1875 -0.703125q-0.203125 -0.40625 -0.609375 -0.859375l-0.921875 0zm-4.234375 -4.374481l0 1.546875l-0.75 0l0 -2.46875l6.609375 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-5.859375 0zm3.71875 -8.499481q0.203125 0 0.328125 0.015625q0.125 0 0.234375 0.015625l0 3.65625q0.8125 0 1.25 -0.4375q0.421875 -0.453125 0.421875 -1.296875q0 -0.21875 -0.015625 -0.453125q-0.03125 -0.234375 -0.0625 -0.4375q-0.03125 -0.21875 -0.0625 -0.40625q-0.046875 -0.203125 -0.09375 -0.375l0.75 0q0.109375 0.375 0.171875 0.84375q0.0625 0.46875 0.0625 0.96875q0 0.65625 -0.171875 1.140625q-0.1875 0.484375 -0.53125 0.796875q-0.359375 0.3125 -0.859375 0.46875q-0.5 0.140625 -1.140625 0.140625q-0.546875 0 -1.03125 -0.15625q-0.5 -0.15625 -0.859375 -0.453125q-0.375 -0.3125 -0.59375 -0.75q-0.21875 -0.453125 -0.21875 -1.015625q0 -0.546875 0.171875 -0.96875q0.171875 -0.421875 0.484375 -0.703125q0.3125 -0.296875 0.765625 -0.4375q0.453125 -0.15625 1.0 -0.15625zm-0.125 0.953125q-0.34375 -0.015625 -0.625 0.0625q-0.296875 0.078125 -0.5 0.265625q-0.21875 0.171875 -0.328125 0.4375q-0.125 0.25 -0.125 0.59375q0 0.296875 0.125 0.546875q0.109375 0.234375 0.3125 0.421875q0.203125 0.171875 0.5 0.28125q0.296875 0.109375 0.640625 0.125l0 -2.734375zm-2.203125 -2.6088562l0 -0.828125l0.953125 -0.03125q-0.546875 -0.453125 -0.796875 -0.90625q-0.25 -0.453125 -0.25 -0.90625q0 -0.8125 0.53125 -1.234375q0.515625 -0.421875 1.546875 -0.390625l0 0.921875q-0.6875 -0.015625 -0.984375 0.203125q-0.3125 0.21875 -0.3125 0.625q0 0.1875 0.0625 0.375q0.0625 0.1875 0.203125 0.375q0.140625 0.1875 0.375 0.40625q0.21875 0.21875 0.53125 0.46875l3.359375 0l0 0.921875l-5.21875 0zm5.140625 -9.858856q0.078125 0.3125 0.109375 0.640625q0.046875 0.328125 0.046875 0.671875q0 0.984375 -0.4375 1.46875q-0.453125 0.46875 -1.375 0.46875l-2.734375 0l0 1.46875l-0.75 0l0 -1.46875l-1.4375 0l-0.234375 -0.890625l1.671875 0l0 -2.359375l0.75 0l0 2.359375l2.65625 0q0.5625 0 0.84375 -0.296875q0.28125 -0.3125 0.28125 -0.890625q0 -0.25 -0.03125 -0.546875q-0.046875 -0.296875 -0.140625 -0.625l0.78125 0zm2.21875 -6.671356l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-6.609375 -2.6088562l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm2.203125 -3.7651062l0 -0.8125l0.84375 -0.03125q-0.28125 -0.234375 -0.453125 -0.4375q-0.1875 -0.21875 -0.296875 -0.421875q-0.109375 -0.203125 -0.140625 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.8125 0.484375 -1.21875q0.46875 -0.421875 1.421875 -0.421875l3.40625 0l0 0.90625l-3.328125 0q-0.625 0 -0.90625 0.234375q-0.296875 0.21875 -0.296875 0.671875q0 0.171875 0.046875 0.328125q0.046875 0.15625 0.171875 0.328125q0.125 0.171875 0.328125 0.375q0.203125 0.203125 0.515625 0.453125l3.46875 0l0 0.90625l-5.21875 0zm5.140625 -10.077606q0.078125 0.3125 0.109375 0.640625q0.046875 0.328125 0.046875 0.671875q0 0.984375 -0.4375 1.46875q-0.453125 0.46875 -1.375 0.46875l-2.734375 0l0 1.46875l-0.75 0l0 -1.46875l-1.4375 0l-0.234375 -0.890625l1.671875 0l0 -2.359375l0.75 0l0 2.359375l2.65625 0q0.5625 0 0.84375 -0.296875q0.28125 -0.3125 0.28125 -0.890625q0 -0.25 -0.03125 -0.546875q-0.046875 -0.296875 -0.140625 -0.625l0.78125 0zm-2.8125 -6.061981q0.203125 0 0.328125 0.015625q0.125 0 0.234375 0.015625l0 3.65625q0.8125 0 1.25 -0.4375q0.421875 -0.453125 0.421875 -1.296875q0 -0.21875 -0.015625 -0.453125q-0.03125 -0.234375 -0.0625 -0.4375q-0.03125 -0.21875 -0.0625 -0.40625q-0.046875 -0.203125 -0.09375 -0.375l0.75 0q0.109375 0.375 0.171875 0.84375q0.0625 0.46875 0.0625 0.96875q0 0.65625 -0.171875 1.140625q-0.1875 0.484375 -0.53125 0.796875q-0.359375 0.3125 -0.859375 0.46875q-0.5 0.140625 -1.140625 0.140625q-0.546875 0 -1.03125 -0.15625q-0.5 -0.15625 -0.859375 -0.453125q-0.375 -0.3125 -0.59375 -0.75q-0.21875 -0.453125 -0.21875 -1.015625q0 -0.546875 0.171875 -0.96875q0.171875 -0.421875 0.484375 -0.703125q0.3125 -0.296875 0.765625 -0.4375q0.453125 -0.15625 1.0 -0.15625zm-0.125 0.953125q-0.34375 -0.015625 -0.625 0.0625q-0.296875 0.078125 -0.5 0.265625q-0.21875 0.171875 -0.328125 0.4375q-0.125 0.25 -0.125 0.59375q0 0.296875 0.125 0.546875q0.109375 0.234375 0.3125 0.421875q0.203125 0.171875 0.5 0.28125q0.296875 0.109375 0.640625 0.125l0 -2.734375zm-1.46875 -6.155731q0.1875 -0.140625 0.4375 -0.21875q0.234375 -0.09375 0.515625 -0.09375q0.40625 0 0.734375 0.15625q0.328125 0.140625 0.578125 0.421875q0.234375 0.265625 0.375 0.640625q0.125 0.375 0.125 0.828125q0 0.328125 -0.0625 0.625q-0.078125 0.28125 -0.1875 0.4375q0.15625 0.109375 0.28125 0.171875q0.125 0.0625 0.296875 0.0625q0.1875 0 0.328125 -0.1875q0.125 -0.1875 0.140625 -0.5l0.046875 -1.375q0.015625 -0.390625 0.109375 -0.71875q0.078125 -0.328125 0.25 -0.5625q0.15625 -0.234375 0.40625 -0.359375q0.25 -0.140625 0.5625 -0.140625q0.359375 0 0.671875 0.15625q0.3125 0.140625 0.546875 0.453125q0.234375 0.3125 0.375 0.796875q0.140625 0.484375 0.140625 1.15625q0 0.625 -0.109375 1.078125q-0.09375 0.4375 -0.28125 0.734375q-0.171875 0.28125 -0.421875 0.421875q-0.25 0.125 -0.546875 0.125q-0.375 0 -0.671875 -0.171875q-0.28125 -0.1875 -0.546875 -0.5625q-0.0625 0.140625 -0.15625 0.25q-0.09375 0.09375 -0.203125 0.15625q-0.109375 0.0625 -0.234375 0.09375q-0.125 0.03125 -0.25 0.03125q-0.328125 0 -0.609375 -0.15625q-0.28125 -0.171875 -0.53125 -0.390625q-0.125 0.109375 -0.234375 0.1875q-0.109375 0.0625 -0.234375 0.125q-0.140625 0.046875 -0.296875 0.078125q-0.15625 0.03125 -0.359375 0.03125q-0.40625 0 -0.734375 -0.140625q-0.34375 -0.15625 -0.578125 -0.421875q-0.234375 -0.265625 -0.359375 -0.640625q-0.140625 -0.390625 -0.140625 -0.84375q0 -0.1875 0.03125 -0.359375q0.015625 -0.1875 0.0625 -0.3125l0 -1.90625l0.734375 0l0 0.84375zm5.234375 3.140625q0.375 0 0.546875 -0.375q0.171875 -0.390625 0.171875 -1.078125q0 -0.4375 -0.078125 -0.71875q-0.078125 -0.296875 -0.21875 -0.46875q-0.125 -0.1875 -0.296875 -0.265625q-0.15625 -0.078125 -0.328125 -0.078125q-0.3125 0 -0.46875 0.265625q-0.140625 0.25 -0.171875 0.78125l-0.046875 1.359375q0.109375 0.171875 0.21875 0.296875q0.109375 0.109375 0.21875 0.171875q0.125 0.0625 0.234375 0.09375q0.109375 0.015625 0.21875 0.015625zm-4.265625 -0.28125q0.25 0 0.46875 -0.078125q0.203125 -0.078125 0.34375 -0.234375q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.453125q0 -0.265625 -0.078125 -0.46875q-0.09375 -0.21875 -0.25 -0.359375q-0.15625 -0.140625 -0.359375 -0.21875q-0.203125 -0.078125 -0.421875 -0.078125q-0.25 0 -0.453125 0.09375q-0.21875 0.078125 -0.359375 0.234375q-0.140625 0.140625 -0.21875 0.34375q-0.09375 0.203125 -0.09375 0.453125q0 0.265625 0.09375 0.484375q0.09375 0.203125 0.25 0.359375q0.15625 0.140625 0.359375 0.21875q0.203125 0.0625 0.421875 0.0625zm5.65625 -9.983856l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-8.65625 -5.468231q-0.140625 0.71875 -0.140625 1.234375q0 1.21875 1.28125 1.21875l0.90625 0l0 -2.296875l0.765625 0l0 2.296875l3.703125 0l0 0.921875l-3.703125 0l0 1.671875l-0.765625 0l0 -1.671875l-0.859375 0q-2.09375 0 -2.09375 -2.171875q0 -0.546875 0.125 -1.203125l0.78125 0zm1.296875 5.46875l0 0zm5.21875 -10.046356l-0.703125 0.015625q0.421875 0.4375 0.609375 0.875q0.1875 0.4375 0.1875 0.921875q0 0.4375 -0.109375 0.765625q-0.125 0.3125 -0.3125 0.515625q-0.203125 0.203125 -0.46875 0.3125q-0.28125 0.09375 -0.59375 0.09375q-0.796875 0 -1.234375 -0.578125q-0.4375 -0.59375 -0.4375 -1.734375l0 -1.09375l-0.46875 0q-0.453125 0 -0.734375 0.296875q-0.28125 0.296875 -0.28125 0.90625q0 0.4375 0.109375 0.875q0.09375 0.421875 0.265625 0.890625l-0.8125 0q-0.0625 -0.171875 -0.125 -0.375q-0.0625 -0.21875 -0.109375 -0.453125q-0.046875 -0.234375 -0.0625 -0.484375q-0.03125 -0.265625 -0.03125 -0.515625q0 -0.484375 0.109375 -0.859375q0.09375 -0.375 0.3125 -0.640625q0.203125 -0.265625 0.53125 -0.40625q0.328125 -0.140625 0.765625 -0.140625l3.59375 0l0 0.8125zm-2.375 0.09375l0 1.15625q0 0.328125 0.0625 0.578125q0.0625 0.25 0.1875 0.40625q0.125 0.15625 0.3125 0.234375q0.171875 0.0625 0.390625 0.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.25 -0.140625q0.109375 -0.109375 0.171875 -0.265625q0.0625 -0.171875 0.0625 -0.421875q0 -0.296875 -0.1875 -0.703125q-0.203125 -0.40625 -0.609375 -0.859375l-0.921875 0zm-2.09375 -4.374481l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm0.8125 -5.546356l0 1.546875l-0.75 0l0 -2.46875l6.609375 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-5.859375 0zm8.75 -7.608856l0 2.5625l-9.671875 0l0 -2.5625l0.71875 0l0 1.703125l8.21875 0l0 -1.703125l0.734375 0zm-6.515625 -6.499481l0.625 0.359375l-0.96875 1.453125l1.78125 -0.109375l0 0.734375l-1.78125 -0.109375l0.96875 1.484375l-0.609375 0.328125l-0.78125 -1.59375l-0.8125 1.59375l-0.609375 -0.359375l0.984375 -1.46875l-1.78125 0.125l0 -0.734375l1.78125 0.125l-0.984375 -1.46875l0.640625 -0.375l0.78125 1.609375l0.765625 -1.59375zm6.515625 -4.921356l0 2.5625l-0.734375 0l0 -1.6875l-8.21875 0l0 1.6875l-0.71875 0l0 -2.5625l9.671875 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m80.0 16.005249l469.7008 0l0 32.0l-469.7008 0z" fill-rule="evenodd"/><path fill="#0000ff" d="m97.5625 42.581497q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm6.2729187 -11.21875l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm15.366669 11.5625l-2.1875 0l-4.25 -4.90625l0 4.90625l-1.59375 0l0 -12.875l1.59375 0l0 7.90625l4.09375 -4.171875l2.109375 0l-4.296875 4.21875l4.53125 4.921875zm10.819794 3.734375l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm4.5854187 -11.5625l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm22.327087 16.8125q-4.203125 -3.890625 -4.203125 -8.59375q0 -1.109375 0.21875 -2.203125q0.21875 -1.109375 0.71875 -2.203125q0.5 -1.109375 1.3125 -2.203125q0.8125 -1.109375 1.984375 -2.1875l0.921875 0.9375q-3.53125 3.484375 -3.53125 7.734375q0 2.109375 0.890625 4.0625q0.890625 1.953125 2.640625 3.671875l-0.953125 0.984375zm11.304169 -3.828125l0 -6.5625q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.28125 -0.109375 -0.4375q-0.0625 -0.15625 -0.171875 -0.21875q-0.109375 -0.0625 -0.25 -0.0625q-0.1875 0 -0.34375 0.109375q-0.15625 0.109375 -0.34375 0.359375q-0.171875 0.234375 -0.390625 0.640625q-0.203125 0.40625 -0.5 1.0l0 5.875l-1.4375 0l0 -6.390625q0 -0.5 -0.03125 -0.8125q-0.03125 -0.3125 -0.109375 -0.484375q-0.0625 -0.171875 -0.171875 -0.234375q-0.109375 -0.0625 -0.265625 -0.0625q-0.171875 0 -0.3125 0.09375q-0.140625 0.078125 -0.328125 0.328125q-0.171875 0.234375 -0.390625 0.640625q-0.21875 0.40625 -0.515625 1.046875l0 5.875l-1.46875 0l0 -9.140625l1.21875 0l0.078125 1.734375q0.234375 -0.515625 0.453125 -0.875q0.21875 -0.375 0.453125 -0.59375q0.25 -0.21875 0.515625 -0.328125q0.265625 -0.109375 0.59375 -0.109375q0.734375 0 1.109375 0.484375q0.390625 0.484375 0.390625 1.5q0.21875 -0.484375 0.421875 -0.84375q0.21875 -0.375 0.453125 -0.625q0.25 -0.25 0.53125 -0.375q0.296875 -0.140625 0.6875 -0.140625q1.71875 0 1.71875 2.65625l0 6.65625l-1.453125 0zm9.601044 0l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm7.6791687 -3.671875l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm6.5854187 3.84375l1.421875 0l0.0625 1.46875q0.390625 -0.46875 0.765625 -0.78125q0.375 -0.3125 0.734375 -0.5q0.359375 -0.203125 0.734375 -0.28125q0.375 -0.078125 0.78125 -0.078125q1.40625 0 2.125 0.84375q0.734375 0.828125 0.734375 2.5l0 5.96875l-1.59375 0l0 -5.84375q0 -1.078125 -0.40625 -1.578125q-0.390625 -0.515625 -1.1875 -0.515625q-0.28125 0 -0.5625 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.34375 0.359375 -0.78125 0.90625l0 6.0625l-1.59375 0l0 -9.140625zm26.389587 9.140625l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm7.6791687 -7.40625l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm14.866669 6.5q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.257294 9.015625q-0.53125 0.140625 -1.109375 0.1875q-0.578125 0.0625 -1.171875 0.0625q-1.71875 0 -2.5625 -0.78125q-0.84375 -0.78125 -0.84375 -2.390625l0 -4.765625l-2.5625 0l0 -1.328125l2.5625 0l0 -2.515625l1.578125 -0.40625l0 2.921875l4.109375 0l0 1.328125l-4.109375 0l0 4.640625q0 0.984375 0.515625 1.46875q0.53125 0.484375 1.546875 0.484375q0.4375 0 0.953125 -0.0625q0.53125 -0.0625 1.09375 -0.21875l0 1.375zm20.498962 0.125l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm8.772919 0l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm4.5541687 -4.984375l1.421875 0l0.0625 1.46875q0.390625 -0.46875 0.765625 -0.78125q0.375 -0.3125 0.734375 -0.5q0.359375 -0.203125 0.734375 -0.28125q0.375 -0.078125 0.78125 -0.078125q1.40625 0 2.125 0.84375q0.734375 0.828125 0.734375 2.5l0 5.96875l-1.59375 0l0 -5.84375q0 -1.078125 -0.40625 -1.578125q-0.390625 -0.515625 -1.1875 -0.515625q-0.28125 0 -0.5625 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.34375 0.359375 -0.78125 0.90625l0 6.0625l-1.59375 0l0 -9.140625zm9.804169 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm12.226044 -7.078125l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm14.866669 6.5q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm27.248962 8.796875q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm6.2729187 -11.21875l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm15.101044 6.921875q0 1.0625 -0.3125 1.953125q-0.296875 0.890625 -0.859375 1.53125q-0.5625 0.625 -1.375 0.96875q-0.8125 0.34375 -1.84375 0.34375q-0.984375 0 -1.765625 -0.296875q-0.765625 -0.3125 -1.3125 -0.890625q-0.546875 -0.59375 -0.828125 -1.46875q-0.28125 -0.875 -0.28125 -2.015625q0 -1.0625 0.296875 -1.9375q0.296875 -0.890625 0.859375 -1.515625q0.5625 -0.640625 1.375 -0.984375q0.8125 -0.359375 1.84375 -0.359375q0.984375 0 1.765625 0.3125q0.78125 0.296875 1.3125 0.890625q0.546875 0.578125 0.828125 1.453125q0.296875 0.875 0.296875 2.015625zm-1.625 0.0625q0 -0.84375 -0.1875 -1.46875q-0.1875 -0.640625 -0.53125 -1.0625q-0.34375 -0.421875 -0.84375 -0.640625q-0.5 -0.21875 -1.109375 -0.21875q-0.703125 0 -1.21875 0.28125q-0.5 0.28125 -0.828125 0.75q-0.3125 0.453125 -0.46875 1.078125q-0.15625 0.609375 -0.15625 1.28125q0 0.859375 0.1875 1.5q0.1875 0.625 0.53125 1.046875q0.359375 0.421875 0.84375 0.640625q0.5 0.21875 1.109375 0.21875q0.71875 0 1.21875 -0.28125q0.5 -0.28125 0.828125 -0.734375q0.328125 -0.46875 0.46875 -1.078125q0.15625 -0.625 0.15625 -1.3125zm11.022919 4.234375q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm11.382294 0.34375l-2.1875 0l-4.25 -4.90625l0 4.90625l-1.59375 0l0 -12.875l1.59375 0l0 7.90625l4.09375 -4.171875l2.109375 0l-4.296875 4.21875l4.53125 4.921875zm11.827087 -4.375q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm17.069794 -0.15625q0 1.0625 -0.3125 1.953125q-0.296875 0.890625 -0.859375 1.53125q-0.5625 0.625 -1.375 0.96875q-0.8125 0.34375 -1.84375 0.34375q-0.984375 0 -1.765625 -0.296875q-0.765625 -0.3125 -1.3125 -0.890625q-0.546875 -0.59375 -0.828125 -1.46875q-0.28125 -0.875 -0.28125 -2.015625q0 -1.0625 0.296875 -1.9375q0.296875 -0.890625 0.859375 -1.515625q0.5625 -0.640625 1.375 -0.984375q0.8125 -0.359375 1.84375 -0.359375q0.984375 0 1.765625 0.3125q0.78125 0.296875 1.3125 0.890625q0.546875 0.578125 0.828125 1.453125q0.296875 0.875 0.296875 2.015625zm-1.625 0.0625q0 -0.84375 -0.1875 -1.46875q-0.1875 -0.640625 -0.53125 -1.0625q-0.34375 -0.421875 -0.84375 -0.640625q-0.5 -0.21875 -1.109375 -0.21875q-0.703125 0 -1.21875 0.28125q-0.5 0.28125 -0.828125 0.75q-0.3125 0.453125 -0.46875 1.078125q-0.15625 0.609375 -0.15625 1.28125q0 0.859375 0.1875 1.5q0.1875 0.625 0.53125 1.046875q0.359375 0.421875 0.84375 0.640625q0.5 0.21875 1.109375 0.21875q0.71875 0 1.21875 -0.28125q0.5 -0.28125 0.828125 -0.734375q0.328125 -0.46875 0.46875 -1.078125q0.15625 -0.625 0.15625 -1.3125zm10.444794 4.578125l0 -6.5625q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.28125 -0.109375 -0.4375q-0.0625 -0.15625 -0.171875 -0.21875q-0.109375 -0.0625 -0.25 -0.0625q-0.1875 0 -0.34375 0.109375q-0.15625 0.109375 -0.34375 0.359375q-0.171875 0.234375 -0.390625 0.640625q-0.203125 0.40625 -0.5 1.0l0 5.875l-1.4375 0l0 -6.390625q0 -0.5 -0.03125 -0.8125q-0.03125 -0.3125 -0.109375 -0.484375q-0.0625 -0.171875 -0.171875 -0.234375q-0.109375 -0.0625 -0.265625 -0.0625q-0.171875 0 -0.3125 0.09375q-0.140625 0.078125 -0.328125 0.328125q-0.171875 0.234375 -0.390625 0.640625q-0.21875 0.40625 -0.515625 1.046875l0 5.875l-1.46875 0l0 -9.140625l1.21875 0l0.078125 1.734375q0.234375 -0.515625 0.453125 -0.875q0.21875 -0.375 0.453125 -0.59375q0.25 -0.21875 0.515625 -0.328125q0.265625 -0.109375 0.59375 -0.109375q0.734375 0 1.109375 0.484375q0.390625 0.484375 0.390625 1.5q0.21875 -0.484375 0.421875 -0.84375q0.21875 -0.375 0.453125 -0.625q0.25 -0.25 0.53125 -0.375q0.296875 -0.140625 0.6875 -0.140625q1.71875 0 1.71875 2.65625l0 6.65625l-1.453125 0zm9.601044 0l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm7.6791687 -3.671875l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm6.5854187 3.84375l1.421875 0l0.0625 1.46875q0.390625 -0.46875 0.765625 -0.78125q0.375 -0.3125 0.734375 -0.5q0.359375 -0.203125 0.734375 -0.28125q0.375 -0.078125 0.78125 -0.078125q1.40625 0 2.125 0.84375q0.734375 0.828125 0.734375 2.5l0 5.96875l-1.59375 0l0 -5.84375q0 -1.078125 -0.40625 -1.578125q-0.390625 -0.515625 -1.1875 -0.515625q-0.28125 0 -0.5625 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.34375 0.359375 -0.78125 0.90625l0 6.0625l-1.59375 0l0 -9.140625zm12.132294 -4.421875q4.203125 3.890625 4.203125 8.65625q0 0.984375 -0.203125 2.046875q-0.203125 1.046875 -0.6875 2.15625q-0.484375 1.109375 -1.296875 2.234375q-0.8125 1.140625 -2.0625 2.296875l-0.90625 -0.9375q1.765625 -1.765625 2.640625 -3.671875q0.875 -1.921875 0.875 -4.015625q0 -4.328125 -3.515625 -7.796875l0.953125 -0.96875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m999.8114 368.0l-23.811035 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m999.81146 368.0l-17.811035 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m982.0004 366.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m999.9055 216.0l-23.811035 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m999.9055 216.0l-17.811035 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m982.0945 214.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m999.9055 128.0l-23.811035 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m999.9055 128.0l-17.811035 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m982.0945 126.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m976.0941 544.00525l23.811035 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m976.09406 544.00525l17.811035 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m993.9051 545.657l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m976.0941 808.00525l23.811035 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m976.09406 808.00525l17.811035 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m993.9051 809.657l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 448.00516l128.0 0l0 32.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m201.44531 467.1464q0 0.734375 -0.125 1.40625q-0.109375 0.671875 -0.359375 1.25q-0.25 0.578125 -0.65625 1.046875q-0.390625 0.46875 -0.96875 0.8125q-0.578125 0.328125 -1.34375 0.515625q-0.765625 0.1875 -1.734375 0.1875l-2.1875 0l0 -10.21875l2.625 0q2.390625 0 3.5625 1.234375q1.1875 1.234375 1.1875 3.765625zm-1.46875 0.09375q0 -1.078125 -0.203125 -1.828125q-0.203125 -0.75 -0.625 -1.203125q-0.40625 -0.46875 -1.03125 -0.671875q-0.625 -0.21875 -1.46875 -0.21875l-1.1875 0l0 7.84375l1.03125 0q3.484375 0 3.484375 -3.921875zm18.609375 4.734375q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125zm17.75 -2.671875q0 0.734375 -0.28125 1.3125q-0.28125 0.5625 -0.8125 0.953125q-0.53125 0.390625 -1.28125 0.59375q-0.734375 0.203125 -1.65625 0.203125l-2.671875 0l0 -10.21875l2.921875 0q3.421875 0 3.421875 2.484375q0 0.828125 -0.40625 1.421875q-0.390625 0.59375 -1.28125 0.890625q0.421875 0.078125 0.78125 0.265625q0.375 0.1875 0.65625 0.484375q0.28125 0.296875 0.4375 0.703125q0.171875 0.40625 0.171875 0.90625zm-1.8125 -4.484375q0 -0.3125 -0.09375 -0.578125q-0.09375 -0.28125 -0.328125 -0.484375q-0.234375 -0.203125 -0.640625 -0.3125q-0.390625 -0.125 -1.0 -0.125l-1.4375 0l0 3.203125l1.390625 0q0.484375 0 0.859375 -0.09375q0.390625 -0.109375 0.671875 -0.3125q0.28125 -0.21875 0.421875 -0.53125q0.15625 -0.328125 0.15625 -0.765625zm0.34375 4.53125q0 -0.390625 -0.171875 -0.703125q-0.15625 -0.3125 -0.46875 -0.515625q-0.3125 -0.21875 -0.765625 -0.328125q-0.453125 -0.125 -1.015625 -0.125l-1.421875 0l0 3.515625l1.46875 0q1.203125 0 1.78125 -0.4375q0.59375 -0.453125 0.59375 -1.40625zm19.84375 3.015625l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m984.0 120.0l80.0 0l0 16.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m1010.61096 130.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.2343826q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.5937576l-0.8125 0zm-0.09375 -2.3750076l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.18750763q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.9218826zm4.3744507 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.6093826l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.8593826zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125076 0.4375 1.2500076q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.1406326q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.3593826l-0.921875 0l0 -5.2187576zm9.858887 5.1406326q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.7343826l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.6562576q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.2182617 -3.3125076q0 0.765625 -0.15625 1.4218826q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.6718826 -0.171875 -1.5625076q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.1406326q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5000076 0.109375 -1.1562576zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m984.0 208.0l80.0 0l0 16.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m1011.67346 215.34938q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm1.9057007 -2.203125l1.03125 0l1.28125 3.453125l0.265625 0.84375l0.296875 -0.875l1.265625 -3.421875l1.0 0l-2.0625 5.21875l-1.03125 0l-2.046875 -5.21875zm10.765076 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077637 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm5.9995117 0.078125l-4.265625 0l0 -0.84375l1.75 0l0 -4.96875l-1.640625 0.890625l-0.328125 -0.765625l2.15625 -1.140625l0.8125 0l0 5.984375l1.515625 0l0 0.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m984.0 359.9999l80.0 0l0 16.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m1011.67346 367.34927q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm1.9057007 -2.203125l1.03125 0l1.28125 3.453125l0.265625 0.84375l0.296875 -0.875l1.265625 -3.421875l1.0 0l-2.0625 5.21875l-1.03125 0l-2.046875 -5.21875zm10.765076 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077637 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.2182617 -3.3125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m1008.0 536.00525l63.748047 0l0 16.0l-63.748047 0z" fill-rule="evenodd"/><path fill="#000000" d="m1013.25 543.3546q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.73443604 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.35943604 0.046875 0.68756104 0.1875l0 0.859375q-0.34375 -0.171875 -0.70318604 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34381104 -0.09375 0.67193604 -0.25l0 0.84375zm6.8275146 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m1008.0 800.00525l63.748047 0l0 16.0l-63.748047 0z" fill-rule="evenodd"/><path fill="#000000" d="m1013.25 807.3546q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.73443604 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.35943604 0.046875 0.68756104 0.1875l0 0.859375q-0.34375 -0.171875 -0.70318604 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34381104 -0.09375 0.67193604 -0.25l0 0.84375zm6.8275146 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m824.0 16.005154l264.063 0l0 32.0l-264.063 0z" fill-rule="evenodd"/><path fill="#9900ff" d="m842.28125 38.190777q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.788574 -0.390625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.554138 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm13.007263 1.3125l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm14.413574 8.25q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.413574 4.671875l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm10.897888 -5.953125q0 1.375 -0.28125 2.5q-0.265625 1.125 -0.828125 1.9375q-0.546875 0.796875 -1.375 1.234375q-0.8125 0.4375 -1.9375 0.4375q-0.953125 0 -1.734375 -0.359375q-0.78125 -0.359375 -1.34375 -1.09375q-0.546875 -0.75 -0.859375 -1.90625q-0.296875 -1.15625 -0.296875 -2.75q0 -1.359375 0.28125 -2.484375q0.28125 -1.140625 0.828125 -1.9375q0.546875 -0.8125 1.375 -1.25q0.84375 -0.4375 1.9375 -0.4375q0.953125 0 1.734375 0.359375q0.796875 0.359375 1.34375 1.109375q0.5625 0.75 0.859375 1.90625q0.296875 1.15625 0.296875 2.734375zm-1.59375 0.0625q0 -0.3125 -0.03125 -0.609375q-0.015625 -0.3125 -0.046875 -0.609375l-5.046875 3.75q0.140625 0.46875 0.34375 0.875q0.21875 0.390625 0.515625 0.6875q0.296875 0.28125 0.671875 0.453125q0.375 0.15625 0.859375 0.15625q0.625 0 1.125 -0.296875q0.515625 -0.3125 0.859375 -0.90625q0.359375 -0.59375 0.546875 -1.46875q0.203125 -0.875 0.203125 -2.03125zm-5.453125 -0.109375q0 0.28125 0 0.5625q0 0.28125 0.03125 0.546875l5.046875 -3.734375q-0.140625 -0.453125 -0.359375 -0.828125q-0.203125 -0.390625 -0.5 -0.671875q-0.28125 -0.28125 -0.65625 -0.4375q-0.375 -0.15625 -0.84375 -0.15625q-0.609375 0 -1.125 0.3125q-0.5 0.296875 -0.859375 0.890625q-0.359375 0.59375 -0.546875 1.484375q-0.1875 0.875 -0.1875 2.03125zm18.101013 9.734375l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm8.569824 -4.078125q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm6.272949 -11.21875l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm15.366638 11.5625l-2.1875 0l-4.25 -4.90625l0 4.90625l-1.59375 0l0 -12.875l1.59375 0l0 7.90625l4.09375 -4.171875l2.109375 0l-4.296875 4.21875l4.53125 4.921875zm10.819763 3.734375l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm4.585449 -11.5625l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m-16.0 256.0l80.0 0l0 48.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m28.140625 262.32874l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm8.375 9.03125l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875zm7.828125 -3.625l-4.671875 0l0 -1.28125l4.671875 0l0 1.28125zm10.015625 0.0625q0 0.84375 -0.234375 1.53125q-0.234375 0.6875 -0.703125 1.171875q-0.453125 0.46875 -1.125 0.734375q-0.671875 0.265625 -1.53125 0.265625q-0.953125 0 -1.625 -0.25q-0.65625 -0.25 -1.078125 -0.703125q-0.421875 -0.46875 -0.609375 -1.109375q-0.1875 -0.640625 -0.1875 -1.421875l0 -6.875l1.390625 0l0 6.765625q0 0.59375 0.109375 1.046875q0.109375 0.453125 0.359375 0.765625q0.265625 0.296875 0.671875 0.453125q0.421875 0.15625 1.015625 0.15625q1.109375 0 1.625 -0.640625q0.53125 -0.640625 0.53125 -1.796875l0 -6.75l1.390625 0l0 6.65625zm8.546875 3.5625l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875z" fill-rule="nonzero"/><path fill="#000000" d="m19.15625 287.2975q0 0.734375 -0.28125 1.3125q-0.28125 0.5625 -0.8125 0.953125q-0.53125 0.390625 -1.28125 0.59375q-0.734375 0.203125 -1.65625 0.203125l-2.671875 0l0 -10.21875l2.921875 0q3.421875 0 3.421875 2.484375q0 0.828125 -0.40625 1.421875q-0.390625 0.59375 -1.28125 0.890625q0.421875 0.078125 0.78125 0.265625q0.375 0.1875 0.65625 0.484375q0.28125 0.296875 0.4375 0.703125q0.171875 0.40625 0.171875 0.90625zm-1.8125 -4.484375q0 -0.3125 -0.09375 -0.578125q-0.09375 -0.28125 -0.328125 -0.484375q-0.234375 -0.203125 -0.640625 -0.3125q-0.390625 -0.125 -1.0 -0.125l-1.4375 0l0 3.203125l1.390625 0q0.484375 0 0.859375 -0.09375q0.390625 -0.109375 0.671875 -0.3125q0.28125 -0.21875 0.421875 -0.53125q0.15625 -0.328125 0.15625 -0.765625zm0.34375 4.53125q0 -0.390625 -0.171875 -0.703125q-0.15625 -0.3125 -0.46875 -0.515625q-0.3125 -0.21875 -0.765625 -0.328125q-0.453125 -0.125 -1.015625 -0.125l-1.421875 0l0 3.515625l1.46875 0q1.203125 0 1.78125 -0.4375q0.59375 -0.453125 0.59375 -1.40625zm9.890625 3.015625l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm8.703125 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm13.828125 -6.90625l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm12.453125 0.015625l-4.328125 0l0 3.3125l4.109375 0l0 1.171875l-4.109375 0l0 4.546875l-1.421875 0l0 -10.21875l5.75 0l0 1.1875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m0.2519685 501.92258l71.74803 0l0 31.99997l-71.74803 0z" fill-rule="evenodd"/><path fill="#000000" d="m5.546875 510.5638l-2.3124998 0l0 -1.125l3.6874998 0l0 6.7031555l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.5781248 0l0 -5.5781555zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.96875 3.296875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.3281555l-1.375 0q0.03125 -1.0312805 -0.296875 -1.4844055q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.7969055l0 5.046875l-1.375 0l0 -7.8437805zm8.078125 4.0781555q0 -0.84375 0.21875 -1.5937805q0.21875 -0.765625 0.6875 -1.328125q0.46875 -0.578125 1.1875 -0.90625q0.734375 -0.34375 1.734375 -0.34375q0.40625 0 0.796875 0.0625q0.390625 0.0625 0.828125 0.1875l1.203125 -0.296875l0 11.1875305l-1.375 0l0 -2.953125l0.078125 -1.6875q-1.109375 1.578125 -2.640625 1.578125q-0.6875 0 -1.203125 -0.28125q-0.5 -0.28125 -0.84375 -0.796875q-0.34375 -0.515625 -0.515625 -1.234375q-0.15625 -0.71875 -0.15625 -1.59375zm1.390625 -0.078125q0 0.65625 0.09375 1.1875q0.09375 0.515625 0.296875 0.875q0.203125 0.359375 0.5 0.5625q0.296875 0.1875 0.703125 0.1875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.6406555q-0.3125 -0.140625 -0.703125 -0.234375q-0.390625 -0.09375 -0.84375 -0.09375q-1.140625 0 -1.75 0.765625q-0.59375 0.75 -0.59375 2.2187805zm15.34375 7.046875l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.078125 -7.1875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.6719055q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.7500305 0.25 1.7187805zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.5469055 -0.453125 -0.9062805q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.9219055q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm8.65625 7.125l-3.84375 0l0 -14.5156555l3.84375 0l0 1.09375l-2.546875 0l0 12.3281555l2.546875 0l0 1.09375zm10.359375 -8.3125q0 1.171875 -0.234375 2.140625q-0.234375 0.96875 -0.71875 1.65625q-0.46875 0.6875 -1.171875 1.078125q-0.703125 0.375 -1.65625 0.375q-0.828125 0 -1.5 -0.3125q-0.671875 -0.3125 -1.15625 -0.953125q-0.46875 -0.640625 -0.734375 -1.625q-0.25 -1.0 -0.25 -2.359375q0 -1.1719055 0.234375 -2.1406555q0.25 -0.96875 0.71875 -1.65625q0.46875 -0.6875 1.171875 -1.0625q0.71875 -0.390625 1.671875 -0.390625q0.8125 0 1.484375 0.3125q0.671875 0.3125 1.140625 0.953125q0.484375 0.640625 0.734375 1.640625q0.265625 0.984375 0.265625 2.3437805zm-1.375 0.046875q0 -0.26565552 -0.015625 -0.5156555q-0.015625 -0.265625 -0.046875 -0.515625l-4.3125 3.2031555q0.109375 0.40625 0.28125 0.75q0.1875 0.34375 0.4375 0.59375q0.265625 0.25 0.578125 0.390625q0.328125 0.125 0.75 0.125q0.53125 0 0.953125 -0.25q0.4375 -0.265625 0.734375 -0.765625q0.3125 -0.515625 0.46875 -1.265625q0.171875 -0.75 0.171875 -1.75zm-4.671875 -0.09375q0 0.25 0 0.5q0.015625 0.234375 0.03125 0.453125l4.328125 -3.1875305q-0.125 -0.40625 -0.3125 -0.734375q-0.171875 -0.328125 -0.421875 -0.5625q-0.25 -0.234375 -0.578125 -0.359375q-0.3125 -0.140625 -0.703125 -0.140625q-0.53125 0 -0.96875 0.265625q-0.4375 0.25 -0.75 0.765625q-0.296875 0.5 -0.46875 1.265625q-0.15625 0.75 -0.15625 1.7344055zm12.84375 8.359375l-3.859375 0l0 -1.09375l2.546875 0l0 -12.3281555l-2.546875 0l0 -1.09375l3.859375 0l0 14.5156555z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m4.194226 338.9816l59.811024 0l0 48.0l-59.811024 0z" fill-rule="evenodd"/><path fill="#000000" d="m36.395874 354.2322q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm5.140625 -9.8125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm13.671875 13.125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm3.921875 -9.921875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375z" fill-rule="nonzero"/><path fill="#000000" d="m18.786499 362.2947l-5.359375 12.734375l-1.296875 0l5.359375 -12.734375l1.296875 0zm17.609375 10.9375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm5.140625 -9.8125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm13.671875 13.125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.078125 -7.1875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m0.27559054 588.8937l71.74803 0l0 32.0l-71.74803 0z" fill-rule="evenodd"/><path fill="#000000" d="m5.570497 597.535l-2.3124998 0l0 -1.125l3.6874998 0l0 6.703125l2.3437505 0l0 1.140625l-6.296875 0l0 -1.140625l2.5781248 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.9687505 3.296875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm8.078125 4.078125q0 -0.84375 0.21875 -1.59375q0.21875 -0.765625 0.6875 -1.328125q0.46875 -0.578125 1.1875 -0.90625q0.734375 -0.34375 1.734375 -0.34375q0.40625 0 0.796875 0.0625q0.390625 0.0625 0.828125 0.1875l1.203125 -0.296875l0 11.1875l-1.375 0l0 -2.953125l0.078125 -1.6875q-1.109375 1.578125 -2.640625 1.578125q-0.6875 0 -1.203125 -0.28125q-0.5 -0.28125 -0.84375 -0.796875q-0.34375 -0.515625 -0.515625 -1.234375q-0.15625 -0.71875 -0.15625 -1.59375zm1.390625 -0.078125q0 0.65625 0.09375 1.1875q0.09375 0.515625 0.296875 0.875q0.203125 0.359375 0.5 0.5625q0.296875 0.1875 0.703125 0.1875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.3125 -0.140625 -0.703125 -0.234375q-0.390625 -0.09375 -0.84375 -0.09375q-1.140625 0 -1.75 0.765625q-0.59375 0.75 -0.59375 2.21875zm15.343748 7.046875l-8.796873 0l0 -1.125l8.796873 0l0 1.125zm8.078125 -7.1875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm8.65625 7.125l-3.84375 0l0 -14.515625l3.84375 0l0 1.09375l-2.546875 0l0 12.328125l2.546875 0l0 1.09375zm10.046875 -3.203125l-6.421875 0l0 -1.265625l2.625 0l0 -7.453125l-2.4375 1.328125l-0.5 -1.15625l3.25 -1.71875l1.203125 0l0 9.0l2.28125 0l0 1.265625zm7.109375 3.203125l-3.859375 0l0 -1.09375l2.546875 0l0 -12.328125l-2.546875 0l0 -1.09375l3.859375 0l0 14.515625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m0.27559054 675.8648l71.74803 0l0 32.0l-71.74803 0z" fill-rule="evenodd"/><path fill="#000000" d="m5.570497 684.5061l-2.3124998 0l0 -1.125l3.6874998 0l0 6.703125l2.3437505 0l0 1.140625l-6.296875 0l0 -1.140625l2.5781248 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.9687505 3.296875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm8.078125 4.078125q0 -0.84375 0.21875 -1.59375q0.21875 -0.765625 0.6875 -1.328125q0.46875 -0.578125 1.1875 -0.90625q0.734375 -0.34375 1.734375 -0.34375q0.40625 0 0.796875 0.0625q0.390625 0.0625 0.828125 0.1875l1.203125 -0.296875l0 11.1875l-1.375 0l0 -2.953125l0.078125 -1.6875q-1.109375 1.578125 -2.640625 1.578125q-0.6875 0 -1.203125 -0.28125q-0.5 -0.28125 -0.84375 -0.796875q-0.34375 -0.515625 -0.515625 -1.234375q-0.15625 -0.71875 -0.15625 -1.59375zm1.390625 -0.078125q0 0.65625 0.09375 1.1875q0.09375 0.515625 0.296875 0.875q0.203125 0.359375 0.5 0.5625q0.296875 0.1875 0.703125 0.1875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.3125 -0.140625 -0.703125 -0.234375q-0.390625 -0.09375 -0.84375 -0.09375q-1.140625 0 -1.75 0.765625q-0.59375 0.75 -0.59375 2.21875zm15.343748 7.046875l-8.796873 0l0 -1.125l8.796873 0l0 1.125zm8.078125 -7.1875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm8.65625 7.125l-3.84375 0l0 -14.515625l3.84375 0l0 1.09375l-2.546875 0l0 12.328125l2.546875 0l0 1.09375zm10.125 -3.203125l-6.71875 0l0 -1.21875l2.640625 -2.625q0.640625 -0.640625 1.046875 -1.109375q0.40625 -0.46875 0.625 -0.859375q0.234375 -0.390625 0.3125 -0.734375q0.078125 -0.34375 0.078125 -0.734375q0 -0.375 -0.109375 -0.71875q-0.09375 -0.34375 -0.3125 -0.59375q-0.203125 -0.265625 -0.546875 -0.40625q-0.328125 -0.15625 -0.796875 -0.15625q-0.640625 0 -1.171875 0.296875q-0.53125 0.28125 -0.984375 0.75l-0.75 -0.90625q0.578125 -0.609375 1.328125 -0.96875q0.765625 -0.375 1.765625 -0.375q0.671875 0 1.234375 0.203125q0.5625 0.203125 0.96875 0.59375q0.40625 0.375 0.625 0.9375q0.21875 0.546875 0.21875 1.25q0 0.578125 -0.15625 1.078125q-0.15625 0.5 -0.46875 1.0q-0.3125 0.5 -0.796875 1.03125q-0.484375 0.53125 -1.140625 1.15625l-1.84375 1.8125l4.953125 0l0 1.296875zm7.03125 3.203125l-3.859375 0l0 -1.09375l2.546875 0l0 -12.328125l-2.546875 0l0 -1.09375l3.859375 0l0 14.515625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m0.2519685 762.83594l71.74803 0l0 32.0l-71.74803 0z" fill-rule="evenodd"/><path fill="#000000" d="m5.546875 771.47723l-2.3124998 0l0 -1.125l3.6874998 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.5781248 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.96875 3.296875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm8.078125 4.078125q0 -0.84375 0.21875 -1.59375q0.21875 -0.765625 0.6875 -1.328125q0.46875 -0.578125 1.1875 -0.90625q0.734375 -0.34375 1.734375 -0.34375q0.40625 0 0.796875 0.0625q0.390625 0.0625 0.828125 0.1875l1.203125 -0.296875l0 11.1875l-1.375 0l0 -2.953125l0.078125 -1.6875q-1.109375 1.578125 -2.640625 1.578125q-0.6875 0 -1.203125 -0.28125q-0.5 -0.28125 -0.84375 -0.796875q-0.34375 -0.515625 -0.515625 -1.234375q-0.15625 -0.71875 -0.15625 -1.59375zm1.390625 -0.078125q0 0.65625 0.09375 1.1875q0.09375 0.515625 0.296875 0.875q0.203125 0.359375 0.5 0.5625q0.296875 0.1875 0.703125 0.1875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.3125 -0.140625 -0.703125 -0.234375q-0.390625 -0.09375 -0.84375 -0.09375q-1.140625 0 -1.75 0.765625q-0.59375 0.75 -0.59375 2.21875zm15.34375 7.046875l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.078125 -7.1875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm8.65625 7.125l-3.84375 0l0 -14.515625l3.84375 0l0 1.09375l-2.546875 0l0 12.328125l2.546875 0l0 1.09375zm9.9375 -6.3125q0 0.671875 -0.28125 1.265625q-0.265625 0.59375 -0.8125 1.03125q-0.546875 0.4375 -1.375 0.703125q-0.828125 0.25 -1.9375 0.25q-0.609375 0 -1.09375 -0.046875q-0.484375 -0.03125 -0.90625 -0.09375l0 -1.21875q0.484375 0.078125 1.015625 0.125q0.546875 0.046875 1.109375 0.046875q0.765625 0 1.3125 -0.125q0.546875 -0.140625 0.890625 -0.390625q0.34375 -0.265625 0.5 -0.625q0.15625 -0.375 0.15625 -0.84375q0 -0.421875 -0.1875 -0.734375q-0.1875 -0.3125 -0.53125 -0.515625q-0.34375 -0.21875 -0.8125 -0.3125q-0.46875 -0.109375 -1.03125 -0.109375l-1.171875 0l0 -1.125l1.1875 0q0.453125 0 0.828125 -0.109375q0.390625 -0.125 0.65625 -0.34375q0.265625 -0.234375 0.40625 -0.5625q0.15625 -0.34375 0.15625 -0.765625q0 -0.8125 -0.5 -1.1875q-0.5 -0.375 -1.46875 -0.375q-0.515625 0 -1.0625 0.109375q-0.546875 0.09375 -1.171875 0.296875l0 -1.1875q0.265625 -0.09375 0.5625 -0.171875q0.296875 -0.078125 0.59375 -0.125q0.3125 -0.046875 0.609375 -0.078125q0.296875 -0.03125 0.5625 -0.03125q0.8125 0 1.421875 0.1875q0.625 0.171875 1.03125 0.5q0.421875 0.328125 0.625 0.796875q0.21875 0.46875 0.21875 1.046875q0 0.875 -0.453125 1.46875q-0.4375 0.59375 -1.21875 0.953125q0.390625 0.0625 0.78125 0.25q0.390625 0.1875 0.6875 0.484375q0.3125 0.28125 0.5 0.6875q0.203125 0.390625 0.203125 0.875zm7.21875 6.3125l-3.859375 0l0 -1.09375l2.546875 0l0 -12.328125l-2.546875 0l0 -1.09375l3.859375 0l0 14.515625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m624.0 76.40945l-0.06298828 19.590553" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m624.0 76.40945l-0.043701172 13.590584" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m622.30457 89.99472l1.637146 4.5433884l1.6663208 -4.5327682z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m627.1181 72.0l108.8819 0l0 22.960632l-108.8819 0z" fill-rule="evenodd"/><path fill="#000000" d="m631.3056 82.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932617 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7650757 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3900757 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390137 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m623.937 275.59055l0.06298828 -19.590546" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m623.937 275.59055l0.043701172 -13.590576" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m625.63245 262.00528l-1.637146 -4.543396l-1.6663208 4.532776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m627.1181 265.03937l108.8819 0l0 22.960632l-108.8819 0z" fill-rule="evenodd"/><path fill="#000000" d="m631.3056 275.27936l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932617 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7650757 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3900757 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390137 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6869507 -2.140625l-4.265625 0l0 -0.84375l1.75 0l0 -4.96875l-1.640625 0.890625l-0.328125 -0.765625l2.15625 -1.140625l0.8125 0l0 5.984375l1.515625 0l0 0.84375zm4.7338867 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m624.0 315.48566l-0.06298828 19.590546" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m624.0 315.48566l-0.043701172 13.590607" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m622.30457 329.07095l1.637146 4.5433655l1.6663208 -4.5327454z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m629.0079 313.03937l94.11023 0l0 22.960632l-94.11023 0z" fill-rule="evenodd"/><path fill="#000000" d="m633.1954 323.27936l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932617 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7650757 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3900757 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390137 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7494507 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713867 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m618.063 490.9475l-0.06298828 19.590546" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m618.063 490.9475l-0.043701172 13.590576" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m616.36755 504.53278l1.637146 4.543396l1.6663208 -4.532776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m624.99475 493.0525l94.11023 0l0 22.960632l-94.11023 0z" fill-rule="evenodd"/><path fill="#000000" d="m630.24475 500.40186q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307007 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963867 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624451 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2494507 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057617 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546326 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m618.063 579.6772l-0.06298828 19.590515" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m618.063 579.6772l-0.043701172 13.590576" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m616.36755 593.26245l1.637146 4.543396l1.6663208 -4.532776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m618.063 668.4068l-0.06298828 19.590576" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m618.063 668.4068l-0.043701172 13.590637" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m616.36755 681.99207l1.637146 4.543396l1.6663208 -4.532715z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m618.063 757.1365l-0.06298828 19.590576" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m618.063 757.1365l-0.043701172 13.590576" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m616.36755 770.72174l1.637146 4.543396l1.6663208 -4.532776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m624.99475 759.24146l94.11023 0l0 22.960632l-94.11023 0z" fill-rule="evenodd"/><path fill="#000000" d="m630.24475 766.5908q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307007 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963867 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624451 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2494507 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6088867 -4.203125q0 0.4375 -0.1875 0.84375q-0.171875 0.390625 -0.546875 0.6875q-0.359375 0.28125 -0.90625 0.453125q-0.546875 0.171875 -1.28125 0.171875q-0.40625 0 -0.734375 -0.03125q-0.3125 -0.015625 -0.59375 -0.0625l0 -0.8125q0.3125 0.0625 0.671875 0.09375q0.359375 0.03125 0.734375 0.03125q0.515625 0 0.875 -0.09375q0.359375 -0.09375 0.578125 -0.265625q0.234375 -0.171875 0.34375 -0.40625q0.109375 -0.25 0.109375 -0.5625q0 -0.28125 -0.125 -0.484375q-0.125 -0.203125 -0.359375 -0.34375q-0.21875 -0.140625 -0.546875 -0.203125q-0.3125 -0.078125 -0.671875 -0.078125l-0.78125 0l0 -0.75l0.78125 0q0.3125 0 0.5625 -0.078125q0.25 -0.078125 0.421875 -0.234375q0.1875 -0.15625 0.28125 -0.375q0.09375 -0.21875 0.09375 -0.5q0 -0.546875 -0.328125 -0.796875q-0.328125 -0.25 -0.96875 -0.25q-0.34375 0 -0.71875 0.078125q-0.359375 0.0625 -0.78125 0.1875l0 -0.78125q0.1875 -0.0625 0.375 -0.109375q0.203125 -0.0625 0.40625 -0.09375q0.203125 -0.03125 0.390625 -0.046875q0.203125 -0.015625 0.390625 -0.015625q0.53125 0 0.9375 0.125q0.421875 0.109375 0.6875 0.328125q0.28125 0.21875 0.421875 0.53125q0.140625 0.3125 0.140625 0.703125q0 0.578125 -0.296875 0.984375q-0.296875 0.390625 -0.8125 0.625q0.265625 0.03125 0.515625 0.15625q0.265625 0.125 0.46875 0.328125q0.203125 0.1875 0.328125 0.453125q0.125 0.265625 0.125 0.59375zm4.8119507 4.203125l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m128.0 136.0l96.0 0l0 66.80315l-96.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 136.0l96.0 0l0 66.80315l-96.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m166.34375 149.16782q0 0.625 -0.234375 1.25q-0.234375 0.609375 -0.734375 1.09375q-0.484375 0.484375 -1.25 0.78125q-0.765625 0.296875 -1.828125 0.296875l-1.265625 0l0 3.671875l-1.390625 0l0 -10.21875l2.875 0q0.765625 0 1.453125 0.171875q0.703125 0.171875 1.21875 0.546875q0.53125 0.375 0.84375 0.96875q0.3125 0.59375 0.3125 1.4375zm-1.4375 0.0625q0 -0.984375 -0.65625 -1.5q-0.640625 -0.53125 -1.796875 -0.53125l-1.421875 0l0 4.203125l1.296875 0q1.234375 0 1.90625 -0.53125q0.671875 -0.546875 0.671875 -1.640625zm6.21875 0.3125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.640625 3.296875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm14.453125 1.109375q0.21875 0.265625 0.328125 0.640625q0.125 0.359375 0.125 0.78125q0 0.609375 -0.21875 1.109375q-0.21875 0.5 -0.625 0.859375q-0.40625 0.359375 -0.984375 0.5625q-0.5625 0.203125 -1.234375 0.203125q-0.5 0 -0.9375 -0.109375q-0.421875 -0.109375 -0.671875 -0.265625q-0.140625 0.21875 -0.25 0.421875q-0.09375 0.1875 -0.09375 0.4375q0 0.296875 0.28125 0.5q0.28125 0.1875 0.75 0.203125l2.0625 0.078125q0.59375 0.015625 1.078125 0.15625q0.5 0.125 0.859375 0.375q0.359375 0.25 0.546875 0.625q0.203125 0.359375 0.203125 0.84375q0 0.53125 -0.234375 1.0q-0.21875 0.46875 -0.6875 0.8125q-0.46875 0.359375 -1.203125 0.5625q-0.71875 0.21875 -1.71875 0.21875q-0.953125 0 -1.625 -0.15625q-0.671875 -0.140625 -1.109375 -0.421875q-0.421875 -0.265625 -0.625 -0.640625q-0.1875 -0.359375 -0.1875 -0.8125q0 -0.5625 0.265625 -1.0q0.265625 -0.4375 0.828125 -0.828125q-0.203125 -0.09375 -0.359375 -0.234375q-0.140625 -0.140625 -0.234375 -0.3125q-0.09375 -0.171875 -0.140625 -0.359375q-0.046875 -0.1875 -0.046875 -0.359375q0 -0.515625 0.234375 -0.9375q0.234375 -0.421875 0.5625 -0.796875q-0.140625 -0.171875 -0.265625 -0.34375q-0.109375 -0.171875 -0.1875 -0.375q-0.078125 -0.203125 -0.125 -0.421875q-0.046875 -0.234375 -0.046875 -0.53125q0 -0.609375 0.21875 -1.109375q0.234375 -0.515625 0.625 -0.875q0.40625 -0.359375 0.96875 -0.546875q0.578125 -0.203125 1.265625 -0.203125q0.28125 0 0.546875 0.046875q0.265625 0.03125 0.46875 0.09375l2.84375 0l0 1.109375l-1.25 0zm-4.71875 7.875q0 0.546875 0.578125 0.796875q0.578125 0.265625 1.609375 0.265625q0.640625 0 1.078125 -0.125q0.453125 -0.109375 0.71875 -0.3125q0.265625 -0.1875 0.375 -0.4375q0.125 -0.234375 0.125 -0.5q0 -0.46875 -0.390625 -0.703125q-0.390625 -0.234375 -1.1875 -0.265625l-2.046875 -0.078125q-0.265625 0.171875 -0.4375 0.34375q-0.15625 0.171875 -0.25 0.328125q-0.09375 0.171875 -0.140625 0.34375q-0.03125 0.171875 -0.03125 0.34375zm0.40625 -6.421875q0 0.375 0.125 0.6875q0.125 0.3125 0.34375 0.53125q0.234375 0.21875 0.546875 0.34375q0.3125 0.109375 0.6875 0.109375q0.40625 0 0.71875 -0.125q0.3125 -0.140625 0.53125 -0.375q0.21875 -0.234375 0.328125 -0.53125q0.109375 -0.3125 0.109375 -0.640625q0 -0.375 -0.125 -0.6875q-0.125 -0.3125 -0.359375 -0.53125q-0.21875 -0.21875 -0.53125 -0.34375q-0.296875 -0.125 -0.671875 -0.125q-0.40625 0 -0.734375 0.140625q-0.3125 0.140625 -0.53125 0.375q-0.203125 0.234375 -0.328125 0.546875q-0.109375 0.296875 -0.109375 0.625z" fill-rule="nonzero"/><path fill="#000000" d="m162.13281 166.23032l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm4.59375 2.3125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" d="m155.5625 197.54282q-3.609375 -3.34375 -3.609375 -7.375q0 -0.953125 0.1875 -1.890625q0.203125 -0.9375 0.625 -1.875q0.421875 -0.953125 1.125 -1.890625q0.703125 -0.953125 1.703125 -1.890625l0.78125 0.8125q-3.03125 2.984375 -3.03125 6.625q0 1.8125 0.765625 3.5q0.765625 1.671875 2.265625 3.15625l-0.8125 0.828125zm10.546875 -3.28125l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875zm8.453125 -9.03125l-4.328125 0l0 3.3125l4.109375 0l0 1.171875l-4.109375 0l0 4.546875l-1.421875 0l0 -10.21875l5.75 0l0 1.1875zm9.234375 6.265625q0 0.71875 -0.296875 1.265625q-0.296875 0.546875 -0.828125 0.921875q-0.53125 0.359375 -1.28125 0.546875q-0.75 0.171875 -1.640625 0.171875q-0.40625 0 -0.8125 -0.03125q-0.40625 -0.03125 -0.78125 -0.078125q-0.359375 -0.046875 -0.6875 -0.109375q-0.328125 -0.0625 -0.59375 -0.140625l0 -1.34375q0.578125 0.21875 1.3125 0.34375q0.734375 0.125 1.65625 0.125q0.671875 0 1.140625 -0.09375q0.484375 -0.109375 0.78125 -0.3125q0.296875 -0.21875 0.4375 -0.515625q0.140625 -0.296875 0.140625 -0.671875q0 -0.421875 -0.234375 -0.703125q-0.234375 -0.296875 -0.609375 -0.53125q-0.375 -0.234375 -0.859375 -0.421875q-0.46875 -0.1875 -0.96875 -0.390625q-0.5 -0.203125 -0.984375 -0.4375q-0.484375 -0.25 -0.859375 -0.5625q-0.375 -0.328125 -0.609375 -0.765625q-0.21875 -0.4375 -0.21875 -1.046875q0 -0.515625 0.21875 -1.015625q0.21875 -0.515625 0.671875 -0.90625q0.46875 -0.40625 1.1875 -0.640625q0.71875 -0.25 1.71875 -0.25q0.265625 0 0.5625 0.03125q0.296875 0.015625 0.609375 0.0625q0.3125 0.046875 0.609375 0.109375q0.296875 0.046875 0.5625 0.109375l0 1.25q-0.609375 -0.171875 -1.21875 -0.265625q-0.59375 -0.09375 -1.15625 -0.09375q-1.1875 0 -1.75 0.40625q-0.5625 0.390625 -0.5625 1.0625q0 0.421875 0.21875 0.71875q0.234375 0.296875 0.609375 0.53125q0.375 0.234375 0.859375 0.421875q0.484375 0.1875 0.984375 0.390625q0.5 0.203125 0.96875 0.453125q0.484375 0.234375 0.859375 0.578125q0.375 0.328125 0.609375 0.78125q0.234375 0.4375 0.234375 1.046875zm9.21875 2.765625l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm5.671875 -4.234375q3.59375 3.34375 3.59375 7.421875q0 0.84375 -0.171875 1.75q-0.171875 0.90625 -0.59375 1.859375q-0.40625 0.953125 -1.109375 1.921875q-0.6875 0.984375 -1.75 1.96875l-0.796875 -0.796875q1.53125 -1.515625 2.28125 -3.15625q0.75 -1.640625 0.75 -3.4375q0 -3.71875 -3.03125 -6.6875l0.828125 -0.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m144.00787 202.79002l-0.06298828 19.59056" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m144.00787 202.79002l-0.043701172 13.590591" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m142.31245 216.3753l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.00787 202.79002l-0.06298828 19.59056" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.00787 202.79002l-0.043701172 13.590591" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m158.31245 216.3753l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m176.00787 202.79002l-0.06298828 19.59056" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m176.00787 202.79002l-0.043701172 13.590591" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m174.31245 216.3753l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m192.00787 202.79002l-0.06298828 19.59056" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m192.00787 202.79002l-0.043701172 13.590591" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m190.31245 216.3753l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m208.00787 202.79002l-0.06298828 19.59056" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m208.00787 202.79002l-0.043701172 13.590591" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m206.31245 216.3753l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m120.0 224.06561l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m145.84686 229.93062l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625zm6.718231 6.515625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.296356 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.093231 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624481 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983856 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.249481 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901062 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390106 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.499481 -6.515625l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625zm4.921356 6.515625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m-0.97637796 888.0l1096.9763 0l0 40.0l-1096.9763 0z" fill-rule="evenodd"/><path fill="#000000" d="m40.769592 912.36l-1.8125 0l-2.96875 -6.375l-0.859375 -2.046875l0 5.15625l0 3.265625l-1.296875 0l0 -10.21875l1.78125 0l2.84375 6.03125l1.015625 2.34375l0 -5.46875l0 -2.90625l1.296875 0l0 10.21875zm9.0 -3.984375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm9.6875 3.8125q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm9.09375 -4.234375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.734375 -3.453125q0.234375 0 0.453125 0.09375q0.21875 0.09375 0.375 0.265625q0.171875 0.15625 0.265625 0.375q0.109375 0.21875 0.109375 0.46875q0 0.25 -0.109375 0.46875q-0.09375 0.203125 -0.265625 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.453125 0.09375q-0.25 0 -0.46875 -0.09375q-0.21875 -0.09375 -0.390625 -0.25q-0.15625 -0.171875 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.46875q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.390625 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm0 5.71875q0.234375 0 0.453125 0.109375q0.21875 0.09375 0.375 0.265625q0.171875 0.15625 0.265625 0.375q0.109375 0.21875 0.109375 0.453125q0 0.25 -0.109375 0.46875q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.453125 0.09375q-0.25 0 -0.46875 -0.09375q-0.21875 -0.09375 -0.390625 -0.25q-0.15625 -0.171875 -0.25 -0.390625q-0.09375 -0.21875 -0.09375 -0.46875q0 -0.234375 0.09375 -0.453125q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.390625 -0.265625q0.21875 -0.109375 0.46875 -0.109375zm20.765625 2.15625q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm8.78125 0.109375l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm9.109375 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm17.421875 4.53125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm17.484375 -2.03125q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.6875 5.703125q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.890625 -8.90625l-5.359375 12.734375l-1.296875 0l5.359375 -12.734375l1.296875 0zm2.8125 3.203125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.6875 5.703125q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm17.46875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm7.75 0.296875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm3.90625 -4.265625l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm24.296875 3.78125q0 0.96875 -0.265625 1.75q-0.265625 0.765625 -0.765625 1.296875q-0.5 0.53125 -1.21875 0.828125q-0.703125 0.28125 -1.59375 0.28125q-0.6875 0 -1.421875 -0.125q-0.71875 -0.140625 -1.4375 -0.4375l0 -10.578125l1.359375 0l0 3.046875l-0.0625 1.453125q0.59375 -0.796875 1.25 -1.109375q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.40625 0.15625 0.8125 0.25q0.421875 0.078125 0.796875 0.078125q0.46875 0 0.890625 -0.140625q0.421875 -0.15625 0.75 -0.5q0.328125 -0.34375 0.515625 -0.921875q0.1875 -0.578125 0.1875 -1.421875zm10.109375 -0.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm18.6875 4.53125l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm8.703125 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.1875 0.765625q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm23.21875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.96875 11.03125q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm8.78125 0.109375l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm9.109375 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#38761d" d="m506.59772 910.21936q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.5625 -5.703125l-2.671875 7.015625q-0.421875 1.078125 -0.859375 1.84375q-0.4375 0.78125 -0.96875 1.265625q-0.515625 0.5 -1.140625 0.734375q-0.625 0.234375 -1.40625 0.234375q-0.203125 0 -0.375 -0.015625q-0.15625 0 -0.34375 -0.015625l0 -1.234375q0.171875 0.03125 0.375 0.046875q0.203125 0.015625 0.421875 0.015625q0.375 0 0.703125 -0.109375q0.328125 -0.109375 0.609375 -0.359375q0.296875 -0.234375 0.5625 -0.625q0.265625 -0.390625 0.515625 -0.953125l-3.140625 -7.84375l1.546875 0l1.984375 5.1875l0.40625 1.21875l0.453125 -1.25l1.828125 -5.15625l1.5 0zm1.8125 0l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm14.890625 7.546875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm2.8125 -7.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.296875 3.859375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm3.359375 -3.921875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.625 3.859375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm9.671875 3.921875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm8.703125 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm5.25 -7.78125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm13.109375 2.078125l-2.671875 7.015625q-0.421875 1.078125 -0.859375 1.84375q-0.4375 0.78125 -0.96875 1.265625q-0.515625 0.5 -1.140625 0.734375q-0.625 0.234375 -1.40625 0.234375q-0.203125 0 -0.375 -0.015625q-0.15625 0 -0.34375 -0.015625l0 -1.234375q0.171875 0.03125 0.375 0.046875q0.203125 0.015625 0.421875 0.015625q0.375 0 0.703125 -0.109375q0.328125 -0.109375 0.609375 -0.359375q0.296875 -0.234375 0.5625 -0.625q0.265625 -0.390625 0.515625 -0.953125l-3.140625 -7.84375l1.546875 0l1.984375 5.1875l0.40625 1.21875l0.453125 -1.25l1.828125 -5.15625l1.5 0z" fill-rule="nonzero"/><path fill="#000000" d="m630.36334 908.3756q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm3.6875 -3.921875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#ff0000" d="m654.9727 912.36l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.125 1.4375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.5625 -5.703125l-2.671875 7.015625q-0.421875 1.078125 -0.859375 1.84375q-0.4375 0.78125 -0.96875 1.265625q-0.515625 0.5 -1.140625 0.734375q-0.625 0.234375 -1.40625 0.234375q-0.203125 0 -0.375 -0.015625q-0.15625 0 -0.34375 -0.015625l0 -1.234375q0.171875 0.03125 0.375 0.046875q0.203125 0.015625 0.421875 0.015625q0.375 0 0.703125 -0.109375q0.328125 -0.109375 0.609375 -0.359375q0.296875 -0.234375 0.5625 -0.625q0.265625 -0.390625 0.515625 -0.953125l-3.140625 -7.84375l1.546875 0l1.984375 5.1875l0.40625 1.21875l0.453125 -1.25l1.828125 -5.15625l1.5 0zm1.8125 0l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm14.890625 7.546875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm2.8125 -7.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.296875 3.859375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm3.359375 -3.921875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.625 3.859375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm9.671875 3.921875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm8.703125 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm5.25 -7.78125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm13.109375 2.078125l-2.671875 7.015625q-0.421875 1.078125 -0.859375 1.84375q-0.4375 0.78125 -0.96875 1.265625q-0.515625 0.5 -1.140625 0.734375q-0.625 0.234375 -1.40625 0.234375q-0.203125 0 -0.375 -0.015625q-0.15625 0 -0.34375 -0.015625l0 -1.234375q0.171875 0.03125 0.375 0.046875q0.203125 0.015625 0.421875 0.015625q0.375 0 0.703125 -0.109375q0.328125 -0.109375 0.609375 -0.359375q0.296875 -0.234375 0.5625 -0.625q0.265625 -0.390625 0.515625 -0.953125l-3.140625 -7.84375l1.546875 0l1.984375 5.1875l0.40625 1.21875l0.453125 -1.25l1.828125 -5.15625l1.5 0z" fill-rule="nonzero"/><path fill="#000000" d="m787.36334 908.735l-4.671875 0l0 -1.28125l4.671875 0l0 1.28125zm11.71875 -0.140625q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm10.28125 0.46875q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.109375 -0.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm10.46875 -2.875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.640625 3.296875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm14.453125 1.109375q0.21875 0.265625 0.328125 0.640625q0.125 0.359375 0.125 0.78125q0 0.609375 -0.21875 1.109375q-0.21875 0.5 -0.625 0.859375q-0.40625 0.359375 -0.984375 0.5625q-0.5625 0.203125 -1.234375 0.203125q-0.5 0 -0.9375 -0.109375q-0.421875 -0.109375 -0.671875 -0.265625q-0.140625 0.21875 -0.25 0.421875q-0.09375 0.1875 -0.09375 0.4375q0 0.296875 0.28125 0.5q0.28125 0.1875 0.75 0.203125l2.0625 0.078125q0.59375 0.015625 1.078125 0.15625q0.5 0.125 0.859375 0.375q0.359375 0.25 0.546875 0.625q0.203125 0.359375 0.203125 0.84375q0 0.53125 -0.234375 1.0q-0.21875 0.46875 -0.6875 0.8125q-0.46875 0.359375 -1.203125 0.5625q-0.71875 0.21875 -1.71875 0.21875q-0.953125 0 -1.625 -0.15625q-0.671875 -0.140625 -1.109375 -0.421875q-0.421875 -0.265625 -0.625 -0.640625q-0.1875 -0.359375 -0.1875 -0.8125q0 -0.5625 0.265625 -1.0q0.265625 -0.4375 0.828125 -0.828125q-0.203125 -0.09375 -0.359375 -0.234375q-0.140625 -0.140625 -0.234375 -0.3125q-0.09375 -0.171875 -0.140625 -0.359375q-0.046875 -0.1875 -0.046875 -0.359375q0 -0.515625 0.234375 -0.9375q0.234375 -0.421875 0.5625 -0.796875q-0.140625 -0.171875 -0.265625 -0.34375q-0.109375 -0.171875 -0.1875 -0.375q-0.078125 -0.203125 -0.125 -0.421875q-0.046875 -0.234375 -0.046875 -0.53125q0 -0.609375 0.21875 -1.109375q0.234375 -0.515625 0.625 -0.875q0.40625 -0.359375 0.96875 -0.546875q0.578125 -0.203125 1.265625 -0.203125q0.28125 0 0.546875 0.046875q0.265625 0.03125 0.46875 0.09375l2.84375 0l0 1.109375l-1.25 0zm-4.71875 7.875q0 0.546875 0.578125 0.796875q0.578125 0.265625 1.609375 0.265625q0.640625 0 1.078125 -0.125q0.453125 -0.109375 0.71875 -0.3125q0.265625 -0.1875 0.375 -0.4375q0.125 -0.234375 0.125 -0.5q0 -0.46875 -0.390625 -0.703125q-0.390625 -0.234375 -1.1875 -0.265625l-2.046875 -0.078125q-0.265625 0.171875 -0.4375 0.34375q-0.15625 0.171875 -0.25 0.328125q-0.09375 0.171875 -0.140625 0.34375q-0.03125 0.171875 -0.03125 0.34375zm0.40625 -6.421875q0 0.375 0.125 0.6875q0.125 0.3125 0.34375 0.53125q0.234375 0.21875 0.546875 0.34375q0.3125 0.109375 0.6875 0.109375q0.40625 0 0.71875 -0.125q0.3125 -0.140625 0.53125 -0.375q0.21875 -0.234375 0.328125 -0.53125q0.109375 -0.3125 0.109375 -0.640625q0 -0.375 -0.125 -0.6875q-0.125 -0.3125 -0.359375 -0.53125q-0.21875 -0.21875 -0.53125 -0.34375q-0.296875 -0.125 -0.671875 -0.125q-0.40625 0 -0.734375 0.140625q-0.3125 0.140625 -0.53125 0.375q-0.203125 0.234375 -0.328125 0.546875q-0.109375 0.296875 -0.109375 0.625zm23.078125 1.296875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm3.359375 -3.921875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm23.921875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm8.78125 0.109375l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm9.109375 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm12.703125 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.1875 0.765625q0 -0.84375 0.21875 -1.59375q0.21875 -0.765625 0.6875 -1.328125q0.46875 -0.578125 1.1875 -0.90625q0.734375 -0.34375 1.734375 -0.34375q0.40625 0 0.796875 0.0625q0.390625 0.0625 0.828125 0.1875l1.203125 -0.296875l0 11.1875l-1.375 0l0 -2.953125l0.078125 -1.6875q-1.109375 1.578125 -2.640625 1.578125q-0.6875 0 -1.203125 -0.28125q-0.5 -0.28125 -0.84375 -0.796875q-0.34375 -0.515625 -0.515625 -1.234375q-0.15625 -0.71875 -0.15625 -1.59375zm1.390625 -0.078125q0 0.65625 0.09375 1.1875q0.09375 0.515625 0.296875 0.875q0.203125 0.359375 0.5 0.5625q0.296875 0.1875 0.703125 0.1875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.3125 -0.140625 -0.703125 -0.234375q-0.390625 -0.09375 -0.84375 -0.09375q-1.140625 0 -1.75 0.765625q-0.59375 0.75 -0.59375 2.21875zm14.109375 3.84375l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm5.15625 -6.71875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.96875 3.296875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.171875 4.53125l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828186 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.500061 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34381104 -0.03125 0.65631104 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.70318604 0.109375 -1.453186 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.437561 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25006104 -0.3125 -0.64068604 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125061 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm8.6875 -2.03125q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm5.671875 -0.328125q0.265625 0 0.5 0.109375q0.234375 0.09375 0.40625 0.28125q0.1875 0.171875 0.28125 0.421875q0.109375 0.234375 0.109375 0.5q0 0.265625 -0.109375 0.5q-0.09375 0.234375 -0.28125 0.421875q-0.171875 0.171875 -0.40625 0.265625q-0.234375 0.109375 -0.5 0.109375q-0.28125 0 -0.515625 -0.109375q-0.234375 -0.09375 -0.40625 -0.265625q-0.171875 -0.1875 -0.28125 -0.421875q-0.09375 -0.234375 -0.09375 -0.5q0 -0.265625 0.09375 -0.5q0.109375 -0.25 0.28125 -0.421875q0.171875 -0.1875 0.40625 -0.28125q0.234375 -0.109375 0.515625 -0.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m608.0 568.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 568.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 566.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 656.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 656.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 654.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 744.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 744.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 742.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 832.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 832.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 830.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#ffffff" d="m577.2441 524.2677l-26.666626 0c2.9454956 0 5.333313 7.163452 5.333313 16.0c0 8.836548 -2.3878174 16.0 -5.333313 16.0l26.666626 0l0 0c2.9455566 0 5.333374 -7.163452 5.333374 -16.0c0 -8.836548 -2.3878174 -16.0 -5.333374 -16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m577.2441 524.2677l-26.666626 0c2.9454956 0 5.333313 7.163452 5.333313 16.0c0 8.836548 -2.3878174 16.0 -5.333313 16.0l26.666626 0l0 0c2.9455566 0 5.333374 -7.163452 5.333374 -16.0c0 -8.836548 -2.3878174 -16.0 -5.333374 -16.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m536.0 536.0l16.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m536.0 536.0l10.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m546.0 537.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m536.0 544.0l16.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m536.0 544.0l10.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m546.0 545.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m536.0 552.0l16.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m536.0 552.0l10.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m546.0 553.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m496.0 792.0l40.0 -240.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m496.0 792.0l40.0 -240.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m520.0 672.0l56.0 0l0 32.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m524.8906 682.0525q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5776367 -6.421875l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.4369507 6.609375l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338257 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.8588867 0q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm1.4526367 -1.078125q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm10.218201 4.703125l-5.859375 0l0 -0.75l5.859375 0l0 0.75z" fill-rule="nonzero"/><path fill="#000000" d="m525.25 692.34937q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807007 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#ffffff" d="m344.0 306.66666l0 -26.666656c0 2.9455261 -7.163452 5.3333435 -16.0 5.3333435c-8.836548 0 -16.0 -2.3878174 -16.0 -5.3333435l0 26.666656l0 0c0 2.9455261 7.163452 5.3333435 16.0 5.3333435c8.836548 0 16.0 -2.3878174 16.0 -5.3333435z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m344.0 306.66666l0 -26.666656c0 2.9455261 -7.163452 5.3333435 -16.0 5.3333435c-8.836548 0 -16.0 -2.3878174 -16.0 -5.3333435l0 26.666656l0 0c0 2.9455261 7.163452 5.3333435 16.0 5.3333435c8.836548 0 16.0 -2.3878174 16.0 -5.3333435z" fill-rule="evenodd"/><path fill="#ffffff" d="m376.0 266.66666l0 -26.666656c0 2.9455261 -7.163452 5.3333282 -16.0 5.3333282c-8.836548 0 -16.0 -2.3878021 -16.0 -5.3333282l0 26.666656l0 0c0 2.9455261 7.163452 5.3333435 16.0 5.3333435c8.836548 0 16.0 -2.3878174 16.0 -5.3333435z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m376.0 266.66666l0 -26.666656c0 2.9455261 -7.163452 5.3333282 -16.0 5.3333282c-8.836548 0 -16.0 -2.3878021 -16.0 -5.3333282l0 26.666656l0 0c0 2.9455261 7.163452 5.3333435 16.0 5.3333435c8.836548 0 16.0 -2.3878174 16.0 -5.3333435z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m264.0 184.0l0 224.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m264.0 184.0l0 218.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m262.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m296.0 152.0l0 256.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m296.0 152.0l0 250.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m294.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m328.0 312.0l0 96.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m328.0 312.0l0 90.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m326.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m360.0 272.0l0 136.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m360.0 272.0l0 130.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m358.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m320.0 264.0l0.09448242 16.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m320.0 264.0l0.059051514 10.000092" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m318.40735 274.00986l1.6784973 4.5282593l1.6249084 -4.54776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m336.0 264.0l0.09448242 16.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 264.0l0.059051514 10.000092" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m334.40735 274.00986l1.6784973 4.5282593l1.6249084 -4.54776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m344.0 216.0l32.0 0l0 16.0l-32.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m355.57422 222.44562q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm4.3984375 0q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm4.3984375 0q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m312.0 256.0l32.0 0l0 16.0l-32.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m323.57422 262.44562q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm4.3984375 0q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm4.3984375 0q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m320.0 256.00525l0 -112.0l16.0 0l0 112.0z" fill-rule="evenodd"/><path fill="#000000" d="m327.34937 250.75525q0.203125 0 0.328125 0.015625q0.125 0 0.234375 0.015625l0 3.65625q0.8125 0 1.25 -0.4375q0.421875 -0.453125 0.421875 -1.296875q0 -0.21875 -0.015625 -0.453125q-0.03125 -0.234375 -0.0625 -0.4375q-0.03125 -0.21875 -0.0625 -0.40625q-0.046875 -0.203125 -0.09375 -0.375l0.75 0q0.109375 0.375 0.171875 0.84375q0.0625 0.46875 0.0625 0.96875q0 0.65625 -0.171875 1.140625q-0.1875 0.484375 -0.53125 0.796875q-0.359375 0.3125 -0.859375 0.46875q-0.5 0.140625 -1.140625 0.140625q-0.546875 0 -1.03125 -0.15625q-0.5 -0.15625 -0.859375 -0.453125q-0.375 -0.3125 -0.59375 -0.75q-0.21875 -0.453125 -0.21875 -1.015625q0 -0.546875 0.171875 -0.96875q0.171875 -0.421875 0.484375 -0.703125q0.3125 -0.296875 0.765625 -0.4375q0.453125 -0.15625 1.0 -0.15625zm-0.125 0.953125q-0.34375 -0.015625 -0.625 0.0625q-0.296875 0.078125 -0.5 0.265625q-0.21875 0.171875 -0.328125 0.4375q-0.125 0.25 -0.125 0.59375q0 0.296875 0.125 0.546875q0.109375 0.234375 0.3125 0.421875q0.203125 0.171875 0.5 0.28125q0.296875 0.109375 0.640625 0.125l0 -2.734375zm1.59375 -6.530731q0.265625 0 0.484375 0.09375q0.21875 0.09375 0.390625 0.265625q0.171875 0.15625 0.296875 0.375q0.109375 0.203125 0.1875 0.453125q0.078125 0.234375 0.109375 0.5q0.046875 0.25 0.046875 0.484375q0 0.53125 -0.046875 0.984375q-0.046875 0.4375 -0.15625 0.859375l-0.828125 0q0.125 -0.453125 0.203125 -0.90625q0.0625 -0.453125 0.0625 -0.890625q0 -0.65625 -0.171875 -0.96875q-0.1875 -0.3125 -0.515625 -0.3125q-0.140625 0 -0.25 0.046875q-0.109375 0.046875 -0.203125 0.1875q-0.109375 0.125 -0.21875 0.40625q-0.109375 0.265625 -0.25 0.734375q-0.109375 0.359375 -0.234375 0.65625q-0.140625 0.296875 -0.3125 0.515625q-0.1875 0.21875 -0.421875 0.34375q-0.25 0.125 -0.578125 0.125q-0.21875 0 -0.484375 -0.09375q-0.265625 -0.109375 -0.484375 -0.34375q-0.21875 -0.25 -0.359375 -0.671875q-0.15625 -0.421875 -0.15625 -1.03125q0 -0.3125 0.03125 -0.6875q0.03125 -0.375 0.109375 -0.78125l0.8125 0q-0.109375 0.421875 -0.15625 0.8125q-0.046875 0.375 -0.046875 0.65625q0 0.34375 0.0625 0.578125q0.046875 0.21875 0.140625 0.375q0.078125 0.140625 0.203125 0.203125q0.125 0.0625 0.265625 0.0625q0.140625 0 0.265625 -0.046875q0.109375 -0.0625 0.21875 -0.203125q0.09375 -0.15625 0.203125 -0.421875q0.109375 -0.265625 0.234375 -0.6875q0.140625 -0.46875 0.28125 -0.78125q0.140625 -0.328125 0.328125 -0.515625q0.1875 -0.203125 0.421875 -0.28125q0.21875 -0.09375 0.515625 -0.09375zm1.234375 -5.780731q0.125 0.359375 0.1875 0.734375q0.078125 0.359375 0.078125 0.765625q0 1.234375 -0.671875 1.90625q-0.671875 0.65625 -1.96875 0.65625q-0.609375 0 -1.109375 -0.1875q-0.515625 -0.1875 -0.875 -0.53125q-0.359375 -0.359375 -0.546875 -0.84375q-0.203125 -0.484375 -0.203125 -1.0625q0 -0.40625 0.0625 -0.75q0.046875 -0.359375 0.1875 -0.6875l0.859375 0q-0.171875 0.34375 -0.25 0.703125q-0.09375 0.34375 -0.09375 0.71875q0 0.34375 0.140625 0.65625q0.125 0.296875 0.375 0.53125q0.25 0.234375 0.609375 0.375q0.359375 0.140625 0.8125 0.140625q0.953125 0 1.421875 -0.453125q0.46875 -0.46875 0.46875 -1.28125q0 -0.375 -0.078125 -0.71875q-0.09375 -0.34375 -0.25 -0.671875l0.84375 0zm2.328125 -6.827606l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-6.609375 -2.6088562l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm2.203125 -3.7651062l0 -0.8125l0.84375 -0.03125q-0.28125 -0.234375 -0.453125 -0.4375q-0.1875 -0.21875 -0.296875 -0.421875q-0.109375 -0.203125 -0.140625 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.8125 0.484375 -1.21875q0.46875 -0.421875 1.421875 -0.421875l3.40625 0l0 0.90625l-3.328125 0q-0.625 0 -0.90625 0.234375q-0.296875 0.21875 -0.296875 0.671875q0 0.171875 0.046875 0.328125q0.046875 0.15625 0.171875 0.328125q0.125 0.171875 0.328125 0.375q0.203125 0.203125 0.515625 0.453125l3.46875 0l0 0.90625l-5.21875 0zm5.140625 -10.077606q0.078125 0.3125 0.109375 0.640625q0.046875 0.328125 0.046875 0.671875q0 0.984375 -0.4375 1.46875q-0.453125 0.46875 -1.375 0.46875l-2.734375 0l0 1.46875l-0.75 0l0 -1.46875l-1.4375 0l-0.234375 -0.890625l1.671875 0l0 -2.359375l0.75 0l0 2.359375l2.65625 0q0.5625 0 0.84375 -0.296875q0.28125 -0.3125 0.28125 -0.890625q0 -0.25 -0.03125 -0.546875q-0.046875 -0.296875 -0.140625 -0.625l0.78125 0zm-2.8125 -6.061981q0.203125 0 0.328125 0.015625q0.125 0 0.234375 0.015625l0 3.65625q0.8125 0 1.25 -0.4375q0.421875 -0.453125 0.421875 -1.296875q0 -0.21875 -0.015625 -0.453125q-0.03125 -0.234375 -0.0625 -0.4375q-0.03125 -0.21875 -0.0625 -0.40625q-0.046875 -0.203125 -0.09375 -0.375l0.75 0q0.109375 0.375 0.171875 0.84375q0.0625 0.46875 0.0625 0.96875q0 0.65625 -0.171875 1.140625q-0.1875 0.484375 -0.53125 0.796875q-0.359375 0.3125 -0.859375 0.46875q-0.5 0.140625 -1.140625 0.140625q-0.546875 0 -1.03125 -0.15625q-0.5 -0.15625 -0.859375 -0.453125q-0.375 -0.3125 -0.59375 -0.75q-0.21875 -0.453125 -0.21875 -1.015625q0 -0.546875 0.171875 -0.96875q0.171875 -0.421875 0.484375 -0.703125q0.3125 -0.296875 0.765625 -0.4375q0.453125 -0.15625 1.0 -0.15625zm-0.125 0.953125q-0.34375 -0.015625 -0.625 0.0625q-0.296875 0.078125 -0.5 0.265625q-0.21875 0.171875 -0.328125 0.4375q-0.125 0.25 -0.125 0.59375q0 0.296875 0.125 0.546875q0.109375 0.234375 0.3125 0.421875q0.203125 0.171875 0.5 0.28125q0.296875 0.109375 0.640625 0.125l0 -2.734375zm-1.46875 -6.155731q0.1875 -0.140625 0.4375 -0.21875q0.234375 -0.09375 0.515625 -0.09375q0.40625 0 0.734375 0.15625q0.328125 0.140625 0.578125 0.421875q0.234375 0.265625 0.375 0.640625q0.125 0.375 0.125 0.828125q0 0.328125 -0.0625 0.625q-0.078125 0.28125 -0.1875 0.4375q0.15625 0.109375 0.28125 0.171875q0.125 0.0625 0.296875 0.0625q0.1875 0 0.328125 -0.1875q0.125 -0.1875 0.140625 -0.5l0.046875 -1.375q0.015625 -0.390625 0.109375 -0.71875q0.078125 -0.328125 0.25 -0.5625q0.15625 -0.234375 0.40625 -0.359375q0.25 -0.140625 0.5625 -0.140625q0.359375 0 0.671875 0.15625q0.3125 0.140625 0.546875 0.453125q0.234375 0.3125 0.375 0.796875q0.140625 0.484375 0.140625 1.15625q0 0.625 -0.109375 1.078125q-0.09375 0.4375 -0.28125 0.734375q-0.171875 0.28125 -0.421875 0.421875q-0.25 0.125 -0.546875 0.125q-0.375 0 -0.671875 -0.171875q-0.28125 -0.1875 -0.546875 -0.5625q-0.0625 0.140625 -0.15625 0.25q-0.09375 0.09375 -0.203125 0.15625q-0.109375 0.0625 -0.234375 0.09375q-0.125 0.03125 -0.25 0.03125q-0.328125 0 -0.609375 -0.15625q-0.28125 -0.171875 -0.53125 -0.390625q-0.125 0.109375 -0.234375 0.1875q-0.109375 0.0625 -0.234375 0.125q-0.140625 0.046875 -0.296875 0.078125q-0.15625 0.03125 -0.359375 0.03125q-0.40625 0 -0.734375 -0.140625q-0.34375 -0.15625 -0.578125 -0.421875q-0.234375 -0.265625 -0.359375 -0.640625q-0.140625 -0.390625 -0.140625 -0.84375q0 -0.1875 0.03125 -0.359375q0.015625 -0.1875 0.0625 -0.3125l0 -1.90625l0.734375 0l0 0.84375zm5.234375 3.140625q0.375 0 0.546875 -0.375q0.171875 -0.390625 0.171875 -1.078125q0 -0.4375 -0.078125 -0.71875q-0.078125 -0.296875 -0.21875 -0.46875q-0.125 -0.1875 -0.296875 -0.265625q-0.15625 -0.078125 -0.328125 -0.078125q-0.3125 0 -0.46875 0.265625q-0.140625 0.25 -0.171875 0.78125l-0.046875 1.359375q0.109375 0.171875 0.21875 0.296875q0.109375 0.109375 0.21875 0.171875q0.125 0.0625 0.234375 0.09375q0.109375 0.015625 0.21875 0.015625zm-4.265625 -0.28125q0.25 0 0.46875 -0.078125q0.203125 -0.078125 0.34375 -0.234375q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.453125q0 -0.265625 -0.078125 -0.46875q-0.09375 -0.21875 -0.25 -0.359375q-0.15625 -0.140625 -0.359375 -0.21875q-0.203125 -0.078125 -0.421875 -0.078125q-0.25 0 -0.453125 0.09375q-0.21875 0.078125 -0.359375 0.234375q-0.140625 0.140625 -0.21875 0.34375q-0.09375 0.203125 -0.09375 0.453125q0 0.265625 0.09375 0.484375q0.09375 0.203125 0.25 0.359375q0.15625 0.140625 0.359375 0.21875q0.203125 0.0625 0.421875 0.0625zm5.65625 -9.983856l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-8.65625 -5.468231q-0.140625 0.71875 -0.140625 1.234375q0 1.21875 1.28125 1.21875l0.90625 0l0 -2.296875l0.765625 0l0 2.296875l3.703125 0l0 0.921875l-3.703125 0l0 1.671875l-0.765625 0l0 -1.671875l-0.859375 0q-2.09375 0 -2.09375 -2.171875q0 -0.546875 0.125 -1.203125l0.78125 0zm1.296875 5.46875l0 0zm5.21875 -10.046356l-0.703125 0.015625q0.421875 0.4375 0.609375 0.875q0.1875 0.4375 0.1875 0.921875q0 0.4375 -0.109375 0.765625q-0.125 0.3125 -0.3125 0.515625q-0.203125 0.203125 -0.46875 0.3125q-0.28125 0.09375 -0.59375 0.09375q-0.796875 0 -1.234375 -0.578125q-0.4375 -0.59375 -0.4375 -1.734375l0 -1.09375l-0.46875 0q-0.453125 0 -0.734375 0.296875q-0.28125 0.296875 -0.28125 0.90625q0 0.4375 0.109375 0.875q0.09375 0.421875 0.265625 0.890625l-0.8125 0q-0.0625 -0.171875 -0.125 -0.375q-0.0625 -0.21875 -0.109375 -0.453125q-0.046875 -0.234375 -0.0625 -0.484375q-0.03125 -0.265625 -0.03125 -0.515625q0 -0.484375 0.109375 -0.859375q0.09375 -0.375 0.3125 -0.640625q0.203125 -0.265625 0.53125 -0.40625q0.328125 -0.140625 0.765625 -0.140625l3.59375 0l0 0.8125zm-2.375 0.09375l0 1.15625q0 0.328125 0.0625 0.578125q0.0625 0.25 0.1875 0.40625q0.125 0.15625 0.3125 0.234375q0.171875 0.0625 0.390625 0.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.25 -0.140625q0.109375 -0.109375 0.171875 -0.265625q0.0625 -0.171875 0.0625 -0.421875q0 -0.296875 -0.1875 -0.703125q-0.203125 -0.40625 -0.609375 -0.859375l-0.921875 0zm-2.09375 -4.374481l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm0.8125 -5.546356l0 1.546875l-0.75 0l0 -2.46875l6.609375 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-5.859375 0zm8.75 -7.608856l0 2.5625l-9.671875 0l0 -2.5625l0.71875 0l0 1.703125l8.21875 0l0 -1.703125l0.734375 0zm-6.515625 -6.499481l0.625 0.359375l-0.96875 1.453125l1.78125 -0.109375l0 0.734375l-1.78125 -0.109375l0.96875 1.484375l-0.609375 0.328125l-0.78125 -1.59375l-0.8125 1.59375l-0.609375 -0.359375l0.984375 -1.46875l-1.78125 0.125l0 -0.734375l1.78125 0.125l-0.984375 -1.46875l0.640625 -0.375l0.78125 1.609375l0.765625 -1.59375zm6.515625 -4.921356l0 2.5625l-0.734375 0l0 -1.6875l-8.21875 0l0 1.6875l-0.71875 0l0 -2.5625l9.671875 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m608.0 551.7533l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 551.7533l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 550.10156l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.04724 640.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.04724 640.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.04724 638.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.04724 728.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.04724 728.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.04724 726.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 816.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 816.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 814.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m128.0 96.0l104.0 0l0 16.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m149.84686 101.865l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625zm6.718231 6.515625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.296356 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.093231 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624481 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983856 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.374481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.936981 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm4.686981 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.499481 -6.515625l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625zm4.921356 6.515625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m144.00787 116.40945l-0.06298828 19.590553" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m144.00787 116.40945l-0.043701172 13.590584" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m142.31245 129.99472l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.00787 116.40945l-0.06298828 19.590553" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.00787 116.40945l-0.043701172 13.590584" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m158.31245 129.99472l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m176.00787 116.40945l-0.06298828 19.590553" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m176.00787 116.40945l-0.043701172 13.590584" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m174.31245 129.99472l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m192.00787 116.40945l-0.06298828 19.590553" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m192.00787 116.40945l-0.043701172 13.590584" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m190.31245 129.99472l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m208.00787 116.40945l-0.06298828 19.590553" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m208.00787 116.40945l-0.043701172 13.590584" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m206.31245 129.99472l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m272.0 256.0l0 -96.0l16.0 0l0 96.0z" fill-rule="evenodd"/><path fill="#000000" d="m282.24 251.8125l-0.703125 0.015625q0.421875 0.4375 0.609375 0.875q0.1875 0.4375 0.1875 0.921875q0 0.4375 -0.109375 0.765625q-0.125 0.3125 -0.3125 0.515625q-0.203125 0.203125 -0.46875 0.3125q-0.28125 0.09375 -0.59375 0.09375q-0.796875 0 -1.234375 -0.578125q-0.4375 -0.59375 -0.4375 -1.734375l0 -1.09375l-0.46875 0q-0.453125 0 -0.734375 0.296875q-0.28125 0.296875 -0.28125 0.90625q0 0.4375 0.109375 0.875q0.09375 0.421875 0.265625 0.890625l-0.8125 0q-0.0625 -0.171875 -0.125 -0.375q-0.0625 -0.21875 -0.109375 -0.453125q-0.046875 -0.234375 -0.0625 -0.484375q-0.03125 -0.265625 -0.03125 -0.515625q0 -0.484375 0.109375 -0.859375q0.09375 -0.375 0.3125 -0.640625q0.203125 -0.265625 0.53125 -0.40625q0.328125 -0.140625 0.765625 -0.140625l3.59375 0l0 0.8125zm-2.375 0.09375l0 1.15625q0 0.328125 0.0625 0.578125q0.0625 0.25 0.1875 0.40625q0.125 0.15625 0.3125 0.234375q0.171875 0.0625 0.390625 0.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.25 -0.140625q0.109375 -0.109375 0.171875 -0.265625q0.0625 -0.171875 0.0625 -0.421875q0 -0.296875 -0.1875 -0.703125q-0.203125 -0.40625 -0.609375 -0.859375l-0.921875 0zm-4.234375 -4.374481l0 1.546875l-0.75 0l0 -2.46875l6.609375 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-5.859375 0zm3.71875 -8.499481q0.203125 0 0.328125 0.015625q0.125 0 0.234375 0.015625l0 3.65625q0.8125 0 1.25 -0.4375q0.421875 -0.453125 0.421875 -1.296875q0 -0.21875 -0.015625 -0.453125q-0.03125 -0.234375 -0.0625 -0.4375q-0.03125 -0.21875 -0.0625 -0.40625q-0.046875 -0.203125 -0.09375 -0.375l0.75 0q0.109375 0.375 0.171875 0.84375q0.0625 0.46875 0.0625 0.96875q0 0.65625 -0.171875 1.140625q-0.1875 0.484375 -0.53125 0.796875q-0.359375 0.3125 -0.859375 0.46875q-0.5 0.140625 -1.140625 0.140625q-0.546875 0 -1.03125 -0.15625q-0.5 -0.15625 -0.859375 -0.453125q-0.375 -0.3125 -0.59375 -0.75q-0.21875 -0.453125 -0.21875 -1.015625q0 -0.546875 0.171875 -0.96875q0.171875 -0.421875 0.484375 -0.703125q0.3125 -0.296875 0.765625 -0.4375q0.453125 -0.15625 1.0 -0.15625zm-0.125 0.953125q-0.34375 -0.015625 -0.625 0.0625q-0.296875 0.078125 -0.5 0.265625q-0.21875 0.171875 -0.328125 0.4375q-0.125 0.25 -0.125 0.59375q0 0.296875 0.125 0.546875q0.109375 0.234375 0.3125 0.421875q0.203125 0.171875 0.5 0.28125q0.296875 0.109375 0.640625 0.125l0 -2.734375zm-2.203125 -2.6088562l0 -0.828125l0.953125 -0.03125q-0.546875 -0.453125 -0.796875 -0.90625q-0.25 -0.453125 -0.25 -0.90625q0 -0.8125 0.53125 -1.234375q0.515625 -0.421875 1.546875 -0.390625l0 0.921875q-0.6875 -0.015625 -0.984375 0.203125q-0.3125 0.21875 -0.3125 0.625q0 0.1875 0.0625 0.375q0.0625 0.1875 0.203125 0.375q0.140625 0.1875 0.375 0.40625q0.21875 0.21875 0.53125 0.46875l3.359375 0l0 0.921875l-5.21875 0zm5.140625 -9.858856q0.078125 0.3125 0.109375 0.640625q0.046875 0.328125 0.046875 0.671875q0 0.984375 -0.4375 1.46875q-0.453125 0.46875 -1.375 0.46875l-2.734375 0l0 1.46875l-0.75 0l0 -1.46875l-1.4375 0l-0.234375 -0.890625l1.671875 0l0 -2.359375l0.75 0l0 2.359375l2.65625 0q0.5625 0 0.84375 -0.296875q0.28125 -0.3125 0.28125 -0.890625q0 -0.25 -0.03125 -0.546875q-0.046875 -0.296875 -0.140625 -0.625l0.78125 0zm2.21875 -6.671356l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-4.84375 -5.296356q0.6875 0 1.21875 0.203125q0.515625 0.1875 0.859375 0.53125q0.34375 0.34375 0.515625 0.8125q0.171875 0.46875 0.171875 1.015625q0 0.25 -0.03125 0.5q-0.015625 0.234375 -0.078125 0.5l2.1875 0l0 0.90625l-7.359375 0l0 -0.8125l0.875 -0.0625q-0.546875 -0.390625 -0.75 -0.828125q-0.21875 -0.4375 -0.21875 -0.953125q0 -0.453125 0.1875 -0.78125q0.1875 -0.34375 0.53125 -0.5625q0.328125 -0.234375 0.8125 -0.34375q0.484375 -0.125 1.078125 -0.125zm0.03125 0.921875q-0.40625 0 -0.75 0.0625q-0.34375 0.0625 -0.578125 0.203125q-0.25 0.125 -0.390625 0.328125q-0.140625 0.203125 -0.140625 0.484375q0 0.171875 0.0625 0.34375q0.046875 0.171875 0.1875 0.359375q0.125 0.1875 0.34375 0.40625q0.203125 0.203125 0.515625 0.453125l2.53125 0q0.09375 -0.25 0.15625 -0.53125q0.0625 -0.28125 0.0625 -0.53125q0 -0.734375 -0.5 -1.15625q-0.5 -0.421875 -1.5 -0.421875zm-1.796875 -4.093231l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm2.203125 -3.7651062l0 -0.8125l0.84375 -0.03125q-0.28125 -0.234375 -0.453125 -0.4375q-0.1875 -0.21875 -0.296875 -0.421875q-0.109375 -0.203125 -0.140625 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.8125 0.484375 -1.21875q0.46875 -0.421875 1.421875 -0.421875l3.40625 0l0 0.90625l-3.328125 0q-0.625 0 -0.90625 0.234375q-0.296875 0.21875 -0.296875 0.671875q0 0.171875 0.046875 0.328125q0.046875 0.15625 0.171875 0.328125q0.125 0.171875 0.328125 0.375q0.203125 0.203125 0.515625 0.453125l3.46875 0l0 0.90625l-5.21875 0zm0.734375 -9.624481q0.1875 -0.140625 0.4375 -0.21875q0.234375 -0.09375 0.515625 -0.09375q0.40625 0 0.734375 0.15625q0.328125 0.140625 0.578125 0.421875q0.234375 0.265625 0.375 0.640625q0.125 0.375 0.125 0.828125q0 0.328125 -0.0625 0.625q-0.078125 0.28125 -0.1875 0.4375q0.15625 0.109375 0.28125 0.171875q0.125 0.0625 0.296875 0.0625q0.1875 0 0.328125 -0.1875q0.125 -0.1875 0.140625 -0.5l0.046875 -1.375q0.015625 -0.390625 0.109375 -0.71875q0.078125 -0.328125 0.25 -0.5625q0.15625 -0.234375 0.40625 -0.359375q0.25 -0.140625 0.5625 -0.140625q0.359375 0 0.671875 0.15625q0.3125 0.140625 0.546875 0.453125q0.234375 0.3125 0.375 0.796875q0.140625 0.484375 0.140625 1.15625q0 0.625 -0.109375 1.078125q-0.09375 0.4375 -0.28125 0.734375q-0.171875 0.28125 -0.421875 0.421875q-0.25 0.125 -0.546875 0.125q-0.375 0 -0.671875 -0.171875q-0.28125 -0.1875 -0.546875 -0.5625q-0.0625 0.140625 -0.15625 0.25q-0.09375 0.09375 -0.203125 0.15625q-0.109375 0.0625 -0.234375 0.09375q-0.125 0.03125 -0.25 0.03125q-0.328125 0 -0.609375 -0.15625q-0.28125 -0.171875 -0.53125 -0.390625q-0.125 0.109375 -0.234375 0.1875q-0.109375 0.0625 -0.234375 0.125q-0.140625 0.046875 -0.296875 0.078125q-0.15625 0.03125 -0.359375 0.03125q-0.40625 0 -0.734375 -0.140625q-0.34375 -0.15625 -0.578125 -0.421875q-0.234375 -0.265625 -0.359375 -0.640625q-0.140625 -0.390625 -0.140625 -0.84375q0 -0.1875 0.03125 -0.359375q0.015625 -0.1875 0.0625 -0.3125l0 -1.90625l0.734375 0l0 0.84375zm5.234375 3.140625q0.375 0 0.546875 -0.375q0.171875 -0.390625 0.171875 -1.078125q0 -0.4375 -0.078125 -0.71875q-0.078125 -0.296875 -0.21875 -0.46875q-0.125 -0.1875 -0.296875 -0.265625q-0.15625 -0.078125 -0.328125 -0.078125q-0.3125 0 -0.46875 0.265625q-0.140625 0.25 -0.171875 0.78125l-0.046875 1.359375q0.109375 0.171875 0.21875 0.296875q0.109375 0.109375 0.21875 0.171875q0.125 0.0625 0.234375 0.09375q0.109375 0.015625 0.21875 0.015625zm-4.265625 -0.28125q0.25 0 0.46875 -0.078125q0.203125 -0.078125 0.34375 -0.234375q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.453125q0 -0.265625 -0.078125 -0.46875q-0.09375 -0.21875 -0.25 -0.359375q-0.15625 -0.140625 -0.359375 -0.21875q-0.203125 -0.078125 -0.421875 -0.078125q-0.25 0 -0.453125 0.09375q-0.21875 0.078125 -0.359375 0.234375q-0.140625 0.140625 -0.21875 0.34375q-0.09375 0.203125 -0.09375 0.453125q0 0.265625 0.09375 0.484375q0.09375 0.203125 0.25 0.359375q0.15625 0.140625 0.359375 0.21875q0.203125 0.0625 0.421875 0.0625zm5.65625 -9.983856l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-8.65625 -5.468231q-0.140625 0.71875 -0.140625 1.234375q0 1.21875 1.28125 1.21875l0.90625 0l0 -2.296875l0.765625 0l0 2.296875l3.703125 0l0 0.921875l-3.703125 0l0 1.671875l-0.765625 0l0 -1.671875l-0.859375 0q-2.09375 0 -2.09375 -2.171875q0 -0.546875 0.125 -1.203125l0.78125 0zm1.296875 5.46875l0 0zm5.21875 -10.046356l-0.703125 0.015625q0.421875 0.4375 0.609375 0.875q0.1875 0.4375 0.1875 0.921875q0 0.4375 -0.109375 0.765625q-0.125 0.3125 -0.3125 0.515625q-0.203125 0.203125 -0.46875 0.3125q-0.28125 0.09375 -0.59375 0.09375q-0.796875 0 -1.234375 -0.578125q-0.4375 -0.59375 -0.4375 -1.734375l0 -1.09375l-0.46875 0q-0.453125 0 -0.734375 0.296875q-0.28125 0.296875 -0.28125 0.90625q0 0.4375 0.109375 0.875q0.09375 0.421875 0.265625 0.890625l-0.8125 0q-0.0625 -0.171875 -0.125 -0.375q-0.0625 -0.21875 -0.109375 -0.453125q-0.046875 -0.234375 -0.0625 -0.484375q-0.03125 -0.265625 -0.03125 -0.515625q0 -0.484375 0.109375 -0.859375q0.09375 -0.375 0.3125 -0.640625q0.203125 -0.265625 0.53125 -0.40625q0.328125 -0.140625 0.765625 -0.140625l3.59375 0l0 0.8125zm-2.375 0.09375l0 1.15625q0 0.328125 0.0625 0.578125q0.0625 0.25 0.1875 0.40625q0.125 0.15625 0.3125 0.234375q0.171875 0.0625 0.390625 0.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.25 -0.140625q0.109375 -0.109375 0.171875 -0.265625q0.0625 -0.171875 0.0625 -0.421875q0 -0.296875 -0.1875 -0.703125q-0.203125 -0.40625 -0.609375 -0.859375l-0.921875 0zm-2.09375 -4.374481l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm0.8125 -5.546356l0 1.546875l-0.75 0l0 -2.46875l6.609375 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-5.859375 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m480.0 816.0l104.0 0l0 40.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m507.226 823.34937q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307007 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.20309448 0.109375 -0.45309448 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.37496948 0.03125 0.7812195 0.109375l0 0.8125q-0.421875 -0.109375 -0.8124695 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.32809448 0.140625 0.5155945 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8276367 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.9370117 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm4.6870117 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6088257 -4.203125q0 0.4375 -0.1875 0.84375q-0.171875 0.390625 -0.546875 0.6875q-0.359375 0.28125 -0.90625 0.453125q-0.546875 0.171875 -1.28125 0.171875q-0.40625 0 -0.734375 -0.03125q-0.3125 -0.015625 -0.59375 -0.0625l0 -0.8125q0.3125 0.0625 0.671875 0.09375q0.359375 0.03125 0.734375 0.03125q0.515625 0 0.875 -0.09375q0.359375 -0.09375 0.578125 -0.265625q0.234375 -0.171875 0.34375 -0.40625q0.109375 -0.25 0.109375 -0.5625q0 -0.28125 -0.125 -0.484375q-0.125 -0.203125 -0.359375 -0.34375q-0.21875 -0.140625 -0.546875 -0.203125q-0.3125 -0.078125 -0.671875 -0.078125l-0.78125 0l0 -0.75l0.78125 0q0.3125 0 0.5625 -0.078125q0.25 -0.078125 0.421875 -0.234375q0.1875 -0.15625 0.28125 -0.375q0.09375 -0.21875 0.09375 -0.5q0 -0.546875 -0.328125 -0.796875q-0.328125 -0.25 -0.96875 -0.25q-0.34375 0 -0.71875 0.078125q-0.359375 0.0625 -0.78125 0.1875l0 -0.78125q0.1875 -0.0625 0.375 -0.109375q0.203125 -0.0625 0.40625 -0.09375q0.203125 -0.03125 0.390625 -0.046875q0.203125 -0.015625 0.390625 -0.015625q0.53125 0 0.9375 0.125q0.421875 0.109375 0.6875 0.328125q0.28125 0.21875 0.421875 0.53125q0.140625 0.3125 0.140625 0.703125q0 0.578125 -0.296875 0.984375q-0.296875 0.390625 -0.8125 0.625q0.265625 0.03125 0.515625 0.15625q0.265625 0.125 0.46875 0.328125q0.203125 0.1875 0.328125 0.453125q0.125 0.265625 0.125 0.59375zm4.8119507 4.203125l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" d="m489.64944 836.34937q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.530731 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.780731 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.827606 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.5468445 0l0 0.75l-4.1874695 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077637 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.0619507 -2.8125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.1557007 -1.46875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.4682617 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm10.046326 5.21875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3744507 -2.09375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm5.5463867 0.8125l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.6088867 8.75l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6088257 -4.203125q0 0.4375 -0.1875 0.84375q-0.171875 0.390625 -0.546875 0.6875q-0.359375 0.28125 -0.90625 0.453125q-0.546875 0.171875 -1.28125 0.171875q-0.40625 0 -0.734375 -0.03125q-0.3125 -0.015625 -0.59375 -0.0625l0 -0.8125q0.3125 0.0625 0.671875 0.09375q0.359375 0.03125 0.734375 0.03125q0.515625 0 0.875 -0.09375q0.359375 -0.09375 0.578125 -0.265625q0.234375 -0.171875 0.34375 -0.40625q0.109375 -0.25 0.109375 -0.5625q0 -0.28125 -0.125 -0.484375q-0.125 -0.203125 -0.359375 -0.34375q-0.21875 -0.140625 -0.546875 -0.203125q-0.3125 -0.078125 -0.671875 -0.078125l-0.78125 0l0 -0.75l0.78125 0q0.3125 0 0.5625 -0.078125q0.25 -0.078125 0.421875 -0.234375q0.1875 -0.15625 0.28125 -0.375q0.09375 -0.21875 0.09375 -0.5q0 -0.546875 -0.328125 -0.796875q-0.328125 -0.25 -0.96875 -0.25q-0.34375 0 -0.71875 0.078125q-0.359375 0.0625 -0.78125 0.1875l0 -0.78125q0.1875 -0.0625 0.375 -0.109375q0.203125 -0.0625 0.40625 -0.09375q0.203125 -0.03125 0.390625 -0.046875q0.203125 -0.015625 0.390625 -0.015625q0.53125 0 0.9375 0.125q0.421875 0.109375 0.6875 0.328125q0.28125 0.21875 0.421875 0.53125q0.140625 0.3125 0.140625 0.703125q0 0.578125 -0.296875 0.984375q-0.296875 0.390625 -0.8125 0.625q0.265625 0.03125 0.515625 0.15625q0.265625 0.125 0.46875 0.328125q0.203125 0.1875 0.328125 0.453125q0.125 0.265625 0.125 0.59375zm4.8119507 4.203125l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m264.0 184.0l-40.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m264.0 184.0l-40.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m351.90552 224.0l0.09448242 16.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m351.90552 224.0l0.059051514 10.000107" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m350.31287 234.00986l1.6784973 4.5282593l1.6249084 -4.54776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m367.90552 224.0l0.09448242 16.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m367.90552 224.0l0.059051514 10.000107" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m366.31287 234.00986l1.6784973 4.5282593l1.6249084 -4.54776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m711.9984 136.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m711.99835 136.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m865.99835 137.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m711.9984 144.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m711.99835 144.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m865.99835 145.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0 160.0l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 160.0l-154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m717.99835 158.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0 152.0l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 152.0l-154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m717.99835 150.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 104.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 104.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 105.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 112.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 112.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 113.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m728.0 120.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m758.8932 130.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.2343826q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.5937576l-0.8125 0zm-0.09375 -2.3750076l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.18750763q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.9218826zm6.6557617 2.1875076q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.9687576q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.9531326 0.453125 1.4218826q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.4995117 0.1875l-1.25 0l-2.421875 -2.7968826l0 2.7968826l-0.90625 0l0 -7.3593826l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125076zm6.1869507 2.140625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.8437576q0 0.6875076 -0.203125 1.2187576q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.3593826l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.5312576q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5000076zm6.5151367 -4.6875l-3.5625 8.484383l-0.875 0l3.578125 -8.484383l0.859375 0zm1.6557617 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.4062576l-0.90625 0l0 -3.3281326q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.4687576l-0.90625 0l0 -5.2187576zm9.390076 7.3593826l-2.5625 0l0 -9.671883l2.5625 0l0 0.71875l-1.703125 0l0 8.218758l1.703125 0l0 0.734375zm6.9057007 -5.5312576q0 0.765625 -0.15625 1.4218826q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.6718826 -0.171875 -1.5625076q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.1406326q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5000076 0.109375 -1.1562576zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625076l-2.5625 0l0 -0.734375l1.6875 0l0 -8.218758l-1.6875 0l0 -0.71875l2.5625 0l0 9.671883z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m711.9984 224.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m711.99835 224.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m865.99835 225.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m711.9984 232.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m711.99835 232.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m865.99835 233.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0 248.0l-160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 248.0l-154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0 246.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0 240.0l-160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 240.0l-154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0 238.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 192.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 192.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 193.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 200.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 200.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 201.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 376.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 376.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 377.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 384.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 384.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 385.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0016 400.0l-160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.00165 400.0l-154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.00165 398.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0016 392.0l-160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.00165 392.0l-154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.00165 390.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0016 344.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.00165 344.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.00165 345.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0016 352.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.00165 352.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.00165 353.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m728.0 360.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m758.8932 370.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.6557617 2.1875q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.4995117 0.1875l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm6.1869507 2.140625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5151367 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557617 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7494507 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713867 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m296.0 152.0l-72.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m296.0 152.0l-72.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m240.0 288.0l0 -96.0l16.0 0l0 96.0z" fill-rule="evenodd"/><path fill="#000000" d="m247.34938 282.75q0.203125 0 0.328125 0.015625q0.125 0 0.234375 0.015625l0 3.65625q0.8125 0 1.25 -0.4375q0.421875 -0.453125 0.421875 -1.296875q0 -0.21875 -0.015625 -0.453125q-0.03125 -0.234375 -0.0625 -0.4375q-0.03125 -0.21875 -0.0625 -0.40625q-0.046875 -0.203125 -0.09375 -0.375l0.75 0q0.109375 0.375 0.171875 0.84375q0.0625 0.46875 0.0625 0.96875q0 0.65625 -0.171875 1.140625q-0.1875 0.484375 -0.53125 0.796875q-0.359375 0.3125 -0.859375 0.46875q-0.5 0.140625 -1.140625 0.140625q-0.546875 0 -1.03125 -0.15625q-0.5 -0.15625 -0.859375 -0.453125q-0.375 -0.3125 -0.59375 -0.75q-0.21875 -0.453125 -0.21875 -1.015625q0 -0.546875 0.171875 -0.96875q0.171875 -0.421875 0.484375 -0.703125q0.3125 -0.296875 0.765625 -0.4375q0.453125 -0.15625 1.0 -0.15625zm-0.125 0.953125q-0.34375 -0.015625 -0.625 0.0625q-0.296875 0.078125 -0.5 0.265625q-0.21875 0.171875 -0.328125 0.4375q-0.125 0.25 -0.125 0.59375q0 0.296875 0.125 0.546875q0.109375 0.234375 0.3125 0.421875q0.203125 0.171875 0.5 0.28125q0.296875 0.109375 0.640625 0.125l0 -2.734375zm1.59375 -6.530731q0.265625 0 0.484375 0.09375q0.21875 0.09375 0.390625 0.265625q0.171875 0.15625 0.296875 0.375q0.109375 0.203125 0.1875 0.453125q0.078125 0.234375 0.109375 0.5q0.046875 0.25 0.046875 0.484375q0 0.53125 -0.046875 0.984375q-0.046875 0.4375 -0.15625 0.859375l-0.828125 0q0.125 -0.453125 0.203125 -0.90625q0.0625 -0.453125 0.0625 -0.890625q0 -0.65625 -0.171875 -0.96875q-0.1875 -0.3125 -0.515625 -0.3125q-0.140625 0 -0.25 0.046875q-0.109375 0.046875 -0.203125 0.1875q-0.109375 0.125 -0.21875 0.40625q-0.109375 0.265625 -0.25 0.734375q-0.109375 0.359375 -0.234375 0.65625q-0.140625 0.296875 -0.3125 0.515625q-0.1875 0.21875 -0.421875 0.34375q-0.25 0.125 -0.578125 0.125q-0.21875 0 -0.484375 -0.09375q-0.265625 -0.109375 -0.484375 -0.34375q-0.21875 -0.25 -0.359375 -0.671875q-0.15625 -0.421875 -0.15625 -1.03125q0 -0.3125 0.03125 -0.6875q0.03125 -0.375 0.109375 -0.78125l0.8125 0q-0.109375 0.421875 -0.15625 0.8125q-0.046875 0.375 -0.046875 0.65625q0 0.34375 0.0625 0.578125q0.046875 0.21875 0.140625 0.375q0.078125 0.140625 0.203125 0.203125q0.125 0.0625 0.265625 0.0625q0.140625 0 0.265625 -0.046875q0.109375 -0.0625 0.21875 -0.203125q0.09375 -0.15625 0.203125 -0.421875q0.109375 -0.265625 0.234375 -0.6875q0.140625 -0.46875 0.28125 -0.78125q0.140625 -0.328125 0.328125 -0.515625q0.1875 -0.203125 0.421875 -0.28125q0.21875 -0.09375 0.515625 -0.09375zm1.234375 -5.780731q0.125 0.359375 0.1875 0.734375q0.078125 0.359375 0.078125 0.765625q0 1.234375 -0.671875 1.90625q-0.671875 0.65625 -1.96875 0.65625q-0.609375 0 -1.109375 -0.1875q-0.515625 -0.1875 -0.875 -0.53125q-0.359375 -0.359375 -0.546875 -0.84375q-0.203125 -0.484375 -0.203125 -1.0625q0 -0.40625 0.0625 -0.75q0.046875 -0.359375 0.1875 -0.6875l0.859375 0q-0.171875 0.34375 -0.25 0.703125q-0.09375 0.34375 -0.09375 0.71875q0 0.34375 0.140625 0.65625q0.125 0.296875 0.375 0.53125q0.25 0.234375 0.609375 0.375q0.359375 0.140625 0.8125 0.140625q0.953125 0 1.421875 -0.453125q0.46875 -0.46875 0.46875 -1.28125q0 -0.375 -0.078125 -0.71875q-0.09375 -0.34375 -0.25 -0.671875l0.84375 0zm2.328125 -6.827606l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-4.84375 -5.296356q0.6875 0 1.21875 0.203125q0.515625 0.1875 0.859375 0.53125q0.34375 0.34375 0.515625 0.8125q0.171875 0.46875 0.171875 1.015625q0 0.25 -0.03125 0.5q-0.015625 0.234375 -0.078125 0.5l2.1875 0l0 0.90625l-7.359375 0l0 -0.8125l0.875 -0.0625q-0.546875 -0.390625 -0.75 -0.828125q-0.21875 -0.4375 -0.21875 -0.953125q0 -0.453125 0.1875 -0.78125q0.1875 -0.34375 0.53125 -0.5625q0.328125 -0.234375 0.8125 -0.34375q0.484375 -0.125 1.078125 -0.125zm0.03125 0.921875q-0.40625 0 -0.75 0.0625q-0.34375 0.0625 -0.578125 0.203125q-0.25 0.125 -0.390625 0.328125q-0.140625 0.203125 -0.140625 0.484375q0 0.171875 0.0625 0.34375q0.046875 0.171875 0.1875 0.359375q0.125 0.1875 0.34375 0.40625q0.203125 0.203125 0.515625 0.453125l2.53125 0q0.09375 -0.25 0.15625 -0.53125q0.0625 -0.28125 0.0625 -0.53125q0 -0.734375 -0.5 -1.15625q-0.5 -0.421875 -1.5 -0.421875zm-1.796875 -4.093231l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm2.203125 -3.7651062l0 -0.8125l0.84375 -0.03125q-0.28125 -0.234375 -0.453125 -0.4375q-0.1875 -0.21875 -0.296875 -0.421875q-0.109375 -0.203125 -0.140625 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.8125 0.484375 -1.21875q0.46875 -0.421875 1.421875 -0.421875l3.40625 0l0 0.90625l-3.328125 0q-0.625 0 -0.90625 0.234375q-0.296875 0.21875 -0.296875 0.671875q0 0.171875 0.046875 0.328125q0.046875 0.15625 0.171875 0.328125q0.125 0.171875 0.328125 0.375q0.203125 0.203125 0.515625 0.453125l3.46875 0l0 0.90625l-5.21875 0zm0.734375 -9.624481q0.1875 -0.140625 0.4375 -0.21875q0.234375 -0.09375 0.515625 -0.09375q0.40625 0 0.734375 0.15625q0.328125 0.140625 0.578125 0.421875q0.234375 0.265625 0.375 0.640625q0.125 0.375 0.125 0.828125q0 0.328125 -0.0625 0.625q-0.078125 0.28125 -0.1875 0.4375q0.15625 0.109375 0.28125 0.171875q0.125 0.0625 0.296875 0.0625q0.1875 0 0.328125 -0.1875q0.125 -0.1875 0.140625 -0.5l0.046875 -1.375q0.015625 -0.390625 0.109375 -0.71875q0.078125 -0.328125 0.25 -0.5625q0.15625 -0.234375 0.40625 -0.359375q0.25 -0.140625 0.5625 -0.140625q0.359375 0 0.671875 0.15625q0.3125 0.140625 0.546875 0.453125q0.234375 0.3125 0.375 0.796875q0.140625 0.484375 0.140625 1.15625q0 0.625 -0.109375 1.078125q-0.09375 0.4375 -0.28125 0.734375q-0.171875 0.28125 -0.421875 0.421875q-0.25 0.125 -0.546875 0.125q-0.375 0 -0.671875 -0.171875q-0.28125 -0.1875 -0.546875 -0.5625q-0.0625 0.140625 -0.15625 0.25q-0.09375 0.09375 -0.203125 0.15625q-0.109375 0.0625 -0.234375 0.09375q-0.125 0.03125 -0.25 0.03125q-0.328125 0 -0.609375 -0.15625q-0.28125 -0.171875 -0.53125 -0.390625q-0.125 0.109375 -0.234375 0.1875q-0.109375 0.0625 -0.234375 0.125q-0.140625 0.046875 -0.296875 0.078125q-0.15625 0.03125 -0.359375 0.03125q-0.40625 0 -0.734375 -0.140625q-0.34375 -0.15625 -0.578125 -0.421875q-0.234375 -0.265625 -0.359375 -0.640625q-0.140625 -0.390625 -0.140625 -0.84375q0 -0.1875 0.03125 -0.359375q0.015625 -0.1875 0.0625 -0.3125l0 -1.90625l0.734375 0l0 0.84375zm5.234375 3.140625q0.375 0 0.546875 -0.375q0.171875 -0.390625 0.171875 -1.078125q0 -0.4375 -0.078125 -0.71875q-0.078125 -0.296875 -0.21875 -0.46875q-0.125 -0.1875 -0.296875 -0.265625q-0.15625 -0.078125 -0.328125 -0.078125q-0.3125 0 -0.46875 0.265625q-0.140625 0.25 -0.171875 0.78125l-0.046875 1.359375q0.109375 0.171875 0.21875 0.296875q0.109375 0.109375 0.21875 0.171875q0.125 0.0625 0.234375 0.09375q0.109375 0.015625 0.21875 0.015625zm-4.265625 -0.28125q0.25 0 0.46875 -0.078125q0.203125 -0.078125 0.34375 -0.234375q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.453125q0 -0.265625 -0.078125 -0.46875q-0.09375 -0.21875 -0.25 -0.359375q-0.15625 -0.140625 -0.359375 -0.21875q-0.203125 -0.078125 -0.421875 -0.078125q-0.25 0 -0.453125 0.09375q-0.21875 0.078125 -0.359375 0.234375q-0.140625 0.140625 -0.21875 0.34375q-0.09375 0.203125 -0.09375 0.453125q0 0.265625 0.09375 0.484375q0.09375 0.203125 0.25 0.359375q0.15625 0.140625 0.359375 0.21875q0.203125 0.0625 0.421875 0.0625zm5.65625 -9.983856l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-8.65625 -5.468231q-0.140625 0.71875 -0.140625 1.234375q0 1.21875 1.28125 1.21875l0.90625 0l0 -2.296875l0.765625 0l0 2.296875l3.703125 0l0 0.921875l-3.703125 0l0 1.671875l-0.765625 0l0 -1.671875l-0.859375 0q-2.09375 0 -2.09375 -2.171875q0 -0.546875 0.125 -1.203125l0.78125 0zm1.296875 5.46875l0 0zm5.21875 -10.046356l-0.703125 0.015625q0.421875 0.4375 0.609375 0.875q0.1875 0.4375 0.1875 0.921875q0 0.4375 -0.109375 0.765625q-0.125 0.3125 -0.3125 0.515625q-0.203125 0.203125 -0.46875 0.3125q-0.28125 0.09375 -0.59375 0.09375q-0.796875 0 -1.234375 -0.578125q-0.4375 -0.59375 -0.4375 -1.734375l0 -1.09375l-0.46875 0q-0.453125 0 -0.734375 0.296875q-0.28125 0.296875 -0.28125 0.90625q0 0.4375 0.109375 0.875q0.09375 0.421875 0.265625 0.890625l-0.8125 0q-0.0625 -0.171875 -0.125 -0.375q-0.0625 -0.21875 -0.109375 -0.453125q-0.046875 -0.234375 -0.0625 -0.484375q-0.03125 -0.265625 -0.03125 -0.515625q0 -0.484375 0.109375 -0.859375q0.09375 -0.375 0.3125 -0.640625q0.203125 -0.265625 0.53125 -0.40625q0.328125 -0.140625 0.765625 -0.140625l3.59375 0l0 0.8125zm-2.375 0.09375l0 1.15625q0 0.328125 0.0625 0.578125q0.0625 0.25 0.1875 0.40625q0.125 0.15625 0.3125 0.234375q0.171875 0.0625 0.390625 0.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.25 -0.140625q0.109375 -0.109375 0.171875 -0.265625q0.0625 -0.171875 0.0625 -0.421875q0 -0.296875 -0.1875 -0.703125q-0.203125 -0.40625 -0.609375 -0.859375l-0.921875 0zm-2.09375 -4.374481l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm0.8125 -5.546356l0 1.546875l-0.75 0l0 -2.46875l6.609375 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-5.859375 0z" fill-rule="nonzero"/></g></svg>
\ No newline at end of file
+<svg version="1.1" viewBox="0.0 0.0 1093.241469816273 923.1154855643044" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l1093.2415 0l0 923.1155l-1093.2415 0l0 -923.1155z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l1093.2415 0l0 923.1155l-1093.2415 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m824.0 24.005249l265.95276 0l0 256.0l-265.95276 0z" fill-rule="evenodd"/><path stroke="#9900ff" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m824.0 24.005249l265.95276 0l0 256.0l-265.95276 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m744.0 888.0l344.0 0l0 -256.0l0 -344.0l-344.0 0l0 -264.0l-664.0 0.0052490234l0 863.98425z" fill-rule="evenodd"/><path stroke="#0000ff" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m744.0 888.0l344.0 0l0 -256.0l0 -344.0l-344.0 0l0 -264.0l-664.0 0.0052490234l0 863.98425z" fill-rule="evenodd"/><path fill="#d9d9d9" d="m832.0 304.0l237.35437 0l0 136.0l-237.35437 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m832.0 304.0l237.35437 0l0 136.0l-237.35437 0z" fill-rule="evenodd"/><path fill="#000000" d="m997.83453 326.1856q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.788513 -0.390625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.554199 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm13.007263 1.3125l-2.703125 0l0 -1.3125l4.296936 0l0 7.8125l2.734375 0l0 1.328125l-7.343811 0l0 -1.328125l3.015625 0l0 -6.5zm0.54693604 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.50006104 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.23443604 -0.09375 0.50006104 -0.09375zm14.413452 8.25q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.413574 4.671875l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm10.522949 0l-7.46875 0l0 -1.46875l3.0625 0l0 -8.703125l-2.859375 1.546875l-0.578125 -1.34375l3.78125 -1.984375l1.40625 0l0 10.484375l2.65625 0l0 1.46875z" fill-rule="nonzero"/><path fill="#d9d9d9" d="m832.0 56.00525l237.35437 0l0 208.0l-237.35437 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m832.0 56.00525l237.35437 0l0 208.0l-237.35437 0z" fill-rule="evenodd"/><path fill="#000000" d="m997.83453 78.19087q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.788513 -0.390625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.554199 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm13.007263 1.3125l-2.703125 0l0 -1.3125l4.296936 0l0 7.8125l2.734375 0l0 1.328125l-7.343811 0l0 -1.328125l3.015625 0l0 -6.5zm0.54693604 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.50006104 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.23443604 -0.09375 0.50006104 -0.09375zm14.413452 8.25q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.413574 4.671875l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm10.897949 -5.953125q0 1.375 -0.28125 2.5q-0.265625 1.125 -0.828125 1.9375q-0.546875 0.796875 -1.375 1.234375q-0.8125 0.4375 -1.9375 0.4375q-0.953125 0 -1.734375 -0.359375q-0.78125 -0.359375 -1.34375 -1.09375q-0.546875 -0.75 -0.859375 -1.90625q-0.296875 -1.15625 -0.296875 -2.75q0 -1.359375 0.28125 -2.484375q0.28125 -1.140625 0.828125 -1.9375q0.546875 -0.8125 1.375 -1.25q0.84375 -0.4375 1.9375 -0.4375q0.953125 0 1.734375 0.359375q0.796875 0.359375 1.34375 1.109375q0.5625 0.75 0.859375 1.90625q0.296875 1.15625 0.296875 2.734375zm-1.59375 0.0625q0 -0.3125 -0.03125 -0.609375q-0.015625 -0.3125 -0.046875 -0.609375l-5.046875 3.75q0.140625 0.46875 0.34375 0.875q0.21875 0.390625 0.515625 0.6875q0.296875 0.28125 0.671875 0.453125q0.375 0.15625 0.859375 0.15625q0.625 0 1.125 -0.296875q0.515625 -0.3125 0.859375 -0.90625q0.359375 -0.59375 0.546875 -1.46875q0.203125 -0.875 0.203125 -2.03125zm-5.453125 -0.109375q0 0.28125 0 0.5625q0 0.28125 0.03125 0.546875l5.046875 -3.734375q-0.140625 -0.453125 -0.359375 -0.828125q-0.203125 -0.390625 -0.5 -0.671875q-0.28125 -0.28125 -0.65625 -0.4375q-0.375 -0.15625 -0.84375 -0.15625q-0.609375 0 -1.125 0.3125q-0.5 0.296875 -0.859375 0.890625q-0.359375 0.59375 -0.546875 1.484375q-0.1875 0.875 -0.1875 2.03125z" fill-rule="nonzero"/><path fill="#d9d9d9" d="m96.0 56.0l640.0 0l0 816.0l-640.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m96.0 56.0l640.0 0l0 816.0l-640.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m112.328125 82.92l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm7.6791687 -7.40625l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm14.866669 6.5q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.257294 9.015625q-0.53125 0.140625 -1.109375 0.1875q-0.578125 0.0625 -1.171875 0.0625q-1.71875 0 -2.5625 -0.78125q-0.84375 -0.78125 -0.84375 -2.390625l0 -4.765625l-2.5625 0l0 -1.328125l2.5625 0l0 -2.515625l1.578125 -0.40625l0 2.921875l4.109375 0l0 1.328125l-4.109375 0l0 4.640625q0 0.984375 0.515625 1.46875q0.53125 0.484375 1.546875 0.484375q0.4375 0 0.953125 -0.0625q0.53125 -0.0625 1.09375 -0.21875l0 1.375zm11.679169 3.859375l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm8.819794 -3.734375l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm8.772919 0l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm4.5541687 -4.984375l1.421875 0l0.0625 1.46875q0.390625 -0.46875 0.765625 -0.78125q0.375 -0.3125 0.734375 -0.5q0.359375 -0.203125 0.734375 -0.28125q0.375 -0.078125 0.78125 -0.078125q1.40625 0 2.125 0.84375q0.734375 0.828125 0.734375 2.5l0 5.96875l-1.59375 0l0 -5.84375q0 -1.078125 -0.40625 -1.578125q-0.390625 -0.515625 -1.1875 -0.515625q-0.28125 0 -0.5625 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.34375 0.359375 -0.78125 0.90625l0 6.0625l-1.59375 0l0 -9.140625zm9.804169 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm12.226044 -7.078125l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm14.866669 6.5q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625z" fill-rule="nonzero"/><path fill="#ffffff" d="m48.0 319.01825l16.0 -16.0l0 8.0l48.0 0l0 -8.0l16.0 16.0l-16.0 16.0l0 -8.0l-48.0 0l0 8.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m48.0 319.01825l16.0 -16.0l0 8.0l48.0 0l0 -8.0l16.0 16.0l-16.0 16.0l0 -8.0l-48.0 0l0 8.0z" fill-rule="evenodd"/><path fill="#c9daf8" d="m127.74803 264.0l96.25197 0l0 114.26773l-96.25197 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m127.74803 264.0l96.25197 0l0 114.26773l-96.25197 0z" fill-rule="evenodd"/><path fill="#000000" d="m166.06151 326.60324q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125zm8.8125 -2.375q0 0.71875 -0.296875 1.265625q-0.296875 0.546875 -0.828125 0.921875q-0.53125 0.359375 -1.28125 0.546875q-0.75 0.171875 -1.640625 0.171875q-0.40625 0 -0.8125 -0.03125q-0.40625 -0.03125 -0.78125 -0.078125q-0.359375 -0.046875 -0.6875 -0.109375q-0.328125 -0.0625 -0.59375 -0.140625l0 -1.34375q0.578125 0.21875 1.3125 0.34375q0.734375 0.125 1.65625 0.125q0.671875 0 1.140625 -0.09375q0.484375 -0.109375 0.78125 -0.3125q0.296875 -0.21875 0.4375 -0.515625q0.140625 -0.296875 0.140625 -0.671875q0 -0.421875 -0.234375 -0.703125q-0.234375 -0.296875 -0.609375 -0.53125q-0.375 -0.234375 -0.859375 -0.421875q-0.46875 -0.1875 -0.96875 -0.390625q-0.5 -0.203125 -0.984375 -0.4375q-0.484375 -0.25 -0.859375 -0.5625q-0.375 -0.328125 -0.609375 -0.765625q-0.21875 -0.4375 -0.21875 -1.046875q0 -0.515625 0.21875 -1.015625q0.21875 -0.515625 0.671875 -0.90625q0.46875 -0.40625 1.1875 -0.640625q0.71875 -0.25 1.71875 -0.25q0.265625 0 0.5625 0.03125q0.296875 0.015625 0.609375 0.0625q0.3125 0.046875 0.609375 0.109375q0.296875 0.046875 0.5625 0.109375l0 1.25q-0.609375 -0.171875 -1.21875 -0.265625q-0.59375 -0.09375 -1.15625 -0.09375q-1.1875 0 -1.75 0.40625q-0.5625 0.390625 -0.5625 1.0625q0 0.421875 0.21875 0.71875q0.234375 0.296875 0.609375 0.53125q0.375 0.234375 0.859375 0.421875q0.484375 0.1875 0.984375 0.390625q0.5 0.203125 0.96875 0.453125q0.484375 0.234375 0.859375 0.578125q0.375 0.328125 0.609375 0.78125q0.234375 0.4375 0.234375 1.046875zm9.21875 2.765625l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm10.28125 5.265625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125z" fill-rule="nonzero"/><path fill="#c9daf8" d="m128.0 408.00516l368.0 0l0 72.0l-368.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 408.00516l368.0 0l0 72.0l-368.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m232.75 440.36514l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0zm6.625 -6.453125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm17.796875 -0.28125q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125zm4.9375 -9.53125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm11.171875 9.921875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.125 1.4375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.796875 0q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm5.25 -4.578125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm12.609375 1.359375q-1.078125 -0.21875 -1.84375 -0.21875q-1.84375 0 -1.84375 1.921875l0 1.375l3.4375 0l0 1.125l-3.4375 0l0 5.578125l-1.375 0l0 -5.578125l-2.53125 0l0 -1.125l2.53125 0l0 -1.296875q0 -3.140625 3.265625 -3.140625q0.8125 0 1.796875 0.1875l0 1.171875zm-8.21875 1.9375l0 0zm12.71875 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.734375 10.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm7.75 0.296875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.234375 3.46875q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm5.140625 -6.609375l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm12.46875 7.15625q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm3.359375 -3.921875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 96.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#ff0000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 96.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m623.96875 117.7975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm6.296875 -3.203125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#000000" d="m629.21094 144.06313l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#ff0000" d="m643.44714 156.92749q-2.390625 -2.21875 -2.390625 -4.90625q0 -0.640625 0.125 -1.265625q0.125 -0.625 0.40625 -1.25q0.296875 -0.640625 0.75 -1.265625q0.46875 -0.625 1.140625 -1.25l0.515625 0.53125q-2.015625 2.0 -2.015625 4.421875q0 1.203125 0.5 2.328125q0.515625 1.109375 1.515625 2.09375l-0.546875 0.5625zm6.0932007 -2.1875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338867 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.3744507 -3.796875l-1.78125 4.671875q-0.28125 0.703125 -0.578125 1.21875q-0.296875 0.515625 -0.640625 0.84375q-0.34375 0.34375 -0.765625 0.5q-0.40625 0.15625 -0.921875 0.15625q-0.140625 0 -0.25 -0.015625q-0.109375 0 -0.234375 -0.015625l0 -0.8125q0.109375 0.015625 0.234375 0.015625q0.140625 0.015625 0.296875 0.015625q0.25 0 0.46875 -0.078125q0.21875 -0.0625 0.40625 -0.234375q0.1875 -0.15625 0.359375 -0.421875q0.1875 -0.25 0.359375 -0.625l-2.09375 -5.21875l1.03125 0l1.3125 3.453125l0.265625 0.8125l0.3125 -0.84375l1.21875 -3.421875l1.0 0zm1.2026367 0l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.921326 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm2.8745117 -7.5625q2.390625 2.21875 2.390625 4.953125q0 0.546875 -0.125 1.15625q-0.109375 0.609375 -0.390625 1.25q-0.265625 0.625 -0.734375 1.265625q-0.453125 0.65625 -1.171875 1.3125l-0.515625 -0.53125q1.015625 -1.015625 1.5 -2.109375q0.5 -1.09375 0.5 -2.28125q0 -2.484375 -2.0 -4.453125l0.546875 -0.5625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 184.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#ff0000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 184.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m623.96875 205.7975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm6.296875 -3.203125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#000000" d="m629.21094 232.06313l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#ff0000" d="m643.44714 244.92749q-2.390625 -2.21875 -2.390625 -4.90625q0 -0.640625 0.125 -1.265625q0.125 -0.625 0.40625 -1.25q0.296875 -0.640625 0.75 -1.265625q0.46875 -0.625 1.140625 -1.25l0.515625 0.53125q-2.015625 2.0 -2.015625 4.421875q0 1.203125 0.5 2.328125q0.515625 1.109375 1.515625 2.09375l-0.546875 0.5625zm6.0932007 -2.1875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338867 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.3744507 -3.796875l-1.78125 4.671875q-0.28125 0.703125 -0.578125 1.21875q-0.296875 0.515625 -0.640625 0.84375q-0.34375 0.34375 -0.765625 0.5q-0.40625 0.15625 -0.921875 0.15625q-0.140625 0 -0.25 -0.015625q-0.109375 0 -0.234375 -0.015625l0 -0.8125q0.109375 0.015625 0.234375 0.015625q0.140625 0.015625 0.296875 0.015625q0.25 0 0.46875 -0.078125q0.21875 -0.0625 0.40625 -0.234375q0.1875 -0.15625 0.359375 -0.421875q0.1875 -0.25 0.359375 -0.625l-2.09375 -5.21875l1.03125 0l1.3125 3.453125l0.265625 0.8125l0.3125 -0.84375l1.21875 -3.421875l1.0 0zm1.2026367 0l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.921326 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm2.8745117 -7.5625q2.390625 2.21875 2.390625 4.953125q0 0.546875 -0.125 1.15625q-0.109375 0.609375 -0.390625 1.25q-0.265625 0.625 -0.734375 1.265625q-0.453125 0.65625 -1.171875 1.3125l-0.515625 -0.53125q1.015625 -1.015625 1.5 -2.109375q0.5 -1.09375 0.5 -2.28125q0 -2.484375 -2.0 -4.453125l0.546875 -0.5625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 336.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#38761d" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 336.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m623.96875 357.7975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm6.296875 -3.203125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#000000" d="m629.21094 384.0631l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#38761d" d="m646.3766 396.9275q-2.390625 -2.21875 -2.390625 -4.90625q0 -0.640625 0.125 -1.265625q0.125 -0.625 0.40625 -1.25q0.296875 -0.640625 0.75 -1.265625q0.46875 -0.625 1.140625 -1.25l0.515625 0.53125q-2.015625 2.0 -2.015625 4.421875q0 1.203125 0.5 2.328125q0.515625 1.109375 1.515625 2.09375l-0.546875 0.5625zm6.8744507 -3.609375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.3744507 -3.796875l-1.78125 4.671875q-0.28125 0.703125 -0.578125 1.21875q-0.296875 0.515625 -0.640625 0.84375q-0.34375 0.34375 -0.765625 0.5q-0.40625 0.15625 -0.921875 0.15625q-0.140625 0 -0.25 -0.015625q-0.109375 0 -0.234375 -0.015625l0 -0.8125q0.109375 0.015625 0.234375 0.015625q0.140625 0.015625 0.296875 0.015625q0.25 0 0.46875 -0.078125q0.21875 -0.0625 0.40625 -0.234375q0.1875 -0.15625 0.359375 -0.421875q0.1875 -0.25 0.359375 -0.625l-2.09375 -5.21875l1.03125 0l1.3125 3.453125l0.265625 0.8125l0.3125 -0.84375l1.21875 -3.421875l1.0 0zm1.2026367 0l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.921387 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm2.8744507 -7.5625q2.390625 2.21875 2.390625 4.953125q0 0.546875 -0.125 1.15625q-0.109375 0.609375 -0.390625 1.25q-0.265625 0.625 -0.734375 1.265625q-0.453125 0.65625 -1.171875 1.3125l-0.515625 -0.53125q1.015625 -1.015625 1.5 -2.109375q0.5 -1.09375 0.5 -2.28125q0 -2.484375 -2.0 -4.453125l0.546875 -0.5625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 512.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 512.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m645.96094 536.3027q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m623.8906 555.0214q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 600.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 600.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m645.96094 624.3027q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m623.8906 643.0214q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 688.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 688.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m645.96094 712.3027q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m623.8906 731.0214q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#c9daf8" d="m608.0 776.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 776.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m645.96094 800.3027q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m623.8906 819.0214q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#c9daf8" d="m400.0 512.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m400.0 512.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m438.1797 540.3652l-5.796875 0l0 -10.21875l5.796875 0l0 1.171875l-4.40625 0l0 3.171875l4.234375 0l0 1.171875l-4.234375 0l0 3.515625l4.40625 0l0 1.1875zm8.890625 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625z" fill-rule="nonzero"/><path fill="#000000" d="m421.33594 550.3339l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm4.59375 2.3125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm24.734375 7.84375l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0z" fill-rule="nonzero"/><path fill="#c9daf8" d="m400.0 600.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m400.0 600.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m438.1797 628.3652l-5.796875 0l0 -10.21875l5.796875 0l0 1.171875l-4.40625 0l0 3.171875l4.234375 0l0 1.171875l-4.234375 0l0 3.515625l4.40625 0l0 1.1875zm8.890625 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625z" fill-rule="nonzero"/><path fill="#000000" d="m421.33594 638.3339l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm4.59375 2.3125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm23.953125 4.78125q0 0.734375 -0.28125 1.3125q-0.28125 0.5625 -0.8125 0.953125q-0.53125 0.390625 -1.28125 0.59375q-0.734375 0.203125 -1.65625 0.203125l-2.671875 0l0 -10.21875l2.921875 0q3.421875 0 3.421875 2.484375q0 0.828125 -0.40625 1.421875q-0.390625 0.59375 -1.28125 0.890625q0.421875 0.078125 0.78125 0.265625q0.375 0.1875 0.65625 0.484375q0.28125 0.296875 0.4375 0.703125q0.171875 0.40625 0.171875 0.90625zm-1.8125 -4.484375q0 -0.3125 -0.09375 -0.578125q-0.09375 -0.28125 -0.328125 -0.484375q-0.234375 -0.203125 -0.640625 -0.3125q-0.390625 -0.125 -1.0 -0.125l-1.4375 0l0 3.203125l1.390625 0q0.484375 0 0.859375 -0.09375q0.390625 -0.109375 0.671875 -0.3125q0.28125 -0.21875 0.421875 -0.53125q0.15625 -0.328125 0.15625 -0.765625zm0.34375 4.53125q0 -0.390625 -0.171875 -0.703125q-0.15625 -0.3125 -0.46875 -0.515625q-0.3125 -0.21875 -0.765625 -0.328125q-0.453125 -0.125 -1.015625 -0.125l-1.421875 0l0 3.515625l1.46875 0q1.203125 0 1.78125 -0.4375q0.59375 -0.453125 0.59375 -1.40625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m400.0 688.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m400.0 688.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m438.1797 716.3652l-5.796875 0l0 -10.21875l5.796875 0l0 1.171875l-4.40625 0l0 3.171875l4.234375 0l0 1.171875l-4.234375 0l0 3.515625l4.40625 0l0 1.1875zm8.890625 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625z" fill-rule="nonzero"/><path fill="#000000" d="m421.33594 726.3339l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm4.59375 2.3125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm23.796875 7.453125q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125z" fill-rule="nonzero"/><path fill="#c9daf8" d="m400.0 776.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m400.0 776.0051l88.0 0l0 64.0l-88.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m438.1797 804.3652l-5.796875 0l0 -10.21875l5.796875 0l0 1.171875l-4.40625 0l0 3.171875l4.234375 0l0 1.171875l-4.234375 0l0 3.515625l4.40625 0l0 1.1875zm8.890625 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625z" fill-rule="nonzero"/><path fill="#000000" d="m421.33594 814.3339l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm4.59375 2.3125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm24.25 2.625q0 0.734375 -0.125 1.40625q-0.109375 0.671875 -0.359375 1.25q-0.25 0.578125 -0.65625 1.046875q-0.390625 0.46875 -0.96875 0.8125q-0.578125 0.328125 -1.34375 0.515625q-0.765625 0.1875 -1.734375 0.1875l-2.1875 0l0 -10.21875l2.625 0q2.390625 0 3.5625 1.234375q1.1875 1.234375 1.1875 3.765625zm-1.46875 0.09375q0 -1.078125 -0.203125 -1.828125q-0.203125 -0.75 -0.625 -1.203125q-0.40625 -0.46875 -1.03125 -0.671875q-0.625 -0.21875 -1.46875 -0.21875l-1.1875 0l0 7.84375l1.03125 0q3.484375 0 3.484375 -3.921875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m120.0 776.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.0 776.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m133.71094 792.8183l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm13.3125 9.046875l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm6.46875 7.5q-0.8125 -0.125 -1.421875 -0.515625q-0.59375 -0.40625 -1.0 -1.046875q-0.390625 -0.65625 -0.59375 -1.546875q-0.1875 -0.890625 -0.1875 -1.96875q0 -1.390625 0.3125 -2.40625q0.328125 -1.015625 0.875 -1.671875q0.546875 -0.65625 1.28125 -0.96875q0.734375 -0.328125 1.5625 -0.328125q0.984375 0 1.703125 0.359375q0.734375 0.359375 1.21875 1.03125q0.484375 0.65625 0.71875 1.609375q0.25 0.953125 0.25 2.140625q0 1.25 -0.265625 2.1875q-0.265625 0.9375 -0.734375 1.59375q-0.453125 0.65625 -1.078125 1.03125q-0.625 0.375 -1.34375 0.5q0.109375 0.6875 0.53125 1.109375q0.4375 0.421875 1.21875 0.421875q0.375 0 0.734375 -0.125q0.375 -0.125 0.75 -0.421875l0.609375 0.953125q-0.515625 0.421875 -1.0625 0.59375q-0.546875 0.1875 -1.125 0.1875q-0.625 0 -1.15625 -0.171875q-0.515625 -0.171875 -0.90625 -0.515625q-0.375 -0.328125 -0.609375 -0.84375q-0.234375 -0.515625 -0.28125 -1.1875zm3.265625 -5.15625q0 -0.90625 -0.140625 -1.640625q-0.125 -0.75 -0.4375 -1.28125q-0.296875 -0.546875 -0.78125 -0.84375q-0.484375 -0.296875 -1.15625 -0.296875q-0.65625 0 -1.140625 0.3125q-0.46875 0.3125 -0.78125 0.859375q-0.296875 0.53125 -0.453125 1.25q-0.140625 0.703125 -0.140625 1.515625q0 0.921875 0.140625 1.6875q0.140625 0.75 0.4375 1.296875q0.3125 0.53125 0.78125 0.828125q0.484375 0.28125 1.15625 0.28125q0.65625 0 1.125 -0.3125q0.484375 -0.3125 0.78125 -0.84375q0.3125 -0.546875 0.453125 -1.265625q0.15625 -0.71875 0.15625 -1.546875zm18.90625 -0.15625q0 0.734375 -0.125 1.40625q-0.109375 0.671875 -0.359375 1.25q-0.25 0.578125 -0.65625 1.046875q-0.390625 0.46875 -0.96875 0.8125q-0.578125 0.328125 -1.34375 0.515625q-0.765625 0.1875 -1.734375 0.1875l-2.1875 0l0 -10.21875l2.625 0q2.390625 0 3.5625 1.234375q1.1875 1.234375 1.1875 3.765625zm-1.46875 0.09375q0 -1.078125 -0.203125 -1.828125q-0.203125 -0.75 -0.625 -1.203125q-0.40625 -0.46875 -1.03125 -0.671875q-0.625 -0.21875 -1.46875 -0.21875l-1.1875 0l0 7.84375l1.03125 0q3.484375 0 3.484375 -3.921875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m264.0 512.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m264.0 512.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m282.32812 537.8652l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0zm10.046875 3.171875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm8.796875 0q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm18.75 0l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0z" fill-rule="nonzero"/><path fill="#c9daf8" d="m264.0 600.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m264.0 600.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m282.32812 625.8652l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0zm10.046875 3.171875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm8.796875 0q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm17.96875 -3.0625q0 0.734375 -0.28125 1.3125q-0.28125 0.5625 -0.8125 0.953125q-0.53125 0.390625 -1.28125 0.59375q-0.734375 0.203125 -1.65625 0.203125l-2.671875 0l0 -10.21875l2.921875 0q3.421875 0 3.421875 2.484375q0 0.828125 -0.40625 1.421875q-0.390625 0.59375 -1.28125 0.890625q0.421875 0.078125 0.78125 0.265625q0.375 0.1875 0.65625 0.484375q0.28125 0.296875 0.4375 0.703125q0.171875 0.40625 0.171875 0.90625zm-1.8125 -4.484375q0 -0.3125 -0.09375 -0.578125q-0.09375 -0.28125 -0.328125 -0.484375q-0.234375 -0.203125 -0.640625 -0.3125q-0.390625 -0.125 -1.0 -0.125l-1.4375 0l0 3.203125l1.390625 0q0.484375 0 0.859375 -0.09375q0.390625 -0.109375 0.671875 -0.3125q0.28125 -0.21875 0.421875 -0.53125q0.15625 -0.328125 0.15625 -0.765625zm0.34375 4.53125q0 -0.390625 -0.171875 -0.703125q-0.15625 -0.3125 -0.46875 -0.515625q-0.3125 -0.21875 -0.765625 -0.328125q-0.453125 -0.125 -1.015625 -0.125l-1.421875 0l0 3.515625l1.46875 0q1.203125 0 1.78125 -0.4375q0.59375 -0.453125 0.59375 -1.40625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m264.0 688.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m264.0 688.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m282.32812 713.8652l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0zm10.046875 3.171875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm8.796875 0q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm17.8125 -0.390625q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125z" fill-rule="nonzero"/><path fill="#c9daf8" d="m264.0 776.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m264.0 776.0051l72.0 0l0 40.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m282.32812 801.8652l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0zm10.046875 3.171875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm8.796875 0q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm18.265625 -5.21875q0 0.734375 -0.125 1.40625q-0.109375 0.671875 -0.359375 1.25q-0.25 0.578125 -0.65625 1.046875q-0.390625 0.46875 -0.96875 0.8125q-0.578125 0.328125 -1.34375 0.515625q-0.765625 0.1875 -1.734375 0.1875l-2.1875 0l0 -10.21875l2.625 0q2.390625 0 3.5625 1.234375q1.1875 1.234375 1.1875 3.765625zm-1.46875 0.09375q0 -1.078125 -0.203125 -1.828125q-0.203125 -0.75 -0.625 -1.203125q-0.40625 -0.46875 -1.03125 -0.671875q-0.625 -0.21875 -1.46875 -0.21875l-1.1875 0l0 7.84375l1.03125 0q3.484375 0 3.484375 -3.921875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m872.0 96.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#ff0000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 96.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m887.96875 117.7975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm6.296875 -3.203125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#000000" d="m902.0078 144.06313l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#ff0000" d="m907.44714 156.92749q-2.390625 -2.21875 -2.390625 -4.90625q0 -0.640625 0.125 -1.265625q0.125 -0.625 0.40625 -1.25q0.296875 -0.640625 0.75 -1.265625q0.46875 -0.625 1.140625 -1.25l0.515625 0.53125q-2.015625 2.0 -2.015625 4.421875q0 1.203125 0.5 2.328125q0.515625 1.109375 1.515625 2.09375l-0.546875 0.5625zm6.0932007 -2.1875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338867 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.3744507 -3.796875l-1.78125 4.671875q-0.28125 0.703125 -0.578125 1.21875q-0.296875 0.515625 -0.640625 0.84375q-0.34375 0.34375 -0.765625 0.5q-0.40625 0.15625 -0.921875 0.15625q-0.140625 0 -0.25 -0.015625q-0.109375 0 -0.234375 -0.015625l0 -0.8125q0.109375 0.015625 0.234375 0.015625q0.140625 0.015625 0.296875 0.015625q0.25 0 0.46875 -0.078125q0.21875 -0.0625 0.40625 -0.234375q0.1875 -0.15625 0.359375 -0.421875q0.1875 -0.25 0.359375 -0.625l-2.09375 -5.21875l1.03125 0l1.3125 3.453125l0.265625 0.8125l0.3125 -0.84375l1.21875 -3.421875l1.0 0zm1.2026367 0l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.921326 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm2.8745117 -7.5625q2.390625 2.21875 2.390625 4.953125q0 0.546875 -0.125 1.15625q-0.109375 0.609375 -0.390625 1.25q-0.265625 0.625 -0.734375 1.265625q-0.453125 0.65625 -1.171875 1.3125l-0.515625 -0.53125q1.015625 -1.015625 1.5 -2.109375q0.5 -1.09375 0.5 -2.28125q0 -2.484375 -2.0 -4.453125l0.546875 -0.5625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m872.0 184.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#ff0000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 184.0l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m887.96875 205.7975q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm6.296875 -3.203125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#000000" d="m902.0078 232.06313l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#ff0000" d="m907.44714 244.92749q-2.390625 -2.21875 -2.390625 -4.90625q0 -0.640625 0.125 -1.265625q0.125 -0.625 0.40625 -1.25q0.296875 -0.640625 0.75 -1.265625q0.46875 -0.625 1.140625 -1.25l0.515625 0.53125q-2.015625 2.0 -2.015625 4.421875q0 1.203125 0.5 2.328125q0.515625 1.109375 1.515625 2.09375l-0.546875 0.5625zm6.0932007 -2.1875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338867 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.3744507 -3.796875l-1.78125 4.671875q-0.28125 0.703125 -0.578125 1.21875q-0.296875 0.515625 -0.640625 0.84375q-0.34375 0.34375 -0.765625 0.5q-0.40625 0.15625 -0.921875 0.15625q-0.140625 0 -0.25 -0.015625q-0.109375 0 -0.234375 -0.015625l0 -0.8125q0.109375 0.015625 0.234375 0.015625q0.140625 0.015625 0.296875 0.015625q0.25 0 0.46875 -0.078125q0.21875 -0.0625 0.40625 -0.234375q0.1875 -0.15625 0.359375 -0.421875q0.1875 -0.25 0.359375 -0.625l-2.09375 -5.21875l1.03125 0l1.3125 3.453125l0.265625 0.8125l0.3125 -0.84375l1.21875 -3.421875l1.0 0zm1.2026367 0l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.921326 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm2.8745117 -7.5625q2.390625 2.21875 2.390625 4.953125q0 0.546875 -0.125 1.15625q-0.109375 0.609375 -0.390625 1.25q-0.265625 0.625 -0.734375 1.265625q-0.453125 0.65625 -1.171875 1.3125l-0.515625 -0.53125q1.015625 -1.015625 1.5 -2.109375q0.5 -1.09375 0.5 -2.28125q0 -2.484375 -2.0 -4.453125l0.546875 -0.5625z" fill-rule="nonzero"/><path fill="#c9daf8" d="m872.0 335.9999l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#38761d" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 335.9999l104.0 0l0 72.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m887.96875 357.7974q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm6.296875 -3.203125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875z" fill-rule="nonzero"/><path fill="#000000" d="m902.0078 384.06302l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#38761d" d="m910.3766 396.9274q-2.390625 -2.21875 -2.390625 -4.90625q0 -0.640625 0.125 -1.265625q0.125 -0.625 0.40625 -1.25q0.296875 -0.640625 0.75 -1.265625q0.46875 -0.625 1.140625 -1.25l0.515625 0.53125q-2.015625 2.0 -2.015625 4.421875q0 1.203125 0.5 2.328125q0.515625 1.109375 1.515625 2.09375l-0.546875 0.5625zm6.8744507 -3.609375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.3744507 -3.796875l-1.78125 4.671875q-0.28125 0.703125 -0.578125 1.21875q-0.296875 0.515625 -0.640625 0.84375q-0.34375 0.34375 -0.765625 0.5q-0.40625 0.15625 -0.921875 0.15625q-0.140625 0 -0.25 -0.015625q-0.109375 0 -0.234375 -0.015625l0 -0.8125q0.109375 0.015625 0.234375 0.015625q0.140625 0.015625 0.296875 0.015625q0.25 0 0.46875 -0.078125q0.21875 -0.0625 0.40625 -0.234375q0.1875 -0.15625 0.359375 -0.421875q0.1875 -0.25 0.359375 -0.625l-2.09375 -5.21875l1.03125 0l1.3125 3.453125l0.265625 0.8125l0.3125 -0.84375l1.21875 -3.421875l1.0 0zm1.2026367 0l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.921387 5.03125q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm2.8744507 -7.5625q2.390625 2.21875 2.390625 4.953125q0 0.546875 -0.125 1.15625q-0.109375 0.609375 -0.390625 1.25q-0.265625 0.625 -0.734375 1.265625q-0.453125 0.65625 -1.171875 1.3125l-0.515625 -0.53125q1.015625 -1.015625 1.5 -2.109375q0.5 -1.09375 0.5 -2.28125q0 -2.484375 -2.0 -4.453125l0.546875 -0.5625z" fill-rule="nonzero"/><path fill="#d9d9d9" d="m832.0 744.00525l237.35437 0l0 128.0l-237.35437 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m832.0 744.00525l237.35437 0l0 128.0l-237.35437 0z" fill-rule="evenodd"/><path fill="#000000" d="m987.48346 765.86273q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm11.429199 2.78125q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.116638 2.15625q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm11.944824 4.078125l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm8.710449 -6.234375q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.92193604 0 -1.703186 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578186 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.312561 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.812561 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.000061 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46881104 0.1875 1.218811 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.741577 -2.5625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm3.3198242 -3.859375l1.8125 0l2.234375 6.046875l0.484375 1.46875l0.5 -1.515625l2.234375 -6.0l1.734375 0l-3.59375 9.140625l-1.8125 0l-3.59375 -9.140625zm18.6167 5.53125q0 0.78125 -0.328125 1.46875q-0.3125 0.6875 -0.953125 1.203125q-0.625 0.515625 -1.59375 0.8125q-0.96875 0.28125 -2.25 0.28125q-0.71875 0 -1.28125 -0.046875q-0.5625 -0.03125 -1.046875 -0.109375l0 -1.421875q0.5625 0.09375 1.1875 0.15625q0.625 0.046875 1.28125 0.046875q0.890625 0 1.515625 -0.15625q0.640625 -0.15625 1.046875 -0.453125q0.40625 -0.296875 0.578125 -0.71875q0.1875 -0.4375 0.1875 -0.984375q0 -0.484375 -0.21875 -0.84375q-0.21875 -0.375 -0.625 -0.609375q-0.390625 -0.25 -0.9375 -0.375q-0.546875 -0.125 -1.203125 -0.125l-1.359375 0l0 -1.296875l1.375 0q0.53125 0 0.96875 -0.140625q0.453125 -0.140625 0.765625 -0.40625q0.3125 -0.265625 0.484375 -0.65625q0.171875 -0.390625 0.171875 -0.875q0 -0.953125 -0.59375 -1.390625q-0.578125 -0.4375 -1.703125 -0.4375q-0.609375 0 -1.25 0.125q-0.625 0.109375 -1.359375 0.34375l0 -1.390625q0.3125 -0.109375 0.65625 -0.1875q0.359375 -0.09375 0.703125 -0.140625q0.359375 -0.0625 0.703125 -0.09375q0.34375 -0.03125 0.65625 -0.03125q0.953125 0 1.671875 0.203125q0.71875 0.203125 1.203125 0.59375q0.484375 0.375 0.71875 0.921875q0.25 0.546875 0.25 1.21875q0 1.03125 -0.53125 1.71875q-0.515625 0.6875 -1.421875 1.109375q0.46875 0.0625 0.90625 0.28125q0.453125 0.21875 0.8125 0.5625q0.375 0.34375 0.59375 0.8125q0.21875 0.453125 0.21875 1.03125z" fill-rule="nonzero"/><path fill="#d9d9d9" d="m832.0 480.00525l237.35437 0l0 128.0l-237.35437 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m832.0 480.00525l237.35437 0l0 128.0l-237.35437 0z" fill-rule="evenodd"/><path fill="#000000" d="m987.48346 501.86276q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm11.429199 2.78125q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.921875 0 -1.703125 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578125 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.3125 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.8125 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.0 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46875 0.1875 1.21875 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.116638 2.15625q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm11.944824 4.078125l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm8.710449 -6.234375q0 0.484375 -0.171875 0.875q-0.15625 0.375 -0.4375 0.671875q-0.28125 0.296875 -0.65625 0.515625q-0.375 0.203125 -0.796875 0.34375q-0.421875 0.125 -0.875 0.1875q-0.453125 0.0625 -0.875 0.0625q-0.92193604 0 -1.703186 -0.078125q-0.78125 -0.078125 -1.53125 -0.265625l0 -1.453125q0.796875 0.234375 1.59375 0.359375q0.796875 0.109375 1.578186 0.109375q1.140625 0 1.6875 -0.3125q0.546875 -0.3125 0.546875 -0.890625q0 -0.234375 -0.09375 -0.421875q-0.078125 -0.203125 -0.3125 -0.375q-0.21875 -0.1875 -0.703125 -0.375q-0.484375 -0.203125 -1.312561 -0.4375q-0.609375 -0.1875 -1.140625 -0.421875q-0.515625 -0.234375 -0.90625 -0.546875q-0.375 -0.328125 -0.59375 -0.75q-0.21875 -0.421875 -0.21875 -1.015625q0 -0.375 0.171875 -0.828125q0.171875 -0.453125 0.59375 -0.84375q0.4375 -0.40625 1.171875 -0.65625q0.734375 -0.265625 1.812561 -0.265625q0.546875 0 1.203125 0.0625q0.65625 0.0625 1.359375 0.203125l0 1.40625q-0.75 -0.171875 -1.421875 -0.25q-0.65625 -0.09375 -1.15625 -0.09375q-0.59375 0 -1.000061 0.09375q-0.390625 0.078125 -0.65625 0.25q-0.25 0.15625 -0.359375 0.375q-0.109375 0.203125 -0.109375 0.453125q0 0.25 0.09375 0.453125q0.109375 0.1875 0.359375 0.375q0.265625 0.1875 0.71875 0.375q0.46881104 0.1875 1.218811 0.40625q0.8125 0.234375 1.359375 0.5q0.5625 0.25 0.90625 0.578125q0.359375 0.3125 0.5 0.71875q0.15625 0.40625 0.15625 0.90625zm10.741577 -2.5625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm3.3198242 -3.859375l1.8125 0l2.234375 6.046875l0.484375 1.46875l0.5 -1.515625l2.234375 -6.0l1.734375 0l-3.59375 9.140625l-1.8125 0l-3.59375 -9.140625zm19.1167 3.1875q0 1.375 -0.28125 2.5q-0.265625 1.125 -0.828125 1.9375q-0.546875 0.796875 -1.375 1.234375q-0.8125 0.4375 -1.9375 0.4375q-0.953125 0 -1.734375 -0.359375q-0.78125 -0.359375 -1.34375 -1.09375q-0.546875 -0.75 -0.859375 -1.90625q-0.296875 -1.15625 -0.296875 -2.75q0 -1.359375 0.28125 -2.484375q0.28125 -1.140625 0.828125 -1.9375q0.546875 -0.8125 1.375 -1.25q0.84375 -0.4375 1.9375 -0.4375q0.953125 0 1.734375 0.359375q0.796875 0.359375 1.34375 1.109375q0.5625 0.75 0.859375 1.90625q0.296875 1.15625 0.296875 2.734375zm-1.59375 0.0625q0 -0.3125 -0.03125 -0.609375q-0.015625 -0.3125 -0.046875 -0.609375l-5.046875 3.75q0.140625 0.46875 0.34375 0.875q0.21875 0.390625 0.515625 0.6875q0.296875 0.28125 0.671875 0.453125q0.375 0.15625 0.859375 0.15625q0.625 0 1.125 -0.296875q0.515625 -0.3125 0.859375 -0.90625q0.359375 -0.59375 0.546875 -1.46875q0.203125 -0.875 0.203125 -2.03125zm-5.453125 -0.109375q0 0.28125 0 0.5625q0 0.28125 0.03125 0.546875l5.046875 -3.734375q-0.140625 -0.453125 -0.359375 -0.828125q-0.203125 -0.390625 -0.5 -0.671875q-0.28125 -0.28125 -0.65625 -0.4375q-0.375 -0.15625 -0.84375 -0.15625q-0.609375 0 -1.125 0.3125q-0.5 0.296875 -0.859375 0.890625q-0.359375 0.59375 -0.546875 1.484375q-0.1875 0.875 -0.1875 2.03125z" fill-rule="nonzero"/><path fill="#c9daf8" d="m872.0 512.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 512.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m896.7656 536.3027q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.875 -7.546875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625z" fill-rule="nonzero"/><path fill="#000000" d="m893.21094 562.5683l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#c9daf8" d="m872.0 776.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 776.0051l104.0 0l0 64.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m896.7656 800.3027q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.875 -7.546875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625z" fill-rule="nonzero"/><path fill="#000000" d="m893.21094 826.5683l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m1000.0 648.0051l0 56.0l-48.0 0l0 -56.0z" fill-rule="evenodd"/><path fill="#000000" d="m966.4 667.3181l2.671875 0l0 2.671875l-2.671875 0l0 -2.671875zm0 7.405945l2.671875 0l0 2.671875l-2.671875 0l0 -2.671875zm0 7.405945l2.671875 0l0 2.671875l-2.671875 0l0 -2.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m336.0 528.0051l63.748016 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 528.0052l57.748016 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m393.74805 529.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m336.0 616.0051l63.748016 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 616.0052l57.748016 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m393.74805 617.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m336.0 704.0051l63.748016 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 704.0052l57.748016 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m393.74805 705.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m337.0491 796.7479l63.748047 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m337.0491 796.7479l57.748016 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m394.79712 798.39966l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m711.9984 528.0051l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m711.99835 528.0052l154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 529.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m711.9984 536.0051l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m711.99835 536.0052l154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 537.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0 560.0051l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 560.0052l-154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m717.99835 558.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0 552.0051l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 552.0052l-154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m717.99835 550.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 792.0051l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 792.0052l154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.00165 793.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 800.0051l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 800.0052l154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.00165 801.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0016 824.0051l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.00165 824.0052l-154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0 822.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0016 816.0051l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.00165 816.0052l-154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0 814.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m583.04987 540.7453l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m583.04987 540.7453l18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m601.04987 542.39703l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m200.0 824.0051l192.0 0l0 16.0l-192.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m204.89062 834.0577q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5776062 -6.421875l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.436981 6.609375l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.733856 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.858856 0q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm1.4526062 -1.078125q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm10.218231 4.703125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm8.718231 -0.96875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.374481 0.625q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.061981 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.1244812 0.515625q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m200.0 480.00516l0 343.99997" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m200.0 480.00516l0 343.99997" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m184.0 796.0051l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m190.0 796.0052l68.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m190.0 794.35345l-4.538101 1.6517334l4.538101 1.6517334z" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m258.0 797.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m200.0 824.0051l200.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m200.0 824.0052l194.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m394.0 825.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m216.0 480.00516l0 255.99997" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m216.0 480.00516l0 255.99997" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m248.0 480.00516l0 79.99997" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m248.0 480.00516l0 79.99997" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m232.0 480.00516l0 167.99997" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m232.0 480.00516l0 167.99997" fill-rule="evenodd"/><path fill="#000000" d="m198.62204 795.9894l0 0c0 -0.7914429 0.6416168 -1.4330444 1.433075 -1.4330444l0 0c0.38008118 0 0.74458313 0.15100098 1.0133362 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.433075 1.4331055l0 0c-0.79145813 0 -1.433075 -0.64160156 -1.433075 -1.4331055z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m198.62204 795.9894l0 0c0 -0.7914429 0.6416168 -1.4330444 1.433075 -1.4330444l0 0c0.38008118 0 0.74458313 0.15100098 1.0133362 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.433075 1.4331055l0 0c-0.79145813 0 -1.433075 -0.64160156 -1.433075 -1.4331055z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m336.0 768.0051l64.0 0l0 32.0l-64.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m352.38464 778.0577q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5775757 -6.421875l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.4370117 6.609375l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338257 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.8588867 0q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm1.4525757 -1.078125q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm10.218262 4.703125l-5.859375 0l0 -0.75l5.859375 0l0 0.75z" fill-rule="nonzero"/><path fill="#000000" d="m349.81458 788.35455q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.530731 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.780731 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.827606 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m504.0 496.0l56.0 0l0 16.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m508.89062 506.0525q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5776367 -6.421875l-1.5469055 0l0 -0.75l2.4687805 0l0 6.609375l1.546875 0l0 0.75l-4.1875305 0l0 -0.75l1.7187805 0l0 -5.859375zm7.4369507 6.609375l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338257 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.8588867 0q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.0776367 1.421875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm7.6244507 4.515625l-5.859375 0l0 -0.75l5.859375 0l0 0.75z" fill-rule="nonzero"/><path fill="#000000" d="m509.25 516.34937q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.9844055 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.45315552 0.0625 0.8906555 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.6562805 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.42190552 -0.15625 1.0312805 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.14065552 0.078125 -0.20315552 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.20315552 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807007 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m488.0 536.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 536.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 528.0l64.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 528.0l58.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m546.0 529.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 544.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 544.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 552.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 552.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m584.0 624.0l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m584.0 624.0l18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m602.0 625.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m584.0 712.0051l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m584.0 712.0052l18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m602.0 713.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m584.0 800.0l24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m584.0 800.0l18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m602.0 801.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 624.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 624.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 616.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 616.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 632.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 632.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 640.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 640.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 712.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 712.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 704.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 704.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 720.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 720.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 728.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 728.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 800.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 800.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 792.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 792.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 808.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 808.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m488.0 816.0051l8.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m488.0 816.0051l8.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m496.0 616.0l40.0 -80.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m496.0 616.0l40.0 -80.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m496.0 704.0l40.0 -160.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m496.0 704.0l40.0 -160.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m576.0 608.0l0 56.0l-48.0 0l0 -56.0z" fill-rule="evenodd"/><path fill="#000000" d="m542.4 627.3129l2.671875 0l0 2.671875l-2.671875 0l0 -2.671875zm0 7.405945l2.671875 0l0 2.671875l-2.671875 0l0 -2.671875zm0 7.406006l2.671875 0l0 2.671875l-2.671875 0l0 -2.671875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m120.0 688.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.0 688.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m133.71094 704.8183l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm13.3125 9.046875l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm6.46875 7.5q-0.8125 -0.125 -1.421875 -0.515625q-0.59375 -0.40625 -1.0 -1.046875q-0.390625 -0.65625 -0.59375 -1.546875q-0.1875 -0.890625 -0.1875 -1.96875q0 -1.390625 0.3125 -2.40625q0.328125 -1.015625 0.875 -1.671875q0.546875 -0.65625 1.28125 -0.96875q0.734375 -0.328125 1.5625 -0.328125q0.984375 0 1.703125 0.359375q0.734375 0.359375 1.21875 1.03125q0.484375 0.65625 0.71875 1.609375q0.25 0.953125 0.25 2.140625q0 1.25 -0.265625 2.1875q-0.265625 0.9375 -0.734375 1.59375q-0.453125 0.65625 -1.078125 1.03125q-0.625 0.375 -1.34375 0.5q0.109375 0.6875 0.53125 1.109375q0.4375 0.421875 1.21875 0.421875q0.375 0 0.734375 -0.125q0.375 -0.125 0.75 -0.421875l0.609375 0.953125q-0.515625 0.421875 -1.0625 0.59375q-0.546875 0.1875 -1.125 0.1875q-0.625 0 -1.15625 -0.171875q-0.515625 -0.171875 -0.90625 -0.515625q-0.375 -0.328125 -0.609375 -0.84375q-0.234375 -0.515625 -0.28125 -1.1875zm3.265625 -5.15625q0 -0.90625 -0.140625 -1.640625q-0.125 -0.75 -0.4375 -1.28125q-0.296875 -0.546875 -0.78125 -0.84375q-0.484375 -0.296875 -1.15625 -0.296875q-0.65625 0 -1.140625 0.3125q-0.46875 0.3125 -0.78125 0.859375q-0.296875 0.53125 -0.453125 1.25q-0.140625 0.703125 -0.140625 1.515625q0 0.921875 0.140625 1.6875q0.140625 0.75 0.4375 1.296875q0.3125 0.53125 0.78125 0.828125q0.484375 0.28125 1.15625 0.28125q0.65625 0 1.125 -0.3125q0.484375 -0.3125 0.78125 -0.84375q0.3125 -0.546875 0.453125 -1.265625q0.15625 -0.71875 0.15625 -1.546875zm18.453125 4.671875q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m184.0 708.0051l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m190.0 708.0052l68.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m190.0 706.35345l-4.538101 1.6517334l4.538101 1.6517334z" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m258.0 709.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" d="m214.22047 707.9894l0 0c0 -0.7914429 0.64160156 -1.4330444 1.433075 -1.4330444l0 0c0.38006592 0 0.7445679 0.15100098 1.0133209 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.4330597 1.4331055l0 0c-0.7914734 0 -1.433075 -0.64160156 -1.433075 -1.4331055z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m214.22047 707.9894l0 0c0 -0.7914429 0.64160156 -1.4330444 1.433075 -1.4330444l0 0c0.38006592 0 0.7445679 0.15100098 1.0133209 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.4330597 1.4331055l0 0c-0.7914734 0 -1.433075 -0.64160156 -1.433075 -1.4331055z" fill-rule="evenodd"/><path fill="#c9daf8" d="m120.0 600.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.0 600.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m133.71094 616.8183l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm13.3125 9.046875l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm6.46875 7.5q-0.8125 -0.125 -1.421875 -0.515625q-0.59375 -0.40625 -1.0 -1.046875q-0.390625 -0.65625 -0.59375 -1.546875q-0.1875 -0.890625 -0.1875 -1.96875q0 -1.390625 0.3125 -2.40625q0.328125 -1.015625 0.875 -1.671875q0.546875 -0.65625 1.28125 -0.96875q0.734375 -0.328125 1.5625 -0.328125q0.984375 0 1.703125 0.359375q0.734375 0.359375 1.21875 1.03125q0.484375 0.65625 0.71875 1.609375q0.25 0.953125 0.25 2.140625q0 1.25 -0.265625 2.1875q-0.265625 0.9375 -0.734375 1.59375q-0.453125 0.65625 -1.078125 1.03125q-0.625 0.375 -1.34375 0.5q0.109375 0.6875 0.53125 1.109375q0.4375 0.421875 1.21875 0.421875q0.375 0 0.734375 -0.125q0.375 -0.125 0.75 -0.421875l0.609375 0.953125q-0.515625 0.421875 -1.0625 0.59375q-0.546875 0.1875 -1.125 0.1875q-0.625 0 -1.15625 -0.171875q-0.515625 -0.171875 -0.90625 -0.515625q-0.375 -0.328125 -0.609375 -0.84375q-0.234375 -0.515625 -0.28125 -1.1875zm3.265625 -5.15625q0 -0.90625 -0.140625 -1.640625q-0.125 -0.75 -0.4375 -1.28125q-0.296875 -0.546875 -0.78125 -0.84375q-0.484375 -0.296875 -1.15625 -0.296875q-0.65625 0 -1.140625 0.3125q-0.46875 0.3125 -0.78125 0.859375q-0.296875 0.53125 -0.453125 1.25q-0.140625 0.703125 -0.140625 1.515625q0 0.921875 0.140625 1.6875q0.140625 0.75 0.4375 1.296875q0.3125 0.53125 0.78125 0.828125q0.484375 0.28125 1.15625 0.28125q0.65625 0 1.125 -0.3125q0.484375 -0.3125 0.78125 -0.84375q0.3125 -0.546875 0.453125 -1.265625q0.15625 -0.71875 0.15625 -1.546875zm18.609375 2.0q0 0.734375 -0.28125 1.3125q-0.28125 0.5625 -0.8125 0.953125q-0.53125 0.390625 -1.28125 0.59375q-0.734375 0.203125 -1.65625 0.203125l-2.671875 0l0 -10.21875l2.921875 0q3.421875 0 3.421875 2.484375q0 0.828125 -0.40625 1.421875q-0.390625 0.59375 -1.28125 0.890625q0.421875 0.078125 0.78125 0.265625q0.375 0.1875 0.65625 0.484375q0.28125 0.296875 0.4375 0.703125q0.171875 0.40625 0.171875 0.90625zm-1.8125 -4.484375q0 -0.3125 -0.09375 -0.578125q-0.09375 -0.28125 -0.328125 -0.484375q-0.234375 -0.203125 -0.640625 -0.3125q-0.390625 -0.125 -1.0 -0.125l-1.4375 0l0 3.203125l1.390625 0q0.484375 0 0.859375 -0.09375q0.390625 -0.109375 0.671875 -0.3125q0.28125 -0.21875 0.421875 -0.53125q0.15625 -0.328125 0.15625 -0.765625zm0.34375 4.53125q0 -0.390625 -0.171875 -0.703125q-0.15625 -0.3125 -0.46875 -0.515625q-0.3125 -0.21875 -0.765625 -0.328125q-0.453125 -0.125 -1.015625 -0.125l-1.421875 0l0 3.515625l1.46875 0q1.203125 0 1.78125 -0.4375q0.59375 -0.453125 0.59375 -1.40625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m184.0 620.0051l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m190.0 620.0052l68.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m190.0 618.35345l-4.538101 1.6517334l4.538101 1.6517334z" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m258.0 621.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#c9daf8" d="m120.0 512.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.0 512.0051l64.0 0l0 40.0l-64.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m133.71094 528.8183l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm13.3125 9.046875l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm6.46875 7.5q-0.8125 -0.125 -1.421875 -0.515625q-0.59375 -0.40625 -1.0 -1.046875q-0.390625 -0.65625 -0.59375 -1.546875q-0.1875 -0.890625 -0.1875 -1.96875q0 -1.390625 0.3125 -2.40625q0.328125 -1.015625 0.875 -1.671875q0.546875 -0.65625 1.28125 -0.96875q0.734375 -0.328125 1.5625 -0.328125q0.984375 0 1.703125 0.359375q0.734375 0.359375 1.21875 1.03125q0.484375 0.65625 0.71875 1.609375q0.25 0.953125 0.25 2.140625q0 1.25 -0.265625 2.1875q-0.265625 0.9375 -0.734375 1.59375q-0.453125 0.65625 -1.078125 1.03125q-0.625 0.375 -1.34375 0.5q0.109375 0.6875 0.53125 1.109375q0.4375 0.421875 1.21875 0.421875q0.375 0 0.734375 -0.125q0.375 -0.125 0.75 -0.421875l0.609375 0.953125q-0.515625 0.421875 -1.0625 0.59375q-0.546875 0.1875 -1.125 0.1875q-0.625 0 -1.15625 -0.171875q-0.515625 -0.171875 -0.90625 -0.515625q-0.375 -0.328125 -0.609375 -0.84375q-0.234375 -0.515625 -0.28125 -1.1875zm3.265625 -5.15625q0 -0.90625 -0.140625 -1.640625q-0.125 -0.75 -0.4375 -1.28125q-0.296875 -0.546875 -0.78125 -0.84375q-0.484375 -0.296875 -1.15625 -0.296875q-0.65625 0 -1.140625 0.3125q-0.46875 0.3125 -0.78125 0.859375q-0.296875 0.53125 -0.453125 1.25q-0.140625 0.703125 -0.140625 1.515625q0 0.921875 0.140625 1.6875q0.140625 0.75 0.4375 1.296875q0.3125 0.53125 0.78125 0.828125q0.484375 0.28125 1.15625 0.28125q0.65625 0 1.125 -0.3125q0.484375 -0.3125 0.78125 -0.84375q0.3125 -0.546875 0.453125 -1.265625q0.15625 -0.71875 0.15625 -1.546875zm19.390625 5.0625l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m184.0 532.0051l80.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m190.0 532.0052l68.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m190.0 530.35345l-4.538101 1.6517334l4.538101 1.6517334z" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m258.0 533.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" d="m246.46457 531.9894l0 0c0 -0.7914429 0.64160156 -1.4330444 1.433075 -1.4330444l0 0c0.38006592 0 0.7445679 0.15100098 1.0133209 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.4330597 1.4331055l0 0c-0.7914734 0 -1.433075 -0.64160156 -1.433075 -1.4331055z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m246.46457 531.9894l0 0c0 -0.7914429 0.64160156 -1.4330444 1.433075 -1.4330444l0 0c0.38006592 0 0.7445679 0.15100098 1.0133209 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.4330597 1.4331055l0 0c-0.7914734 0 -1.433075 -0.64160156 -1.433075 -1.4331055z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m216.0 736.0051l184.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m216.0 736.0052l178.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m394.0 737.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m232.0 648.0051l168.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m232.0 648.0052l162.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m394.0 649.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" d="m230.43832 619.97626l0 0c0 -0.7914429 0.64160156 -1.4330444 1.4330597 -1.4330444l0 0c0.38008118 0 0.74458313 0.15100098 1.0133362 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.433075 1.4331055l0 0c-0.79145813 0 -1.4330597 -0.64160156 -1.4330597 -1.4331055z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m230.43832 619.97626l0 0c0 -0.7914429 0.64160156 -1.4330444 1.4330597 -1.4330444l0 0c0.38008118 0 0.74458313 0.15100098 1.0133362 0.41973877c0.26875305 0.2687378 0.41973877 0.63323975 0.41973877 1.0133057l0 0c0 0.7915039 -0.64160156 1.4331055 -1.433075 1.4331055l0 0c-0.79145813 0 -1.4330597 -0.64160156 -1.4330597 -1.4331055z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m248.0 560.0051l152.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m248.0 560.0052l146.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m394.0 561.6569l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m328.0 496.00516l80.0 0l0 31.99997l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m352.38464 506.05765q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5775757 -6.421875l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.4370117 6.609375l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338257 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.8588867 0q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.0775757 1.421875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm7.6245117 4.515625l-5.859375 0l0 -0.75l5.859375 0l0 0.75z" fill-rule="nonzero"/><path fill="#000000" d="m349.81458 516.35455q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.530731 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.780731 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.827606 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.9531555q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15628052q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.10940552 0.21875 -0.15628052q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375305q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m248.0 560.0051l144.0 0l0 16.0l-144.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m252.89062 570.0577q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5776062 -6.421875l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.436981 6.609375l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.733856 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.858856 0q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.077606 1.421875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm7.624481 4.515625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm8.718231 -0.96875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.374481 0.625q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.061981 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.1244812 0.515625q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 160.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m750.1049 170.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.0463257 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.3588867 0.078125l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm4.6713257 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057617 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546326 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm4.6870117 -3.78125q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm7.9682007 -2.734375l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 88.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m750.1049 98.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm1.0463257 -7.359375l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.358887 5.21875l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm4.6713257 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057617 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546326 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm4.6870117 -3.78125q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm7.9682007 -2.734375l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 400.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m750.1049 410.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.0463257 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.3588867 0.078125l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm4.6713257 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7495117 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713257 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm4.6870117 -3.78125q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm7.9682007 -2.734375l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 328.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m750.1049 338.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm1.0463257 -7.359375l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.358887 5.21875l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm4.6713257 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7495117 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713257 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm4.6870117 -3.78125q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm7.9682007 -2.734375l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 560.0051l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m757.0263 567.35455q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307007 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm1.0463867 -7.359375l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.358826 5.21875l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm4.6713867 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm4.6869507 -3.78125q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm7.9682617 -2.734375l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 512.0051l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m757.0263 519.35455q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307007 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.0463867 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.3588257 0.078125l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm4.6713867 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm4.6869507 -3.78125q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm7.9682617 -2.734375l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 824.0051l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m757.0263 831.35455q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307007 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm1.0463867 -7.359375l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.358826 5.21875l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm4.6713867 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6088257 -4.203125q0 0.4375 -0.1875 0.84375q-0.171875 0.390625 -0.546875 0.6875q-0.359375 0.28125 -0.90625 0.453125q-0.546875 0.171875 -1.28125 0.171875q-0.40625 0 -0.734375 -0.03125q-0.3125 -0.015625 -0.59375 -0.0625l0 -0.8125q0.3125 0.0625 0.671875 0.09375q0.359375 0.03125 0.734375 0.03125q0.515625 0 0.875 -0.09375q0.359375 -0.09375 0.578125 -0.265625q0.234375 -0.171875 0.34375 -0.40625q0.109375 -0.25 0.109375 -0.5625q0 -0.28125 -0.125 -0.484375q-0.125 -0.203125 -0.359375 -0.34375q-0.21875 -0.140625 -0.546875 -0.203125q-0.3125 -0.078125 -0.671875 -0.078125l-0.78125 0l0 -0.75l0.78125 0q0.3125 0 0.5625 -0.078125q0.25 -0.078125 0.421875 -0.234375q0.1875 -0.15625 0.28125 -0.375q0.09375 -0.21875 0.09375 -0.5q0 -0.546875 -0.328125 -0.796875q-0.328125 -0.25 -0.96875 -0.25q-0.34375 0 -0.71875 0.078125q-0.359375 0.0625 -0.78125 0.1875l0 -0.78125q0.1875 -0.0625 0.375 -0.109375q0.203125 -0.0625 0.40625 -0.09375q0.203125 -0.03125 0.390625 -0.046875q0.203125 -0.015625 0.390625 -0.015625q0.53125 0 0.9375 0.125q0.421875 0.109375 0.6875 0.328125q0.28125 0.21875 0.421875 0.53125q0.140625 0.3125 0.140625 0.703125q0 0.578125 -0.296875 0.984375q-0.296875 0.390625 -0.8125 0.625q0.265625 0.03125 0.515625 0.15625q0.265625 0.125 0.46875 0.328125q0.203125 0.1875 0.328125 0.453125q0.125 0.265625 0.125 0.59375zm4.8120117 4.203125l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm4.6869507 -3.78125q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm7.9682617 -2.734375l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m728.0 776.0051l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m757.0263 783.35455q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307007 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.0463867 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.3588257 0.078125l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm4.6713867 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6088257 -4.203125q0 0.4375 -0.1875 0.84375q-0.171875 0.390625 -0.546875 0.6875q-0.359375 0.28125 -0.90625 0.453125q-0.546875 0.171875 -1.28125 0.171875q-0.40625 0 -0.734375 -0.03125q-0.3125 -0.015625 -0.59375 -0.0625l0 -0.8125q0.3125 0.0625 0.671875 0.09375q0.359375 0.03125 0.734375 0.03125q0.515625 0 0.875 -0.09375q0.359375 -0.09375 0.578125 -0.265625q0.234375 -0.171875 0.34375 -0.40625q0.109375 -0.25 0.109375 -0.5625q0 -0.28125 -0.125 -0.484375q-0.125 -0.203125 -0.359375 -0.34375q-0.21875 -0.140625 -0.546875 -0.203125q-0.3125 -0.078125 -0.671875 -0.078125l-0.78125 0l0 -0.75l0.78125 0q0.3125 0 0.5625 -0.078125q0.25 -0.078125 0.421875 -0.234375q0.1875 -0.15625 0.28125 -0.375q0.09375 -0.21875 0.09375 -0.5q0 -0.546875 -0.328125 -0.796875q-0.328125 -0.25 -0.96875 -0.25q-0.34375 0 -0.71875 0.078125q-0.359375 0.0625 -0.78125 0.1875l0 -0.78125q0.1875 -0.0625 0.375 -0.109375q0.203125 -0.0625 0.40625 -0.09375q0.203125 -0.03125 0.390625 -0.046875q0.203125 -0.015625 0.390625 -0.015625q0.53125 0 0.9375 0.125q0.421875 0.109375 0.6875 0.328125q0.28125 0.21875 0.421875 0.53125q0.140625 0.3125 0.140625 0.703125q0 0.578125 -0.296875 0.984375q-0.296875 0.390625 -0.8125 0.625q0.265625 0.03125 0.515625 0.15625q0.265625 0.125 0.46875 0.328125q0.203125 0.1875 0.328125 0.453125q0.125 0.265625 0.125 0.59375zm4.8120117 4.203125l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm4.6869507 -3.78125q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm7.9682617 -2.734375l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m712.0286 616.0051l39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0286 616.0051l39.969543 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0286 624.0051l39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0286 624.0051l39.969543 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.99817 648.0051l-39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m751.99817 648.0052l-33.969543 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0286 646.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.99817 640.0051l-39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m751.99817 640.0052l-33.969543 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0286 638.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.97766 616.0051l32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m751.97766 616.0051l32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.97766 624.0051l32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m751.97766 624.0051l32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m783.99207 648.0051l-32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m783.99207 648.0051l-32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m783.99207 640.0051l-32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m783.99207 640.0051l-32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0286 704.0051l39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0286 704.0051l39.969543 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0286 712.0051l39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0286 712.0051l39.969543 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.99817 736.0051l-39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m751.99817 736.0052l-33.969543 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0286 734.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.99817 728.0051l-39.969543 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m751.99817 728.0052l-33.969543 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0286 726.35345l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.97766 704.0051l32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m751.97766 704.0051l32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m751.97766 712.0051l32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m751.97766 712.0051l32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m783.99207 736.0051l-32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m783.99207 736.0051l-32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m783.99207 728.0051l-32.014404 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m783.99207 728.0051l-32.014404 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m607.74805 120.00516l-207.74805 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m607.74805 120.00516l-207.74805 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m400.0 104.0l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m415.4578 114.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm8.718231 -0.96875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.374481 0.625q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.061981 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.1244812 0.515625q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm8.718231 4.703125l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.905731 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546356 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m607.9055 136.00516l-23.905518 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m607.9055 136.00516l-17.905518 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 134.35342l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 152.00516l-23.905518 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 152.00516l-17.905518 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0945 150.35342l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m120.0 532.05237l-63.74803 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.0 532.0524l-57.74803 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m62.25197 530.4007l-4.5380974 1.6517334l4.5380974 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m120.580055 620.40674l-63.748035 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.580055 620.40674l-57.748035 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m62.83202 618.755l-4.5380974 1.6517334l4.5380974 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m120.7664 708.3674l-63.74803 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.7664 708.3674l-57.74803 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m63.01837 706.71564l-4.5380974 1.6517334l4.5380974 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m120.1601 795.8398l-63.748028 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m120.1601 795.8398l-57.748028 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m62.412075 794.1881l-4.5380974 1.6516724l4.5380974 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m607.74805 208.00516l-191.74805 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m607.74805 208.00516l-191.74805 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m607.9055 224.00516l-23.905518 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m607.9055 224.00514l-17.905518 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 222.35341l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 240.00516l-23.905518 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 240.00514l-17.905518 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0945 238.35341l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m607.74805 352.0l-175.74805 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m607.74805 352.0l-175.74805 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m607.9055 368.0l-23.905518 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m607.9055 368.0l-17.905518 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 366.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 384.0l-23.905518 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 384.0l-17.905518 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0945 382.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m400.0 120.0l0 288.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m400.0 120.0l0 282.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m398.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m416.0 208.0l0 200.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m416.0 208.0l0 194.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m414.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m432.0 352.0l0 56.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m432.0 352.0l0 50.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m430.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m416.0 192.0l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m431.4578 202.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm8.718231 -0.96875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.374481 0.625q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.061981 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.1244812 0.515625q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.0469055 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.9531555 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34378052 0 0.7187805 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.7344055 0 -1.1562805 0.484375q-0.40625 0.46875 -0.40625 1.484375zm8.718231 4.703125l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6869507 -2.140625l-4.265625 0l0 -0.84375l1.75 0l0 -4.96875l-1.640625 0.890625l-0.328125 -0.765625l2.15625 -1.140625l0.8125 0l0 5.984375l1.515625 0l0 0.84375zm4.7338867 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m432.0 335.99484l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m447.4578 346.23483l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm1.8588562 -5.140625l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm7.421356 0.75l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.530731 2.9375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm8.718231 -0.96875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.374481 0.625q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088257 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.062012 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.1245117 0.515625q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm8.718201 4.703125l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7494507 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713867 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 128.00516l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m494.4458 138.24515l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8124695 0 1.2343445 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21871948 -0.3125 -0.6249695 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.9370117 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm4.6870117 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546326 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 144.00516l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m476.86923 154.24515l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.5468445 0l0 0.75l-4.1874695 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077637 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.0619507 -2.8125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.1557007 -1.46875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.4682617 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm10.046326 5.21875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3744507 -2.09375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm5.5463867 0.8125l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.6088867 8.75l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546326 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 216.00516l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m494.4458 226.24515l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8124695 0 1.2343445 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21871948 -0.3125 -0.6249695 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.9370117 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm4.6870117 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6869507 -2.140625l-4.265625 0l0 -0.84375l1.75 0l0 -4.96875l-1.640625 0.890625l-0.328125 -0.765625l2.15625 -1.140625l0.8125 0l0 5.984375l1.515625 0l0 0.84375zm4.7338257 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 232.00516l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m476.86923 242.24515l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.5468445 0l0 0.75l-4.1874695 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077637 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.0619507 -2.8125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.1557007 -1.46875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.4682617 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm10.046326 5.21875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3744507 -2.09375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm5.5463867 0.8125l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.6088867 8.75l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6869507 -2.140625l-4.265625 0l0 -0.84375l1.75 0l0 -4.96875l-1.640625 0.890625l-0.328125 -0.765625l2.15625 -1.140625l0.8125 0l0 5.984375l1.515625 0l0 0.84375zm4.7338257 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 360.0l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m494.4458 370.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8124695 0 1.2343445 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21871948 -0.3125 -0.6249695 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.9370117 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm4.6870117 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7494507 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713257 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m456.0 376.0l128.0 0l0 16.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m476.86923 386.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499481 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088562 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858856 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.671356 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.5468445 0l0 0.75l-4.1874695 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077637 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.0619507 -2.8125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.1557007 -1.46875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.4682617 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm10.046326 5.21875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3744507 -2.09375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm5.5463867 0.8125l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.6088867 8.75l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7494507 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713257 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m352.0 216.0l0 -112.0l16.0 0l0 112.0z" fill-rule="evenodd"/><path fill="#000000" d="m362.24 211.8125l-0.703125 0.015625q0.421875 0.4375 0.609375 0.875q0.1875 0.4375 0.1875 0.921875q0 0.4375 -0.109375 0.765625q-0.125 0.3125 -0.3125 0.515625q-0.203125 0.203125 -0.46875 0.3125q-0.28125 0.09375 -0.59375 0.09375q-0.796875 0 -1.234375 -0.578125q-0.4375 -0.59375 -0.4375 -1.734375l0 -1.09375l-0.46875 0q-0.453125 0 -0.734375 0.296875q-0.28125 0.296875 -0.28125 0.90625q0 0.4375 0.109375 0.875q0.09375 0.421875 0.265625 0.890625l-0.8125 0q-0.0625 -0.171875 -0.125 -0.375q-0.0625 -0.21875 -0.109375 -0.453125q-0.046875 -0.234375 -0.0625 -0.484375q-0.03125 -0.265625 -0.03125 -0.515625q0 -0.484375 0.109375 -0.859375q0.09375 -0.375 0.3125 -0.640625q0.203125 -0.265625 0.53125 -0.40625q0.328125 -0.140625 0.765625 -0.140625l3.59375 0l0 0.8125zm-2.375 0.09375l0 1.15625q0 0.328125 0.0625 0.578125q0.0625 0.25 0.1875 0.40625q0.125 0.15625 0.3125 0.234375q0.171875 0.0625 0.390625 0.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.25 -0.140625q0.109375 -0.109375 0.171875 -0.265625q0.0625 -0.171875 0.0625 -0.421875q0 -0.296875 -0.1875 -0.703125q-0.203125 -0.40625 -0.609375 -0.859375l-0.921875 0zm-4.234375 -4.374481l0 1.546875l-0.75 0l0 -2.46875l6.609375 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-5.859375 0zm3.71875 -8.499481q0.203125 0 0.328125 0.015625q0.125 0 0.234375 0.015625l0 3.65625q0.8125 0 1.25 -0.4375q0.421875 -0.453125 0.421875 -1.296875q0 -0.21875 -0.015625 -0.453125q-0.03125 -0.234375 -0.0625 -0.4375q-0.03125 -0.21875 -0.0625 -0.40625q-0.046875 -0.203125 -0.09375 -0.375l0.75 0q0.109375 0.375 0.171875 0.84375q0.0625 0.46875 0.0625 0.96875q0 0.65625 -0.171875 1.140625q-0.1875 0.484375 -0.53125 0.796875q-0.359375 0.3125 -0.859375 0.46875q-0.5 0.140625 -1.140625 0.140625q-0.546875 0 -1.03125 -0.15625q-0.5 -0.15625 -0.859375 -0.453125q-0.375 -0.3125 -0.59375 -0.75q-0.21875 -0.453125 -0.21875 -1.015625q0 -0.546875 0.171875 -0.96875q0.171875 -0.421875 0.484375 -0.703125q0.3125 -0.296875 0.765625 -0.4375q0.453125 -0.15625 1.0 -0.15625zm-0.125 0.953125q-0.34375 -0.015625 -0.625 0.0625q-0.296875 0.078125 -0.5 0.265625q-0.21875 0.171875 -0.328125 0.4375q-0.125 0.25 -0.125 0.59375q0 0.296875 0.125 0.546875q0.109375 0.234375 0.3125 0.421875q0.203125 0.171875 0.5 0.28125q0.296875 0.109375 0.640625 0.125l0 -2.734375zm-2.203125 -2.6088562l0 -0.828125l0.953125 -0.03125q-0.546875 -0.453125 -0.796875 -0.90625q-0.25 -0.453125 -0.25 -0.90625q0 -0.8125 0.53125 -1.234375q0.515625 -0.421875 1.546875 -0.390625l0 0.921875q-0.6875 -0.015625 -0.984375 0.203125q-0.3125 0.21875 -0.3125 0.625q0 0.1875 0.0625 0.375q0.0625 0.1875 0.203125 0.375q0.140625 0.1875 0.375 0.40625q0.21875 0.21875 0.53125 0.46875l3.359375 0l0 0.921875l-5.21875 0zm5.140625 -9.858856q0.078125 0.3125 0.109375 0.640625q0.046875 0.328125 0.046875 0.671875q0 0.984375 -0.4375 1.46875q-0.453125 0.46875 -1.375 0.46875l-2.734375 0l0 1.46875l-0.75 0l0 -1.46875l-1.4375 0l-0.234375 -0.890625l1.671875 0l0 -2.359375l0.75 0l0 2.359375l2.65625 0q0.5625 0 0.84375 -0.296875q0.28125 -0.3125 0.28125 -0.890625q0 -0.25 -0.03125 -0.546875q-0.046875 -0.296875 -0.140625 -0.625l0.78125 0zm2.21875 -6.671356l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-6.609375 -2.6088562l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm2.203125 -3.7651062l0 -0.8125l0.84375 -0.03125q-0.28125 -0.234375 -0.453125 -0.4375q-0.1875 -0.21875 -0.296875 -0.421875q-0.109375 -0.203125 -0.140625 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.8125 0.484375 -1.21875q0.46875 -0.421875 1.421875 -0.421875l3.40625 0l0 0.90625l-3.328125 0q-0.625 0 -0.90625 0.234375q-0.296875 0.21875 -0.296875 0.671875q0 0.171875 0.046875 0.328125q0.046875 0.15625 0.171875 0.328125q0.125 0.171875 0.328125 0.375q0.203125 0.203125 0.515625 0.453125l3.46875 0l0 0.90625l-5.21875 0zm5.140625 -10.077606q0.078125 0.3125 0.109375 0.640625q0.046875 0.328125 0.046875 0.671875q0 0.984375 -0.4375 1.46875q-0.453125 0.46875 -1.375 0.46875l-2.734375 0l0 1.46875l-0.75 0l0 -1.46875l-1.4375 0l-0.234375 -0.890625l1.671875 0l0 -2.359375l0.75 0l0 2.359375l2.65625 0q0.5625 0 0.84375 -0.296875q0.28125 -0.3125 0.28125 -0.890625q0 -0.25 -0.03125 -0.546875q-0.046875 -0.296875 -0.140625 -0.625l0.78125 0zm-2.8125 -6.061981q0.203125 0 0.328125 0.015625q0.125 0 0.234375 0.015625l0 3.65625q0.8125 0 1.25 -0.4375q0.421875 -0.453125 0.421875 -1.296875q0 -0.21875 -0.015625 -0.453125q-0.03125 -0.234375 -0.0625 -0.4375q-0.03125 -0.21875 -0.0625 -0.40625q-0.046875 -0.203125 -0.09375 -0.375l0.75 0q0.109375 0.375 0.171875 0.84375q0.0625 0.46875 0.0625 0.96875q0 0.65625 -0.171875 1.140625q-0.1875 0.484375 -0.53125 0.796875q-0.359375 0.3125 -0.859375 0.46875q-0.5 0.140625 -1.140625 0.140625q-0.546875 0 -1.03125 -0.15625q-0.5 -0.15625 -0.859375 -0.453125q-0.375 -0.3125 -0.59375 -0.75q-0.21875 -0.453125 -0.21875 -1.015625q0 -0.546875 0.171875 -0.96875q0.171875 -0.421875 0.484375 -0.703125q0.3125 -0.296875 0.765625 -0.4375q0.453125 -0.15625 1.0 -0.15625zm-0.125 0.953125q-0.34375 -0.015625 -0.625 0.0625q-0.296875 0.078125 -0.5 0.265625q-0.21875 0.171875 -0.328125 0.4375q-0.125 0.25 -0.125 0.59375q0 0.296875 0.125 0.546875q0.109375 0.234375 0.3125 0.421875q0.203125 0.171875 0.5 0.28125q0.296875 0.109375 0.640625 0.125l0 -2.734375zm-1.46875 -6.155731q0.1875 -0.140625 0.4375 -0.21875q0.234375 -0.09375 0.515625 -0.09375q0.40625 0 0.734375 0.15625q0.328125 0.140625 0.578125 0.421875q0.234375 0.265625 0.375 0.640625q0.125 0.375 0.125 0.828125q0 0.328125 -0.0625 0.625q-0.078125 0.28125 -0.1875 0.4375q0.15625 0.109375 0.28125 0.171875q0.125 0.0625 0.296875 0.0625q0.1875 0 0.328125 -0.1875q0.125 -0.1875 0.140625 -0.5l0.046875 -1.375q0.015625 -0.390625 0.109375 -0.71875q0.078125 -0.328125 0.25 -0.5625q0.15625 -0.234375 0.40625 -0.359375q0.25 -0.140625 0.5625 -0.140625q0.359375 0 0.671875 0.15625q0.3125 0.140625 0.546875 0.453125q0.234375 0.3125 0.375 0.796875q0.140625 0.484375 0.140625 1.15625q0 0.625 -0.109375 1.078125q-0.09375 0.4375 -0.28125 0.734375q-0.171875 0.28125 -0.421875 0.421875q-0.25 0.125 -0.546875 0.125q-0.375 0 -0.671875 -0.171875q-0.28125 -0.1875 -0.546875 -0.5625q-0.0625 0.140625 -0.15625 0.25q-0.09375 0.09375 -0.203125 0.15625q-0.109375 0.0625 -0.234375 0.09375q-0.125 0.03125 -0.25 0.03125q-0.328125 0 -0.609375 -0.15625q-0.28125 -0.171875 -0.53125 -0.390625q-0.125 0.109375 -0.234375 0.1875q-0.109375 0.0625 -0.234375 0.125q-0.140625 0.046875 -0.296875 0.078125q-0.15625 0.03125 -0.359375 0.03125q-0.40625 0 -0.734375 -0.140625q-0.34375 -0.15625 -0.578125 -0.421875q-0.234375 -0.265625 -0.359375 -0.640625q-0.140625 -0.390625 -0.140625 -0.84375q0 -0.1875 0.03125 -0.359375q0.015625 -0.1875 0.0625 -0.3125l0 -1.90625l0.734375 0l0 0.84375zm5.234375 3.140625q0.375 0 0.546875 -0.375q0.171875 -0.390625 0.171875 -1.078125q0 -0.4375 -0.078125 -0.71875q-0.078125 -0.296875 -0.21875 -0.46875q-0.125 -0.1875 -0.296875 -0.265625q-0.15625 -0.078125 -0.328125 -0.078125q-0.3125 0 -0.46875 0.265625q-0.140625 0.25 -0.171875 0.78125l-0.046875 1.359375q0.109375 0.171875 0.21875 0.296875q0.109375 0.109375 0.21875 0.171875q0.125 0.0625 0.234375 0.09375q0.109375 0.015625 0.21875 0.015625zm-4.265625 -0.28125q0.25 0 0.46875 -0.078125q0.203125 -0.078125 0.34375 -0.234375q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.453125q0 -0.265625 -0.078125 -0.46875q-0.09375 -0.21875 -0.25 -0.359375q-0.15625 -0.140625 -0.359375 -0.21875q-0.203125 -0.078125 -0.421875 -0.078125q-0.25 0 -0.453125 0.09375q-0.21875 0.078125 -0.359375 0.234375q-0.140625 0.140625 -0.21875 0.34375q-0.09375 0.203125 -0.09375 0.453125q0 0.265625 0.09375 0.484375q0.09375 0.203125 0.25 0.359375q0.15625 0.140625 0.359375 0.21875q0.203125 0.0625 0.421875 0.0625zm5.65625 -9.983856l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-8.65625 -5.468231q-0.140625 0.71875 -0.140625 1.234375q0 1.21875 1.28125 1.21875l0.90625 0l0 -2.296875l0.765625 0l0 2.296875l3.703125 0l0 0.921875l-3.703125 0l0 1.671875l-0.765625 0l0 -1.671875l-0.859375 0q-2.09375 0 -2.09375 -2.171875q0 -0.546875 0.125 -1.203125l0.78125 0zm1.296875 5.46875l0 0zm5.21875 -10.046356l-0.703125 0.015625q0.421875 0.4375 0.609375 0.875q0.1875 0.4375 0.1875 0.921875q0 0.4375 -0.109375 0.765625q-0.125 0.3125 -0.3125 0.515625q-0.203125 0.203125 -0.46875 0.3125q-0.28125 0.09375 -0.59375 0.09375q-0.796875 0 -1.234375 -0.578125q-0.4375 -0.59375 -0.4375 -1.734375l0 -1.09375l-0.46875 0q-0.453125 0 -0.734375 0.296875q-0.28125 0.296875 -0.28125 0.90625q0 0.4375 0.109375 0.875q0.09375 0.421875 0.265625 0.890625l-0.8125 0q-0.0625 -0.171875 -0.125 -0.375q-0.0625 -0.21875 -0.109375 -0.453125q-0.046875 -0.234375 -0.0625 -0.484375q-0.03125 -0.265625 -0.03125 -0.515625q0 -0.484375 0.109375 -0.859375q0.09375 -0.375 0.3125 -0.640625q0.203125 -0.265625 0.53125 -0.40625q0.328125 -0.140625 0.765625 -0.140625l3.59375 0l0 0.8125zm-2.375 0.09375l0 1.15625q0 0.328125 0.0625 0.578125q0.0625 0.25 0.1875 0.40625q0.125 0.15625 0.3125 0.234375q0.171875 0.0625 0.390625 0.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.25 -0.140625q0.109375 -0.109375 0.171875 -0.265625q0.0625 -0.171875 0.0625 -0.421875q0 -0.296875 -0.1875 -0.703125q-0.203125 -0.40625 -0.609375 -0.859375l-0.921875 0zm-2.09375 -4.374481l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm0.8125 -5.546356l0 1.546875l-0.75 0l0 -2.46875l6.609375 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-5.859375 0zm8.75 -7.608856l0 2.5625l-9.671875 0l0 -2.5625l0.71875 0l0 1.703125l8.21875 0l0 -1.703125l0.734375 0zm-6.515625 -6.499481l0.625 0.359375l-0.96875 1.453125l1.78125 -0.109375l0 0.734375l-1.78125 -0.109375l0.96875 1.484375l-0.609375 0.328125l-0.78125 -1.59375l-0.8125 1.59375l-0.609375 -0.359375l0.984375 -1.46875l-1.78125 0.125l0 -0.734375l1.78125 0.125l-0.984375 -1.46875l0.640625 -0.375l0.78125 1.609375l0.765625 -1.59375zm6.515625 -4.921356l0 2.5625l-0.734375 0l0 -1.6875l-8.21875 0l0 1.6875l-0.71875 0l0 -2.5625l9.671875 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m80.0 16.005249l469.7008 0l0 32.0l-469.7008 0z" fill-rule="evenodd"/><path fill="#0000ff" d="m97.5625 42.581497q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm6.2729187 -11.21875l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm15.366669 11.5625l-2.1875 0l-4.25 -4.90625l0 4.90625l-1.59375 0l0 -12.875l1.59375 0l0 7.90625l4.09375 -4.171875l2.109375 0l-4.296875 4.21875l4.53125 4.921875zm10.819794 3.734375l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm4.5854187 -11.5625l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm22.327087 16.8125q-4.203125 -3.890625 -4.203125 -8.59375q0 -1.109375 0.21875 -2.203125q0.21875 -1.109375 0.71875 -2.203125q0.5 -1.109375 1.3125 -2.203125q0.8125 -1.109375 1.984375 -2.1875l0.921875 0.9375q-3.53125 3.484375 -3.53125 7.734375q0 2.109375 0.890625 4.0625q0.890625 1.953125 2.640625 3.671875l-0.953125 0.984375zm11.304169 -3.828125l0 -6.5625q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.28125 -0.109375 -0.4375q-0.0625 -0.15625 -0.171875 -0.21875q-0.109375 -0.0625 -0.25 -0.0625q-0.1875 0 -0.34375 0.109375q-0.15625 0.109375 -0.34375 0.359375q-0.171875 0.234375 -0.390625 0.640625q-0.203125 0.40625 -0.5 1.0l0 5.875l-1.4375 0l0 -6.390625q0 -0.5 -0.03125 -0.8125q-0.03125 -0.3125 -0.109375 -0.484375q-0.0625 -0.171875 -0.171875 -0.234375q-0.109375 -0.0625 -0.265625 -0.0625q-0.171875 0 -0.3125 0.09375q-0.140625 0.078125 -0.328125 0.328125q-0.171875 0.234375 -0.390625 0.640625q-0.21875 0.40625 -0.515625 1.046875l0 5.875l-1.46875 0l0 -9.140625l1.21875 0l0.078125 1.734375q0.234375 -0.515625 0.453125 -0.875q0.21875 -0.375 0.453125 -0.59375q0.25 -0.21875 0.515625 -0.328125q0.265625 -0.109375 0.59375 -0.109375q0.734375 0 1.109375 0.484375q0.390625 0.484375 0.390625 1.5q0.21875 -0.484375 0.421875 -0.84375q0.21875 -0.375 0.453125 -0.625q0.25 -0.25 0.53125 -0.375q0.296875 -0.140625 0.6875 -0.140625q1.71875 0 1.71875 2.65625l0 6.65625l-1.453125 0zm9.601044 0l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm7.6791687 -3.671875l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm6.5854187 3.84375l1.421875 0l0.0625 1.46875q0.390625 -0.46875 0.765625 -0.78125q0.375 -0.3125 0.734375 -0.5q0.359375 -0.203125 0.734375 -0.28125q0.375 -0.078125 0.78125 -0.078125q1.40625 0 2.125 0.84375q0.734375 0.828125 0.734375 2.5l0 5.96875l-1.59375 0l0 -5.84375q0 -1.078125 -0.40625 -1.578125q-0.390625 -0.515625 -1.1875 -0.515625q-0.28125 0 -0.5625 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.34375 0.359375 -0.78125 0.90625l0 6.0625l-1.59375 0l0 -9.140625zm26.389587 9.140625l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm7.6791687 -7.40625l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm14.866669 6.5q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm17.257294 9.015625q-0.53125 0.140625 -1.109375 0.1875q-0.578125 0.0625 -1.171875 0.0625q-1.71875 0 -2.5625 -0.78125q-0.84375 -0.78125 -0.84375 -2.390625l0 -4.765625l-2.5625 0l0 -1.328125l2.5625 0l0 -2.515625l1.578125 -0.40625l0 2.921875l4.109375 0l0 1.328125l-4.109375 0l0 4.640625q0 0.984375 0.515625 1.46875q0.53125 0.484375 1.546875 0.484375q0.4375 0 0.953125 -0.0625q0.53125 -0.0625 1.09375 -0.21875l0 1.375zm20.498962 0.125l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm8.772919 0l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm4.5541687 -4.984375l1.421875 0l0.0625 1.46875q0.390625 -0.46875 0.765625 -0.78125q0.375 -0.3125 0.734375 -0.5q0.359375 -0.203125 0.734375 -0.28125q0.375 -0.078125 0.78125 -0.078125q1.40625 0 2.125 0.84375q0.734375 0.828125 0.734375 2.5l0 5.96875l-1.59375 0l0 -5.84375q0 -1.078125 -0.40625 -1.578125q-0.390625 -0.515625 -1.1875 -0.515625q-0.28125 0 -0.5625 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.34375 0.359375 -0.78125 0.90625l0 6.0625l-1.59375 0l0 -9.140625zm9.804169 4.765625q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm12.226044 -7.078125l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm14.866669 6.5q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.5541687 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm27.248962 8.796875q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm6.2729187 -11.21875l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm15.101044 6.921875q0 1.0625 -0.3125 1.953125q-0.296875 0.890625 -0.859375 1.53125q-0.5625 0.625 -1.375 0.96875q-0.8125 0.34375 -1.84375 0.34375q-0.984375 0 -1.765625 -0.296875q-0.765625 -0.3125 -1.3125 -0.890625q-0.546875 -0.59375 -0.828125 -1.46875q-0.28125 -0.875 -0.28125 -2.015625q0 -1.0625 0.296875 -1.9375q0.296875 -0.890625 0.859375 -1.515625q0.5625 -0.640625 1.375 -0.984375q0.8125 -0.359375 1.84375 -0.359375q0.984375 0 1.765625 0.3125q0.78125 0.296875 1.3125 0.890625q0.546875 0.578125 0.828125 1.453125q0.296875 0.875 0.296875 2.015625zm-1.625 0.0625q0 -0.84375 -0.1875 -1.46875q-0.1875 -0.640625 -0.53125 -1.0625q-0.34375 -0.421875 -0.84375 -0.640625q-0.5 -0.21875 -1.109375 -0.21875q-0.703125 0 -1.21875 0.28125q-0.5 0.28125 -0.828125 0.75q-0.3125 0.453125 -0.46875 1.078125q-0.15625 0.609375 -0.15625 1.28125q0 0.859375 0.1875 1.5q0.1875 0.625 0.53125 1.046875q0.359375 0.421875 0.84375 0.640625q0.5 0.21875 1.109375 0.21875q0.71875 0 1.21875 -0.28125q0.5 -0.28125 0.828125 -0.734375q0.328125 -0.46875 0.46875 -1.078125q0.15625 -0.625 0.15625 -1.3125zm11.022919 4.234375q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm11.382294 0.34375l-2.1875 0l-4.25 -4.90625l0 4.90625l-1.59375 0l0 -12.875l1.59375 0l0 7.90625l4.09375 -4.171875l2.109375 0l-4.296875 4.21875l4.53125 4.921875zm11.827087 -4.375q0 -1.171875 0.3125 -2.078125q0.3125 -0.90625 0.90625 -1.53125q0.59375 -0.625 1.40625 -0.9375q0.828125 -0.328125 1.84375 -0.328125q0.4375 0 0.859375 0.0625q0.421875 0.046875 0.828125 0.15625l0 -3.84375l1.59375 0l0 12.875l-1.421875 0l-0.046875 -1.734375q-0.671875 0.96875 -1.453125 1.4375q-0.765625 0.453125 -1.671875 0.453125q-0.78125 0 -1.375 -0.3125q-0.59375 -0.328125 -1.0 -0.921875q-0.390625 -0.609375 -0.59375 -1.4375q-0.1875 -0.84375 -0.1875 -1.859375zm1.609375 -0.109375q0 1.65625 0.484375 2.484375q0.5 0.8125 1.390625 0.8125q0.59375 0 1.265625 -0.53125q0.671875 -0.546875 1.40625 -1.609375l0 -4.234375q-0.390625 -0.1875 -0.859375 -0.28125q-0.46875 -0.09375 -0.9375 -0.09375q-1.296875 0 -2.03125 0.84375q-0.71875 0.828125 -0.71875 2.609375zm17.069794 -0.15625q0 1.0625 -0.3125 1.953125q-0.296875 0.890625 -0.859375 1.53125q-0.5625 0.625 -1.375 0.96875q-0.8125 0.34375 -1.84375 0.34375q-0.984375 0 -1.765625 -0.296875q-0.765625 -0.3125 -1.3125 -0.890625q-0.546875 -0.59375 -0.828125 -1.46875q-0.28125 -0.875 -0.28125 -2.015625q0 -1.0625 0.296875 -1.9375q0.296875 -0.890625 0.859375 -1.515625q0.5625 -0.640625 1.375 -0.984375q0.8125 -0.359375 1.84375 -0.359375q0.984375 0 1.765625 0.3125q0.78125 0.296875 1.3125 0.890625q0.546875 0.578125 0.828125 1.453125q0.296875 0.875 0.296875 2.015625zm-1.625 0.0625q0 -0.84375 -0.1875 -1.46875q-0.1875 -0.640625 -0.53125 -1.0625q-0.34375 -0.421875 -0.84375 -0.640625q-0.5 -0.21875 -1.109375 -0.21875q-0.703125 0 -1.21875 0.28125q-0.5 0.28125 -0.828125 0.75q-0.3125 0.453125 -0.46875 1.078125q-0.15625 0.609375 -0.15625 1.28125q0 0.859375 0.1875 1.5q0.1875 0.625 0.53125 1.046875q0.359375 0.421875 0.84375 0.640625q0.5 0.21875 1.109375 0.21875q0.71875 0 1.21875 -0.28125q0.5 -0.28125 0.828125 -0.734375q0.328125 -0.46875 0.46875 -1.078125q0.15625 -0.625 0.15625 -1.3125zm10.444794 4.578125l0 -6.5625q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.28125 -0.109375 -0.4375q-0.0625 -0.15625 -0.171875 -0.21875q-0.109375 -0.0625 -0.25 -0.0625q-0.1875 0 -0.34375 0.109375q-0.15625 0.109375 -0.34375 0.359375q-0.171875 0.234375 -0.390625 0.640625q-0.203125 0.40625 -0.5 1.0l0 5.875l-1.4375 0l0 -6.390625q0 -0.5 -0.03125 -0.8125q-0.03125 -0.3125 -0.109375 -0.484375q-0.0625 -0.171875 -0.171875 -0.234375q-0.109375 -0.0625 -0.265625 -0.0625q-0.171875 0 -0.3125 0.09375q-0.140625 0.078125 -0.328125 0.328125q-0.171875 0.234375 -0.390625 0.640625q-0.21875 0.40625 -0.515625 1.046875l0 5.875l-1.46875 0l0 -9.140625l1.21875 0l0.078125 1.734375q0.234375 -0.515625 0.453125 -0.875q0.21875 -0.375 0.453125 -0.59375q0.25 -0.21875 0.515625 -0.328125q0.265625 -0.109375 0.59375 -0.109375q0.734375 0 1.109375 0.484375q0.390625 0.484375 0.390625 1.5q0.21875 -0.484375 0.421875 -0.84375q0.21875 -0.375 0.453125 -0.625q0.25 -0.25 0.53125 -0.375q0.296875 -0.140625 0.6875 -0.140625q1.71875 0 1.71875 2.65625l0 6.65625l-1.453125 0zm9.601044 0l-0.03125 -1.234375q-0.75 0.75 -1.515625 1.078125q-0.765625 0.3125 -1.625 0.3125q-0.78125 0 -1.34375 -0.1875q-0.546875 -0.203125 -0.90625 -0.546875q-0.359375 -0.359375 -0.53125 -0.828125q-0.15625 -0.484375 -0.15625 -1.03125q0 -1.375 1.015625 -2.15625q1.03125 -0.78125 3.03125 -0.78125l1.890625 0l0 -0.796875q0 -0.8125 -0.515625 -1.296875q-0.515625 -0.5 -1.578125 -0.5q-0.78125 0 -1.53125 0.1875q-0.75 0.171875 -1.546875 0.484375l0 -1.4375q0.296875 -0.109375 0.65625 -0.203125q0.375 -0.109375 0.78125 -0.1875q0.40625 -0.078125 0.859375 -0.125q0.453125 -0.0625 0.90625 -0.0625q0.828125 0 1.484375 0.1875q0.671875 0.171875 1.125 0.546875q0.46875 0.375 0.703125 0.953125q0.25 0.5625 0.25 1.328125l0 6.296875l-1.421875 0zm-0.171875 -4.15625l-2.015625 0q-0.578125 0 -1.015625 0.125q-0.421875 0.109375 -0.703125 0.328125q-0.265625 0.21875 -0.40625 0.53125q-0.125 0.296875 -0.125 0.671875q0 0.265625 0.078125 0.515625q0.078125 0.234375 0.265625 0.421875q0.1875 0.1875 0.46875 0.296875q0.296875 0.109375 0.71875 0.109375q0.546875 0 1.25 -0.328125q0.703125 -0.34375 1.484375 -1.0625l0 -1.609375zm7.6791687 -3.671875l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm6.5854187 3.84375l1.421875 0l0.0625 1.46875q0.390625 -0.46875 0.765625 -0.78125q0.375 -0.3125 0.734375 -0.5q0.359375 -0.203125 0.734375 -0.28125q0.375 -0.078125 0.78125 -0.078125q1.40625 0 2.125 0.84375q0.734375 0.828125 0.734375 2.5l0 5.96875l-1.59375 0l0 -5.84375q0 -1.078125 -0.40625 -1.578125q-0.390625 -0.515625 -1.1875 -0.515625q-0.28125 0 -0.5625 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.34375 0.359375 -0.78125 0.90625l0 6.0625l-1.59375 0l0 -9.140625zm12.132294 -4.421875q4.203125 3.890625 4.203125 8.65625q0 0.984375 -0.203125 2.046875q-0.203125 1.046875 -0.6875 2.15625q-0.484375 1.109375 -1.296875 2.234375q-0.8125 1.140625 -2.0625 2.296875l-0.90625 -0.9375q1.765625 -1.765625 2.640625 -3.671875q0.875 -1.921875 0.875 -4.015625q0 -4.328125 -3.515625 -7.796875l0.953125 -0.96875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m999.8114 368.0l-23.811035 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m999.81146 368.0l-17.811035 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m982.0004 366.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m999.9055 216.0l-23.811035 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m999.9055 216.0l-17.811035 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m982.0945 214.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m999.9055 128.0l-23.811035 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m999.9055 128.0l-17.811035 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m982.0945 126.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m976.0941 544.00525l23.811035 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m976.09406 544.00525l17.811035 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m993.9051 545.657l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m976.0941 808.00525l23.811035 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m976.09406 808.00525l17.811035 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m993.9051 809.657l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.0 448.00516l128.0 0l0 32.0l-128.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m201.44531 467.1464q0 0.734375 -0.125 1.40625q-0.109375 0.671875 -0.359375 1.25q-0.25 0.578125 -0.65625 1.046875q-0.390625 0.46875 -0.96875 0.8125q-0.578125 0.328125 -1.34375 0.515625q-0.765625 0.1875 -1.734375 0.1875l-2.1875 0l0 -10.21875l2.625 0q2.390625 0 3.5625 1.234375q1.1875 1.234375 1.1875 3.765625zm-1.46875 0.09375q0 -1.078125 -0.203125 -1.828125q-0.203125 -0.75 -0.625 -1.203125q-0.40625 -0.46875 -1.03125 -0.671875q-0.625 -0.21875 -1.46875 -0.21875l-1.1875 0l0 7.84375l1.03125 0q3.484375 0 3.484375 -3.921875zm18.609375 4.734375q-1.234375 0.515625 -2.578125 0.515625q-2.15625 0 -3.328125 -1.296875q-1.15625 -1.296875 -1.15625 -3.828125q0 -1.21875 0.3125 -2.203125q0.328125 -1.0 0.921875 -1.6875q0.59375 -0.703125 1.4375 -1.078125q0.84375 -0.375 1.890625 -0.375q0.71875 0 1.328125 0.125q0.609375 0.125 1.171875 0.375l0 1.359375q-0.5625 -0.296875 -1.15625 -0.453125q-0.59375 -0.171875 -1.296875 -0.171875q-0.71875 0 -1.296875 0.28125q-0.578125 0.265625 -0.984375 0.78125q-0.40625 0.5 -0.625 1.25q-0.21875 0.734375 -0.21875 1.671875q0 1.984375 0.796875 3.0q0.8125 1.0 2.359375 1.0q0.65625 0 1.25 -0.140625q0.609375 -0.15625 1.171875 -0.4375l0 1.3125zm17.75 -2.671875q0 0.734375 -0.28125 1.3125q-0.28125 0.5625 -0.8125 0.953125q-0.53125 0.390625 -1.28125 0.59375q-0.734375 0.203125 -1.65625 0.203125l-2.671875 0l0 -10.21875l2.921875 0q3.421875 0 3.421875 2.484375q0 0.828125 -0.40625 1.421875q-0.390625 0.59375 -1.28125 0.890625q0.421875 0.078125 0.78125 0.265625q0.375 0.1875 0.65625 0.484375q0.28125 0.296875 0.4375 0.703125q0.171875 0.40625 0.171875 0.90625zm-1.8125 -4.484375q0 -0.3125 -0.09375 -0.578125q-0.09375 -0.28125 -0.328125 -0.484375q-0.234375 -0.203125 -0.640625 -0.3125q-0.390625 -0.125 -1.0 -0.125l-1.4375 0l0 3.203125l1.390625 0q0.484375 0 0.859375 -0.09375q0.390625 -0.109375 0.671875 -0.3125q0.28125 -0.21875 0.421875 -0.53125q0.15625 -0.328125 0.15625 -0.765625zm0.34375 4.53125q0 -0.390625 -0.171875 -0.703125q-0.15625 -0.3125 -0.46875 -0.515625q-0.3125 -0.21875 -0.765625 -0.328125q-0.453125 -0.125 -1.015625 -0.125l-1.421875 0l0 3.515625l1.46875 0q1.203125 0 1.78125 -0.4375q0.59375 -0.453125 0.59375 -1.40625zm19.84375 3.015625l-1.515625 0l-0.703125 -2.234375l-4.25 0l-0.71875 2.234375l-1.453125 0l3.390625 -10.21875l1.90625 0l3.34375 10.21875zm-2.625 -3.46875l-1.71875 -5.46875l-1.734375 5.46875l3.453125 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m984.0 120.0l80.0 0l0 16.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m1010.61096 130.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.2343826q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.5937576l-0.8125 0zm-0.09375 -2.3750076l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.18750763q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.9218826zm4.3744507 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.6093826l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.8593826zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125076 0.4375 1.2500076q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.1406326q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.3593826l-0.921875 0l0 -5.2187576zm9.858887 5.1406326q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.7343826l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.6562576q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.2182617 -3.3125076q0 0.765625 -0.15625 1.4218826q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.6718826 -0.171875 -1.5625076q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.1406326q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5000076 0.109375 -1.1562576zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m984.0 208.0l80.0 0l0 16.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m1011.67346 215.34938q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm1.9057007 -2.203125l1.03125 0l1.28125 3.453125l0.265625 0.84375l0.296875 -0.875l1.265625 -3.421875l1.0 0l-2.0625 5.21875l-1.03125 0l-2.046875 -5.21875zm10.765076 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077637 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm5.9995117 0.078125l-4.265625 0l0 -0.84375l1.75 0l0 -4.96875l-1.640625 0.890625l-0.328125 -0.765625l2.15625 -1.140625l0.8125 0l0 5.984375l1.515625 0l0 0.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m984.0 359.9999l80.0 0l0 16.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m1011.67346 367.34927q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm1.9057007 -2.203125l1.03125 0l1.28125 3.453125l0.265625 0.84375l0.296875 -0.875l1.265625 -3.421875l1.0 0l-2.0625 5.21875l-1.03125 0l-2.046875 -5.21875zm10.765076 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077637 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.2182617 -3.3125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m1008.0 536.00525l63.748047 0l0 16.0l-63.748047 0z" fill-rule="evenodd"/><path fill="#000000" d="m1013.25 543.3546q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.73443604 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.35943604 0.046875 0.68756104 0.1875l0 0.859375q-0.34375 -0.171875 -0.70318604 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34381104 -0.09375 0.67193604 -0.25l0 0.84375zm6.8275146 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m1008.0 800.00525l63.748047 0l0 16.0l-63.748047 0z" fill-rule="evenodd"/><path fill="#000000" d="m1013.25 807.3546q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.73443604 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.35943604 0.046875 0.68756104 0.1875l0 0.859375q-0.34375 -0.171875 -0.70318604 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34381104 -0.09375 0.67193604 -0.25l0 0.84375zm6.8275146 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m824.0 16.005154l264.063 0l0 32.0l-264.063 0z" fill-rule="evenodd"/><path fill="#9900ff" d="m842.28125 38.190777q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.788574 -0.390625q0 0.34375 -0.015625 0.578125q0 0.21875 -0.03125 0.421875l-6.421875 0q0 1.40625 0.78125 2.15625q0.796875 0.75 2.265625 0.75q0.40625 0 0.796875 -0.03125q0.40625 -0.03125 0.78125 -0.078125q0.375 -0.0625 0.71875 -0.125q0.34375 -0.078125 0.625 -0.15625l0 1.296875q-0.640625 0.1875 -1.46875 0.296875q-0.8125 0.109375 -1.6875 0.109375q-1.171875 0 -2.015625 -0.3125q-0.84375 -0.3125 -1.390625 -0.921875q-0.546875 -0.609375 -0.8125 -1.484375q-0.25 -0.875 -0.25 -2.0q0 -0.953125 0.28125 -1.8125q0.28125 -0.859375 0.8125 -1.515625q0.53125 -0.65625 1.296875 -1.03125q0.78125 -0.390625 1.765625 -0.390625q0.953125 0 1.6875 0.3125q0.75 0.296875 1.25 0.84375q0.5 0.546875 0.765625 1.34375q0.265625 0.78125 0.265625 1.75zm-1.65625 -0.21875q0.03125 -0.609375 -0.125 -1.109375q-0.140625 -0.515625 -0.453125 -0.875q-0.296875 -0.375 -0.75 -0.578125q-0.453125 -0.203125 -1.0625 -0.203125q-0.515625 0 -0.953125 0.203125q-0.421875 0.203125 -0.734375 0.5625q-0.296875 0.359375 -0.5 0.875q-0.1875 0.515625 -0.234375 1.125l4.8125 0zm4.554138 -3.859375l1.453125 0l0.046875 1.6875q0.8125 -0.984375 1.59375 -1.421875q0.796875 -0.4375 1.59375 -0.4375q1.421875 0 2.15625 0.921875q0.734375 0.921875 0.671875 2.734375l-1.59375 0q0.015625 -1.203125 -0.359375 -1.734375q-0.375 -0.546875 -1.109375 -0.546875q-0.3125 0 -0.640625 0.109375q-0.328125 0.109375 -0.671875 0.359375q-0.328125 0.25 -0.71875 0.640625q-0.375 0.390625 -0.8125 0.953125l0 5.875l-1.609375 0l0 -9.140625zm13.007263 1.3125l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375zm14.413574 8.25q0 1.21875 -0.34375 2.140625q-0.34375 0.90625 -0.953125 1.515625q-0.59375 0.59375 -1.421875 0.890625q-0.8125 0.296875 -1.765625 0.296875q-0.4375 0 -0.875 -0.046875q-0.4375 -0.046875 -0.875 -0.15625l0 3.828125l-1.59375 0l0 -12.875l1.421875 0l0.09375 1.53125q0.6875 -0.9375 1.453125 -1.3125q0.78125 -0.390625 1.6875 -0.390625q0.78125 0 1.375 0.328125q0.59375 0.328125 0.984375 0.9375q0.40625 0.59375 0.609375 1.4375q0.203125 0.828125 0.203125 1.875zm-1.625 0.0625q0 -0.71875 -0.109375 -1.3125q-0.109375 -0.609375 -0.34375 -1.03125q-0.21875 -0.421875 -0.578125 -0.65625q-0.34375 -0.25 -0.84375 -0.25q-0.296875 0 -0.609375 0.09375q-0.3125 0.09375 -0.640625 0.328125q-0.328125 0.21875 -0.703125 0.59375q-0.359375 0.359375 -0.78125 0.90625l0 4.4375q0.4375 0.171875 0.921875 0.28125q0.484375 0.109375 0.9375 0.109375q1.28125 0 2.015625 -0.875q0.734375 -0.875 0.734375 -2.625zm11.413574 4.671875l-1.59375 0l0 -5.84375q0 -1.046875 -0.390625 -1.5625q-0.390625 -0.53125 -1.140625 -0.53125q-0.3125 0 -0.59375 0.09375q-0.28125 0.078125 -0.578125 0.296875q-0.296875 0.203125 -0.65625 0.578125q-0.359375 0.359375 -0.8125 0.90625l0 6.0625l-1.59375 0l0 -12.875l1.59375 0l0 3.734375l-0.0625 1.4375q0.375 -0.453125 0.734375 -0.75q0.359375 -0.3125 0.71875 -0.5q0.359375 -0.1875 0.734375 -0.265625q0.375 -0.09375 0.78125 -0.09375q1.359375 0 2.109375 0.84375q0.75 0.828125 0.75 2.5l0 5.96875zm10.897888 -5.953125q0 1.375 -0.28125 2.5q-0.265625 1.125 -0.828125 1.9375q-0.546875 0.796875 -1.375 1.234375q-0.8125 0.4375 -1.9375 0.4375q-0.953125 0 -1.734375 -0.359375q-0.78125 -0.359375 -1.34375 -1.09375q-0.546875 -0.75 -0.859375 -1.90625q-0.296875 -1.15625 -0.296875 -2.75q0 -1.359375 0.28125 -2.484375q0.28125 -1.140625 0.828125 -1.9375q0.546875 -0.8125 1.375 -1.25q0.84375 -0.4375 1.9375 -0.4375q0.953125 0 1.734375 0.359375q0.796875 0.359375 1.34375 1.109375q0.5625 0.75 0.859375 1.90625q0.296875 1.15625 0.296875 2.734375zm-1.59375 0.0625q0 -0.3125 -0.03125 -0.609375q-0.015625 -0.3125 -0.046875 -0.609375l-5.046875 3.75q0.140625 0.46875 0.34375 0.875q0.21875 0.390625 0.515625 0.6875q0.296875 0.28125 0.671875 0.453125q0.375 0.15625 0.859375 0.15625q0.625 0 1.125 -0.296875q0.515625 -0.3125 0.859375 -0.90625q0.359375 -0.59375 0.546875 -1.46875q0.203125 -0.875 0.203125 -2.03125zm-5.453125 -0.109375q0 0.28125 0 0.5625q0 0.28125 0.03125 0.546875l5.046875 -3.734375q-0.140625 -0.453125 -0.359375 -0.828125q-0.203125 -0.390625 -0.5 -0.671875q-0.28125 -0.28125 -0.65625 -0.4375q-0.375 -0.15625 -0.84375 -0.15625q-0.609375 0 -1.125 0.3125q-0.5 0.296875 -0.859375 0.890625q-0.359375 0.59375 -0.546875 1.484375q-0.1875 0.875 -0.1875 2.03125zm18.101013 9.734375l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm8.569824 -4.078125q-0.625 0.25 -1.28125 0.359375q-0.640625 0.109375 -1.328125 0.109375q-2.171875 0 -3.34375 -1.171875q-1.171875 -1.171875 -1.171875 -3.4375q0 -1.078125 0.328125 -1.953125q0.34375 -0.890625 0.953125 -1.515625q0.609375 -0.640625 1.453125 -0.984375q0.859375 -0.34375 1.875 -0.34375q0.71875 0 1.328125 0.109375q0.625 0.09375 1.1875 0.328125l0 1.515625q-0.59375 -0.3125 -1.21875 -0.453125q-0.609375 -0.140625 -1.265625 -0.140625q-0.609375 0 -1.15625 0.234375q-0.53125 0.234375 -0.9375 0.671875q-0.40625 0.421875 -0.65625 1.0625q-0.234375 0.625 -0.234375 1.421875q0 1.65625 0.796875 2.484375q0.8125 0.8125 2.25 0.8125q0.640625 0 1.25 -0.140625q0.609375 -0.140625 1.171875 -0.4375l0 1.46875zm6.272949 -11.21875l-2.703125 0l0 -1.3125l4.296875 0l0 11.546875l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -10.234375zm15.366638 11.5625l-2.1875 0l-4.25 -4.90625l0 4.90625l-1.59375 0l0 -12.875l1.59375 0l0 7.90625l4.09375 -4.171875l2.109375 0l-4.296875 4.21875l4.53125 4.921875zm10.819763 3.734375l-10.25 0l0 -1.3125l10.25 0l0 1.3125zm4.585449 -11.5625l-2.703125 0l0 -1.3125l4.296875 0l0 7.8125l2.734375 0l0 1.328125l-7.34375 0l0 -1.328125l3.015625 0l0 -6.5zm0.546875 -5.15625q0.265625 0 0.484375 0.09375q0.234375 0.09375 0.40625 0.28125q0.171875 0.171875 0.265625 0.390625q0.09375 0.21875 0.09375 0.484375q0 0.25 -0.09375 0.484375q-0.09375 0.234375 -0.265625 0.40625q-0.171875 0.171875 -0.40625 0.265625q-0.21875 0.09375 -0.484375 0.09375q-0.265625 0 -0.5 -0.09375q-0.21875 -0.09375 -0.390625 -0.265625q-0.15625 -0.171875 -0.265625 -0.40625q-0.09375 -0.234375 -0.09375 -0.484375q0 -0.265625 0.09375 -0.484375q0.109375 -0.21875 0.265625 -0.390625q0.171875 -0.1875 0.390625 -0.28125q0.234375 -0.09375 0.5 -0.09375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m-16.0 256.0l80.0 0l0 48.0l-80.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m28.140625 262.32874l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm8.375 9.03125l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875zm7.828125 -3.625l-4.671875 0l0 -1.28125l4.671875 0l0 1.28125zm10.015625 0.0625q0 0.84375 -0.234375 1.53125q-0.234375 0.6875 -0.703125 1.171875q-0.453125 0.46875 -1.125 0.734375q-0.671875 0.265625 -1.53125 0.265625q-0.953125 0 -1.625 -0.25q-0.65625 -0.25 -1.078125 -0.703125q-0.421875 -0.46875 -0.609375 -1.109375q-0.1875 -0.640625 -0.1875 -1.421875l0 -6.875l1.390625 0l0 6.765625q0 0.59375 0.109375 1.046875q0.109375 0.453125 0.359375 0.765625q0.265625 0.296875 0.671875 0.453125q0.421875 0.15625 1.015625 0.15625q1.109375 0 1.625 -0.640625q0.53125 -0.640625 0.53125 -1.796875l0 -6.75l1.390625 0l0 6.65625zm8.546875 3.5625l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875z" fill-rule="nonzero"/><path fill="#000000" d="m19.15625 287.2975q0 0.734375 -0.28125 1.3125q-0.28125 0.5625 -0.8125 0.953125q-0.53125 0.390625 -1.28125 0.59375q-0.734375 0.203125 -1.65625 0.203125l-2.671875 0l0 -10.21875l2.921875 0q3.421875 0 3.421875 2.484375q0 0.828125 -0.40625 1.421875q-0.390625 0.59375 -1.28125 0.890625q0.421875 0.078125 0.78125 0.265625q0.375 0.1875 0.65625 0.484375q0.28125 0.296875 0.4375 0.703125q0.171875 0.40625 0.171875 0.90625zm-1.8125 -4.484375q0 -0.3125 -0.09375 -0.578125q-0.09375 -0.28125 -0.328125 -0.484375q-0.234375 -0.203125 -0.640625 -0.3125q-0.390625 -0.125 -1.0 -0.125l-1.4375 0l0 3.203125l1.390625 0q0.484375 0 0.859375 -0.09375q0.390625 -0.109375 0.671875 -0.3125q0.28125 -0.21875 0.421875 -0.53125q0.15625 -0.328125 0.15625 -0.765625zm0.34375 4.53125q0 -0.390625 -0.171875 -0.703125q-0.15625 -0.3125 -0.46875 -0.515625q-0.3125 -0.21875 -0.765625 -0.328125q-0.453125 -0.125 -1.015625 -0.125l-1.421875 0l0 3.515625l1.46875 0q1.203125 0 1.78125 -0.4375q0.59375 -0.453125 0.59375 -1.40625zm9.890625 3.015625l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm8.703125 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm13.828125 -6.90625l-2.359375 0l0 -1.171875l6.109375 0l0 1.171875l-2.34375 0l0 7.859375l2.34375 0l0 1.1875l-6.109375 0l0 -1.1875l2.359375 0l0 -7.859375zm12.453125 0.015625l-4.328125 0l0 3.3125l4.109375 0l0 1.171875l-4.109375 0l0 4.546875l-1.421875 0l0 -10.21875l5.75 0l0 1.1875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m0.2519685 485.92258l71.74803 0l0 31.99997l-71.74803 0z" fill-rule="evenodd"/><path fill="#000000" d="m31.9375 494.5638l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.640625 3.296875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm2.796875 -7.734375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm16.015625 11.046875l-8.796875 0l0 -1.125l8.796875 0l0 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m8.96875 519.9857q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.8749998 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53124976 -0.546875 1.2499998 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm5.375 -9.6250305l-2.3125 0l0 -1.125l3.6875 0l0 9.9062805l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.7812805zm11.171875 9.9219055l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.125 1.4375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.796875 0q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm7.625 2.140625l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm11.453125 6.78125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.078125 -7.1875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m4.194226 338.9816l59.811024 0l0 48.0l-59.811024 0z" fill-rule="evenodd"/><path fill="#000000" d="m36.395874 354.2322q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm5.140625 -9.8125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm13.671875 13.125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm3.921875 -9.921875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375z" fill-rule="nonzero"/><path fill="#000000" d="m18.786499 362.2947l-5.359375 12.734375l-1.296875 0l5.359375 -12.734375l1.296875 0zm17.609375 10.9375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm5.140625 -9.8125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm13.671875 13.125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.078125 -7.1875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m0.27559054 572.8937l71.74803 0l0 32.0l-71.74803 0z" fill-rule="evenodd"/><path fill="#000000" d="m31.961123 581.535l-2.3125 0l0 -1.125l3.687498 0l0 6.703125l2.34375 0l0 1.140625l-6.296873 0l0 -1.140625l2.578125 0l0 -5.578125zm0.4843731 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1874981 -0.078125 -0.3281231 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.3281231 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.640625 3.296875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm2.796875 -7.734375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm16.015625 11.046875l-8.796875 0l0 -1.125l8.796875 0l0 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m8.9923725 606.95685q-0.53125 0.203125 -1.0937505 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.8749998 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53124976 -0.546875 1.2499998 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.5312505 0.078125 1.0156255 0.265625l0 1.296875q-0.5 -0.265625 -1.0312505 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.5156255 -0.125 1.0000005 -0.375l0 1.265625zm5.375 -9.625l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm11.171875 9.921875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.124998 1.4375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.7968731 0 -1.4687481 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.3593731 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.5312481 -0.15625 -0.9843731 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5624981 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.8593731 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.4062481 0.15625 1.0468731 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.796875 0q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.28125 -1.921875q0 0.96875 -0.265625 1.75q-0.265625 0.765625 -0.765625 1.296875q-0.5 0.53125 -1.21875 0.828125q-0.703125 0.28125 -1.59375 0.28125q-0.6875 0 -1.421875 -0.125q-0.71875 -0.140625 -1.4375 -0.4375l0 -10.578125l1.359375 0l0 3.046875l-0.0625 1.453125q0.59375 -0.796875 1.25 -1.109375q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.40625 0.15625 0.8125 0.25q0.421875 0.078125 0.796875 0.078125q0.46875 0 0.890625 -0.140625q0.421875 -0.15625 0.75 -0.5q0.328125 -0.34375 0.515625 -0.921875q0.1875 -0.578125 0.1875 -1.421875zm11.03125 7.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.078125 -7.1875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m0.27559054 659.8648l71.74803 0l0 32.0l-71.74803 0z" fill-rule="evenodd"/><path fill="#000000" d="m31.961123 668.5061l-2.3125 0l0 -1.125l3.687498 0l0 6.703125l2.34375 0l0 1.140625l-6.296873 0l0 -1.140625l2.578125 0l0 -5.578125zm0.4843731 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1874981 -0.078125 -0.3281231 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.3281231 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.640625 3.296875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm2.796875 -7.734375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm16.015625 11.046875l-8.796875 0l0 -1.125l8.796875 0l0 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m8.9923725 693.928q-0.53125 0.203125 -1.0937505 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.8749998 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53124976 -0.546875 1.2499998 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.5312505 0.078125 1.0156255 0.265625l0 1.296875q-0.5 -0.265625 -1.0312505 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.5156255 -0.125 1.0000005 -0.375l0 1.265625zm5.375 -9.625l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm11.171875 9.921875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.124998 1.4375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.7968731 0 -1.4687481 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.3593731 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.5312481 -0.15625 -0.9843731 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5624981 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.8593731 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.4062481 0.15625 1.0468731 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.796875 0q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.078125 -7.1875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m0.2519685 746.83594l71.74803 0l0 32.0l-71.74803 0z" fill-rule="evenodd"/><path fill="#000000" d="m31.9375 755.47723l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.640625 3.296875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm2.796875 -7.734375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm16.015625 11.046875l-8.796875 0l0 -1.125l8.796875 0l0 1.125z" fill-rule="nonzero"/><path fill="#000000" d="m8.96875 780.8991q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.8749998 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53124976 -0.546875 1.2499998 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm5.375 -9.625l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm11.171875 9.921875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.125 1.4375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.796875 0q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm2.1875 -1.625q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm15.34375 7.046875l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm8.078125 -7.1875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m624.0 76.40945l-0.06298828 19.590553" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m624.0 76.40945l-0.043701172 13.590584" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m622.30457 89.99472l1.637146 4.5433884l1.6663208 -4.5327682z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m627.1181 72.0l108.8819 0l0 22.960632l-108.8819 0z" fill-rule="evenodd"/><path fill="#000000" d="m631.3056 82.24l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932617 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7650757 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3900757 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390137 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m623.937 275.59055l0.06298828 -19.590546" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m623.937 275.59055l0.043701172 -13.590576" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m625.63245 262.00528l-1.637146 -4.543396l-1.6663208 4.532776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m627.1181 265.03937l108.8819 0l0 22.960632l-108.8819 0z" fill-rule="evenodd"/><path fill="#000000" d="m631.3056 275.27936l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932617 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7650757 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3900757 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390137 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6869507 -2.140625l-4.265625 0l0 -0.84375l1.75 0l0 -4.96875l-1.640625 0.890625l-0.328125 -0.765625l2.15625 -1.140625l0.8125 0l0 5.984375l1.515625 0l0 0.84375zm4.7338867 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m624.0 315.48566l-0.06298828 19.590546" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m624.0 315.48566l-0.043701172 13.590607" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m622.30457 329.07095l1.637146 4.5433655l1.6663208 -4.5327454z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m629.0079 313.03937l94.11023 0l0 22.960632l-94.11023 0z" fill-rule="evenodd"/><path fill="#000000" d="m633.1954 323.27936l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3745117 -4.234375l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm8.499451 3.71875q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.6088867 -2.203125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm9.858826 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.6713867 2.21875l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932617 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7650757 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3900757 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390137 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7494507 -2.140625l-4.484375 0l0 -0.8125l1.765625 -1.75q0.421875 -0.421875 0.6875 -0.734375q0.28125 -0.3125 0.421875 -0.5625q0.15625 -0.265625 0.203125 -0.484375q0.0625 -0.234375 0.0625 -0.5q0 -0.25 -0.078125 -0.46875q-0.0625 -0.234375 -0.203125 -0.40625q-0.140625 -0.171875 -0.375 -0.265625q-0.21875 -0.109375 -0.53125 -0.109375q-0.421875 0 -0.78125 0.203125q-0.34375 0.1875 -0.640625 0.484375l-0.5 -0.59375q0.375 -0.40625 0.875 -0.640625q0.515625 -0.25 1.171875 -0.25q0.453125 0 0.828125 0.140625q0.375 0.125 0.640625 0.390625q0.265625 0.25 0.40625 0.625q0.15625 0.359375 0.15625 0.828125q0 0.390625 -0.109375 0.71875q-0.09375 0.328125 -0.3125 0.671875q-0.203125 0.328125 -0.53125 0.6875q-0.3125 0.34375 -0.75 0.765625l-1.234375 1.203125l3.3125 0l0 0.859375zm4.6713867 2.140625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m618.063 490.9475l-0.06298828 19.590546" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m618.063 490.9475l-0.043701172 13.590576" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m616.36755 504.53278l1.637146 4.543396l1.6663208 -4.532776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m624.99475 493.0525l94.11023 0l0 22.960632l-94.11023 0z" fill-rule="evenodd"/><path fill="#000000" d="m630.24475 500.40186q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307007 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963867 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624451 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2494507 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057617 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546326 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m618.063 579.6772l-0.06298828 19.590515" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m618.063 579.6772l-0.043701172 13.590576" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m616.36755 593.26245l1.637146 4.543396l1.6663208 -4.532776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m618.063 668.4068l-0.06298828 19.590576" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m618.063 668.4068l-0.043701172 13.590637" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m616.36755 681.99207l1.637146 4.543396l1.6663208 -4.532715z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m618.063 757.1365l-0.06298828 19.590576" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m618.063 757.1365l-0.043701172 13.590576" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m616.36755 770.72174l1.637146 4.543396l1.6663208 -4.532776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m624.99475 759.24146l94.11023 0l0 22.960632l-94.11023 0z" fill-rule="evenodd"/><path fill="#000000" d="m630.24475 766.5908q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307007 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963867 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624451 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2494507 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6088867 -4.203125q0 0.4375 -0.1875 0.84375q-0.171875 0.390625 -0.546875 0.6875q-0.359375 0.28125 -0.90625 0.453125q-0.546875 0.171875 -1.28125 0.171875q-0.40625 0 -0.734375 -0.03125q-0.3125 -0.015625 -0.59375 -0.0625l0 -0.8125q0.3125 0.0625 0.671875 0.09375q0.359375 0.03125 0.734375 0.03125q0.515625 0 0.875 -0.09375q0.359375 -0.09375 0.578125 -0.265625q0.234375 -0.171875 0.34375 -0.40625q0.109375 -0.25 0.109375 -0.5625q0 -0.28125 -0.125 -0.484375q-0.125 -0.203125 -0.359375 -0.34375q-0.21875 -0.140625 -0.546875 -0.203125q-0.3125 -0.078125 -0.671875 -0.078125l-0.78125 0l0 -0.75l0.78125 0q0.3125 0 0.5625 -0.078125q0.25 -0.078125 0.421875 -0.234375q0.1875 -0.15625 0.28125 -0.375q0.09375 -0.21875 0.09375 -0.5q0 -0.546875 -0.328125 -0.796875q-0.328125 -0.25 -0.96875 -0.25q-0.34375 0 -0.71875 0.078125q-0.359375 0.0625 -0.78125 0.1875l0 -0.78125q0.1875 -0.0625 0.375 -0.109375q0.203125 -0.0625 0.40625 -0.09375q0.203125 -0.03125 0.390625 -0.046875q0.203125 -0.015625 0.390625 -0.015625q0.53125 0 0.9375 0.125q0.421875 0.109375 0.6875 0.328125q0.28125 0.21875 0.421875 0.53125q0.140625 0.3125 0.140625 0.703125q0 0.578125 -0.296875 0.984375q-0.296875 0.390625 -0.8125 0.625q0.265625 0.03125 0.515625 0.15625q0.265625 0.125 0.46875 0.328125q0.203125 0.1875 0.328125 0.453125q0.125 0.265625 0.125 0.59375zm4.8119507 4.203125l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m128.0 136.0l96.0 0l0 66.80315l-96.0 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 136.0l96.0 0l0 66.80315l-96.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m166.34375 149.16782q0 0.625 -0.234375 1.25q-0.234375 0.609375 -0.734375 1.09375q-0.484375 0.484375 -1.25 0.78125q-0.765625 0.296875 -1.828125 0.296875l-1.265625 0l0 3.671875l-1.390625 0l0 -10.21875l2.875 0q0.765625 0 1.453125 0.171875q0.703125 0.171875 1.21875 0.546875q0.53125 0.375 0.84375 0.96875q0.3125 0.59375 0.3125 1.4375zm-1.4375 0.0625q0 -0.984375 -0.65625 -1.5q-0.640625 -0.53125 -1.796875 -0.53125l-1.421875 0l0 4.203125l1.296875 0q1.234375 0 1.90625 -0.53125q0.671875 -0.546875 0.671875 -1.640625zm6.21875 0.3125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.640625 3.296875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm14.453125 1.109375q0.21875 0.265625 0.328125 0.640625q0.125 0.359375 0.125 0.78125q0 0.609375 -0.21875 1.109375q-0.21875 0.5 -0.625 0.859375q-0.40625 0.359375 -0.984375 0.5625q-0.5625 0.203125 -1.234375 0.203125q-0.5 0 -0.9375 -0.109375q-0.421875 -0.109375 -0.671875 -0.265625q-0.140625 0.21875 -0.25 0.421875q-0.09375 0.1875 -0.09375 0.4375q0 0.296875 0.28125 0.5q0.28125 0.1875 0.75 0.203125l2.0625 0.078125q0.59375 0.015625 1.078125 0.15625q0.5 0.125 0.859375 0.375q0.359375 0.25 0.546875 0.625q0.203125 0.359375 0.203125 0.84375q0 0.53125 -0.234375 1.0q-0.21875 0.46875 -0.6875 0.8125q-0.46875 0.359375 -1.203125 0.5625q-0.71875 0.21875 -1.71875 0.21875q-0.953125 0 -1.625 -0.15625q-0.671875 -0.140625 -1.109375 -0.421875q-0.421875 -0.265625 -0.625 -0.640625q-0.1875 -0.359375 -0.1875 -0.8125q0 -0.5625 0.265625 -1.0q0.265625 -0.4375 0.828125 -0.828125q-0.203125 -0.09375 -0.359375 -0.234375q-0.140625 -0.140625 -0.234375 -0.3125q-0.09375 -0.171875 -0.140625 -0.359375q-0.046875 -0.1875 -0.046875 -0.359375q0 -0.515625 0.234375 -0.9375q0.234375 -0.421875 0.5625 -0.796875q-0.140625 -0.171875 -0.265625 -0.34375q-0.109375 -0.171875 -0.1875 -0.375q-0.078125 -0.203125 -0.125 -0.421875q-0.046875 -0.234375 -0.046875 -0.53125q0 -0.609375 0.21875 -1.109375q0.234375 -0.515625 0.625 -0.875q0.40625 -0.359375 0.96875 -0.546875q0.578125 -0.203125 1.265625 -0.203125q0.28125 0 0.546875 0.046875q0.265625 0.03125 0.46875 0.09375l2.84375 0l0 1.109375l-1.25 0zm-4.71875 7.875q0 0.546875 0.578125 0.796875q0.578125 0.265625 1.609375 0.265625q0.640625 0 1.078125 -0.125q0.453125 -0.109375 0.71875 -0.3125q0.265625 -0.1875 0.375 -0.4375q0.125 -0.234375 0.125 -0.5q0 -0.46875 -0.390625 -0.703125q-0.390625 -0.234375 -1.1875 -0.265625l-2.046875 -0.078125q-0.265625 0.171875 -0.4375 0.34375q-0.15625 0.171875 -0.25 0.328125q-0.09375 0.171875 -0.140625 0.34375q-0.03125 0.171875 -0.03125 0.34375zm0.40625 -6.421875q0 0.375 0.125 0.6875q0.125 0.3125 0.34375 0.53125q0.234375 0.21875 0.546875 0.34375q0.3125 0.109375 0.6875 0.109375q0.40625 0 0.71875 -0.125q0.3125 -0.140625 0.53125 -0.375q0.21875 -0.234375 0.328125 -0.53125q0.109375 -0.3125 0.109375 -0.640625q0 -0.375 -0.125 -0.6875q-0.125 -0.3125 -0.359375 -0.53125q-0.21875 -0.21875 -0.53125 -0.34375q-0.296875 -0.125 -0.671875 -0.125q-0.40625 0 -0.734375 0.140625q-0.3125 0.140625 -0.53125 0.375q-0.203125 0.234375 -0.328125 0.546875q-0.109375 0.296875 -0.109375 0.625z" fill-rule="nonzero"/><path fill="#000000" d="m162.13281 166.23032l-3.015625 0l0 9.03125l-1.40625 0l0 -9.03125l-3.03125 0l0 -1.1875l7.453125 0l0 1.1875zm4.59375 2.3125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" d="m155.5625 197.54282q-3.609375 -3.34375 -3.609375 -7.375q0 -0.953125 0.1875 -1.890625q0.203125 -0.9375 0.625 -1.875q0.421875 -0.953125 1.125 -1.890625q0.703125 -0.953125 1.703125 -1.890625l0.78125 0.8125q-3.03125 2.984375 -3.03125 6.625q0 1.8125 0.765625 3.5q0.765625 1.671875 2.265625 3.15625l-0.8125 0.828125zm10.546875 -3.28125l-5.875 0l0 -10.21875l1.40625 0l0 9.03125l4.46875 0l0 1.1875zm8.453125 -9.03125l-4.328125 0l0 3.3125l4.109375 0l0 1.171875l-4.109375 0l0 4.546875l-1.421875 0l0 -10.21875l5.75 0l0 1.1875zm9.234375 6.265625q0 0.71875 -0.296875 1.265625q-0.296875 0.546875 -0.828125 0.921875q-0.53125 0.359375 -1.28125 0.546875q-0.75 0.171875 -1.640625 0.171875q-0.40625 0 -0.8125 -0.03125q-0.40625 -0.03125 -0.78125 -0.078125q-0.359375 -0.046875 -0.6875 -0.109375q-0.328125 -0.0625 -0.59375 -0.140625l0 -1.34375q0.578125 0.21875 1.3125 0.34375q0.734375 0.125 1.65625 0.125q0.671875 0 1.140625 -0.09375q0.484375 -0.109375 0.78125 -0.3125q0.296875 -0.21875 0.4375 -0.515625q0.140625 -0.296875 0.140625 -0.671875q0 -0.421875 -0.234375 -0.703125q-0.234375 -0.296875 -0.609375 -0.53125q-0.375 -0.234375 -0.859375 -0.421875q-0.46875 -0.1875 -0.96875 -0.390625q-0.5 -0.203125 -0.984375 -0.4375q-0.484375 -0.25 -0.859375 -0.5625q-0.375 -0.328125 -0.609375 -0.765625q-0.21875 -0.4375 -0.21875 -1.046875q0 -0.515625 0.21875 -1.015625q0.21875 -0.515625 0.671875 -0.90625q0.46875 -0.40625 1.1875 -0.640625q0.71875 -0.25 1.71875 -0.25q0.265625 0 0.5625 0.03125q0.296875 0.015625 0.609375 0.0625q0.3125 0.046875 0.609375 0.109375q0.296875 0.046875 0.5625 0.109375l0 1.25q-0.609375 -0.171875 -1.21875 -0.265625q-0.59375 -0.09375 -1.15625 -0.09375q-1.1875 0 -1.75 0.40625q-0.5625 0.390625 -0.5625 1.0625q0 0.421875 0.21875 0.71875q0.234375 0.296875 0.609375 0.53125q0.375 0.234375 0.859375 0.421875q0.484375 0.1875 0.984375 0.390625q0.5 0.203125 0.96875 0.453125q0.484375 0.234375 0.859375 0.578125q0.375 0.328125 0.609375 0.78125q0.234375 0.4375 0.234375 1.046875zm9.21875 2.765625l-1.578125 0l-1.515625 -3.265625q-0.171875 -0.375 -0.359375 -0.625q-0.171875 -0.25 -0.390625 -0.390625q-0.203125 -0.140625 -0.453125 -0.203125q-0.234375 -0.0625 -0.546875 -0.0625l-0.65625 0l0 4.546875l-1.390625 0l0 -10.21875l2.734375 0q0.890625 0 1.53125 0.203125q0.640625 0.1875 1.046875 0.546875q0.421875 0.34375 0.609375 0.84375q0.1875 0.5 0.1875 1.09375q0 0.484375 -0.140625 0.90625q-0.140625 0.421875 -0.421875 0.78125q-0.265625 0.34375 -0.6875 0.59375q-0.40625 0.25 -0.9375 0.375q0.4375 0.15625 0.734375 0.53125q0.296875 0.359375 0.609375 0.984375l1.625 3.359375zm-2.234375 -7.40625q0 -0.828125 -0.515625 -1.234375q-0.5 -0.40625 -1.4375 -0.40625l-1.3125 0l0 3.375l1.125 0q0.5 0 0.890625 -0.109375q0.390625 -0.109375 0.671875 -0.328125q0.28125 -0.234375 0.421875 -0.546875q0.15625 -0.328125 0.15625 -0.75zm5.671875 -4.234375q3.59375 3.34375 3.59375 7.421875q0 0.84375 -0.171875 1.75q-0.171875 0.90625 -0.59375 1.859375q-0.40625 0.953125 -1.109375 1.921875q-0.6875 0.984375 -1.75 1.96875l-0.796875 -0.796875q1.53125 -1.515625 2.28125 -3.15625q0.75 -1.640625 0.75 -3.4375q0 -3.71875 -3.03125 -6.6875l0.828125 -0.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m144.00787 202.79002l-0.06298828 19.59056" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m144.00787 202.79002l-0.043701172 13.590591" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m142.31245 216.3753l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.00787 202.79002l-0.06298828 19.59056" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.00787 202.79002l-0.043701172 13.590591" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m158.31245 216.3753l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m176.00787 202.79002l-0.06298828 19.59056" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m176.00787 202.79002l-0.043701172 13.590591" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m174.31245 216.3753l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m192.00787 202.79002l-0.06298828 19.59056" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m192.00787 202.79002l-0.043701172 13.590591" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m190.31245 216.3753l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m208.00787 202.79002l-0.06298828 19.59056" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m208.00787 202.79002l-0.043701172 13.590591" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m206.31245 216.3753l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m120.0 224.06561l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m145.84686 229.93062l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625zm6.718231 6.515625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.296356 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.093231 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624481 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983856 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.249481 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901062 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390106 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.499481 -6.515625l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625zm4.921356 6.515625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m-0.97637796 888.0l1096.9763 0l0 40.0l-1096.9763 0z" fill-rule="evenodd"/><path fill="#000000" d="m40.769592 912.36l-1.8125 0l-2.96875 -6.375l-0.859375 -2.046875l0 5.15625l0 3.265625l-1.296875 0l0 -10.21875l1.78125 0l2.84375 6.03125l1.015625 2.34375l0 -5.46875l0 -2.90625l1.296875 0l0 10.21875zm9.0 -3.984375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm9.6875 3.8125q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm9.09375 -4.234375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.734375 -3.453125q0.234375 0 0.453125 0.09375q0.21875 0.09375 0.375 0.265625q0.171875 0.15625 0.265625 0.375q0.109375 0.21875 0.109375 0.46875q0 0.25 -0.109375 0.46875q-0.09375 0.203125 -0.265625 0.375q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.453125 0.09375q-0.25 0 -0.46875 -0.09375q-0.21875 -0.09375 -0.390625 -0.25q-0.15625 -0.171875 -0.25 -0.375q-0.09375 -0.21875 -0.09375 -0.46875q0 -0.25 0.09375 -0.46875q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.390625 -0.265625q0.21875 -0.09375 0.46875 -0.09375zm0 5.71875q0.234375 0 0.453125 0.109375q0.21875 0.09375 0.375 0.265625q0.171875 0.15625 0.265625 0.375q0.109375 0.21875 0.109375 0.453125q0 0.25 -0.109375 0.46875q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.15625 -0.375 0.25q-0.21875 0.09375 -0.453125 0.09375q-0.25 0 -0.46875 -0.09375q-0.21875 -0.09375 -0.390625 -0.25q-0.15625 -0.171875 -0.25 -0.390625q-0.09375 -0.21875 -0.09375 -0.46875q0 -0.234375 0.09375 -0.453125q0.09375 -0.21875 0.25 -0.375q0.171875 -0.171875 0.390625 -0.265625q0.21875 -0.109375 0.46875 -0.109375zm20.765625 2.15625q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm8.78125 0.109375l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm9.109375 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm17.421875 4.53125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm17.484375 -2.03125q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.6875 5.703125q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.890625 -8.90625l-5.359375 12.734375l-1.296875 0l5.359375 -12.734375l1.296875 0zm2.8125 3.203125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.6875 5.703125q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm17.46875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm7.75 0.296875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm3.90625 -4.265625l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm24.296875 3.78125q0 0.96875 -0.265625 1.75q-0.265625 0.765625 -0.765625 1.296875q-0.5 0.53125 -1.21875 0.828125q-0.703125 0.28125 -1.59375 0.28125q-0.6875 0 -1.421875 -0.125q-0.71875 -0.140625 -1.4375 -0.4375l0 -10.578125l1.359375 0l0 3.046875l-0.0625 1.453125q0.59375 -0.796875 1.25 -1.109375q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.40625 0.15625 0.8125 0.25q0.421875 0.078125 0.796875 0.078125q0.46875 0 0.890625 -0.140625q0.421875 -0.15625 0.75 -0.5q0.328125 -0.34375 0.515625 -0.921875q0.1875 -0.578125 0.1875 -1.421875zm10.109375 -0.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm18.6875 4.53125l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm8.703125 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.1875 0.765625q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm23.21875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.96875 11.03125q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm8.78125 0.109375l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm9.109375 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#38761d" d="m506.59772 910.21936q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.5625 -5.703125l-2.671875 7.015625q-0.421875 1.078125 -0.859375 1.84375q-0.4375 0.78125 -0.96875 1.265625q-0.515625 0.5 -1.140625 0.734375q-0.625 0.234375 -1.40625 0.234375q-0.203125 0 -0.375 -0.015625q-0.15625 0 -0.34375 -0.015625l0 -1.234375q0.171875 0.03125 0.375 0.046875q0.203125 0.015625 0.421875 0.015625q0.375 0 0.703125 -0.109375q0.328125 -0.109375 0.609375 -0.359375q0.296875 -0.234375 0.5625 -0.625q0.265625 -0.390625 0.515625 -0.953125l-3.140625 -7.84375l1.546875 0l1.984375 5.1875l0.40625 1.21875l0.453125 -1.25l1.828125 -5.15625l1.5 0zm1.8125 0l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm14.890625 7.546875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm2.8125 -7.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.296875 3.859375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm3.359375 -3.921875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.625 3.859375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm9.671875 3.921875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm8.703125 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm5.25 -7.78125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm13.109375 2.078125l-2.671875 7.015625q-0.421875 1.078125 -0.859375 1.84375q-0.4375 0.78125 -0.96875 1.265625q-0.515625 0.5 -1.140625 0.734375q-0.625 0.234375 -1.40625 0.234375q-0.203125 0 -0.375 -0.015625q-0.15625 0 -0.34375 -0.015625l0 -1.234375q0.171875 0.03125 0.375 0.046875q0.203125 0.015625 0.421875 0.015625q0.375 0 0.703125 -0.109375q0.328125 -0.109375 0.609375 -0.359375q0.296875 -0.234375 0.5625 -0.625q0.265625 -0.390625 0.515625 -0.953125l-3.140625 -7.84375l1.546875 0l1.984375 5.1875l0.40625 1.21875l0.453125 -1.25l1.828125 -5.15625l1.5 0z" fill-rule="nonzero"/><path fill="#000000" d="m630.36334 908.3756q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm3.6875 -3.921875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#ff0000" d="m654.9727 912.36l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.125 1.4375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.5625 -5.703125l-2.671875 7.015625q-0.421875 1.078125 -0.859375 1.84375q-0.4375 0.78125 -0.96875 1.265625q-0.515625 0.5 -1.140625 0.734375q-0.625 0.234375 -1.40625 0.234375q-0.203125 0 -0.375 -0.015625q-0.15625 0 -0.34375 -0.015625l0 -1.234375q0.171875 0.03125 0.375 0.046875q0.203125 0.015625 0.421875 0.015625q0.375 0 0.703125 -0.109375q0.328125 -0.109375 0.609375 -0.359375q0.296875 -0.234375 0.5625 -0.625q0.265625 -0.390625 0.515625 -0.953125l-3.140625 -7.84375l1.546875 0l1.984375 5.1875l0.40625 1.21875l0.453125 -1.25l1.828125 -5.15625l1.5 0zm1.8125 0l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm14.890625 7.546875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.015625 0.296875l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm2.8125 -7.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.296875 3.859375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm3.359375 -3.921875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.625 3.859375q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm9.671875 3.921875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm8.703125 -2.140625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm5.25 -7.78125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm13.109375 2.078125l-2.671875 7.015625q-0.421875 1.078125 -0.859375 1.84375q-0.4375 0.78125 -0.96875 1.265625q-0.515625 0.5 -1.140625 0.734375q-0.625 0.234375 -1.40625 0.234375q-0.203125 0 -0.375 -0.015625q-0.15625 0 -0.34375 -0.015625l0 -1.234375q0.171875 0.03125 0.375 0.046875q0.203125 0.015625 0.421875 0.015625q0.375 0 0.703125 -0.109375q0.328125 -0.109375 0.609375 -0.359375q0.296875 -0.234375 0.5625 -0.625q0.265625 -0.390625 0.515625 -0.953125l-3.140625 -7.84375l1.546875 0l1.984375 5.1875l0.40625 1.21875l0.453125 -1.25l1.828125 -5.15625l1.5 0z" fill-rule="nonzero"/><path fill="#000000" d="m787.36334 908.735l-4.671875 0l0 -1.28125l4.671875 0l0 1.28125zm11.71875 -0.140625q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm10.28125 0.46875q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm10.109375 -0.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm10.46875 -2.875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.640625 3.296875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm14.453125 1.109375q0.21875 0.265625 0.328125 0.640625q0.125 0.359375 0.125 0.78125q0 0.609375 -0.21875 1.109375q-0.21875 0.5 -0.625 0.859375q-0.40625 0.359375 -0.984375 0.5625q-0.5625 0.203125 -1.234375 0.203125q-0.5 0 -0.9375 -0.109375q-0.421875 -0.109375 -0.671875 -0.265625q-0.140625 0.21875 -0.25 0.421875q-0.09375 0.1875 -0.09375 0.4375q0 0.296875 0.28125 0.5q0.28125 0.1875 0.75 0.203125l2.0625 0.078125q0.59375 0.015625 1.078125 0.15625q0.5 0.125 0.859375 0.375q0.359375 0.25 0.546875 0.625q0.203125 0.359375 0.203125 0.84375q0 0.53125 -0.234375 1.0q-0.21875 0.46875 -0.6875 0.8125q-0.46875 0.359375 -1.203125 0.5625q-0.71875 0.21875 -1.71875 0.21875q-0.953125 0 -1.625 -0.15625q-0.671875 -0.140625 -1.109375 -0.421875q-0.421875 -0.265625 -0.625 -0.640625q-0.1875 -0.359375 -0.1875 -0.8125q0 -0.5625 0.265625 -1.0q0.265625 -0.4375 0.828125 -0.828125q-0.203125 -0.09375 -0.359375 -0.234375q-0.140625 -0.140625 -0.234375 -0.3125q-0.09375 -0.171875 -0.140625 -0.359375q-0.046875 -0.1875 -0.046875 -0.359375q0 -0.515625 0.234375 -0.9375q0.234375 -0.421875 0.5625 -0.796875q-0.140625 -0.171875 -0.265625 -0.34375q-0.109375 -0.171875 -0.1875 -0.375q-0.078125 -0.203125 -0.125 -0.421875q-0.046875 -0.234375 -0.046875 -0.53125q0 -0.609375 0.21875 -1.109375q0.234375 -0.515625 0.625 -0.875q0.40625 -0.359375 0.96875 -0.546875q0.578125 -0.203125 1.265625 -0.203125q0.28125 0 0.546875 0.046875q0.265625 0.03125 0.46875 0.09375l2.84375 0l0 1.109375l-1.25 0zm-4.71875 7.875q0 0.546875 0.578125 0.796875q0.578125 0.265625 1.609375 0.265625q0.640625 0 1.078125 -0.125q0.453125 -0.109375 0.71875 -0.3125q0.265625 -0.1875 0.375 -0.4375q0.125 -0.234375 0.125 -0.5q0 -0.46875 -0.390625 -0.703125q-0.390625 -0.234375 -1.1875 -0.265625l-2.046875 -0.078125q-0.265625 0.171875 -0.4375 0.34375q-0.15625 0.171875 -0.25 0.328125q-0.09375 0.171875 -0.140625 0.34375q-0.03125 0.171875 -0.03125 0.34375zm0.40625 -6.421875q0 0.375 0.125 0.6875q0.125 0.3125 0.34375 0.53125q0.234375 0.21875 0.546875 0.34375q0.3125 0.109375 0.6875 0.109375q0.40625 0 0.71875 -0.125q0.3125 -0.140625 0.53125 -0.375q0.21875 -0.234375 0.328125 -0.53125q0.109375 -0.3125 0.109375 -0.640625q0 -0.375 -0.125 -0.6875q-0.125 -0.3125 -0.359375 -0.53125q-0.21875 -0.21875 -0.53125 -0.34375q-0.296875 -0.125 -0.671875 -0.125q-0.40625 0 -0.734375 0.140625q-0.3125 0.140625 -0.53125 0.375q-0.203125 0.234375 -0.328125 0.546875q-0.109375 0.296875 -0.109375 0.625zm23.078125 1.296875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm3.359375 -3.921875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm23.921875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm8.78125 0.109375l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm9.109375 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm12.703125 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.1875 0.765625q0 -0.84375 0.21875 -1.59375q0.21875 -0.765625 0.6875 -1.328125q0.46875 -0.578125 1.1875 -0.90625q0.734375 -0.34375 1.734375 -0.34375q0.40625 0 0.796875 0.0625q0.390625 0.0625 0.828125 0.1875l1.203125 -0.296875l0 11.1875l-1.375 0l0 -2.953125l0.078125 -1.6875q-1.109375 1.578125 -2.640625 1.578125q-0.6875 0 -1.203125 -0.28125q-0.5 -0.28125 -0.84375 -0.796875q-0.34375 -0.515625 -0.515625 -1.234375q-0.15625 -0.71875 -0.15625 -1.59375zm1.390625 -0.078125q0 0.65625 0.09375 1.1875q0.09375 0.515625 0.296875 0.875q0.203125 0.359375 0.5 0.5625q0.296875 0.1875 0.703125 0.1875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.3125 -0.140625 -0.703125 -0.234375q-0.390625 -0.09375 -0.84375 -0.09375q-1.140625 0 -1.75 0.765625q-0.59375 0.75 -0.59375 2.21875zm14.109375 3.84375l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm5.15625 -6.71875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm5.96875 3.296875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.171875 4.53125l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828186 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.500061 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34381104 -0.03125 0.65631104 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.70318604 0.109375 -1.453186 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.437561 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25006104 -0.3125 -0.64068604 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125061 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm8.6875 -2.03125q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm5.671875 -0.328125q0.265625 0 0.5 0.109375q0.234375 0.09375 0.40625 0.28125q0.1875 0.171875 0.28125 0.421875q0.109375 0.234375 0.109375 0.5q0 0.265625 -0.109375 0.5q-0.09375 0.234375 -0.28125 0.421875q-0.171875 0.171875 -0.40625 0.265625q-0.234375 0.109375 -0.5 0.109375q-0.28125 0 -0.515625 -0.109375q-0.234375 -0.09375 -0.40625 -0.265625q-0.171875 -0.1875 -0.28125 -0.421875q-0.09375 -0.234375 -0.09375 -0.5q0 -0.265625 0.09375 -0.5q0.109375 -0.25 0.28125 -0.421875q0.171875 -0.1875 0.40625 -0.28125q0.234375 -0.109375 0.515625 -0.109375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m608.0 568.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 568.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 566.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 656.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 656.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 654.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 744.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 744.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 742.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 832.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 832.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 830.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#ffffff" d="m577.2441 524.2677l-26.666626 0c2.9454956 0 5.333313 7.163452 5.333313 16.0c0 8.836548 -2.3878174 16.0 -5.333313 16.0l26.666626 0l0 0c2.9455566 0 5.333374 -7.163452 5.333374 -16.0c0 -8.836548 -2.3878174 -16.0 -5.333374 -16.0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m577.2441 524.2677l-26.666626 0c2.9454956 0 5.333313 7.163452 5.333313 16.0c0 8.836548 -2.3878174 16.0 -5.333313 16.0l26.666626 0l0 0c2.9455566 0 5.333374 -7.163452 5.333374 -16.0c0 -8.836548 -2.3878174 -16.0 -5.333374 -16.0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m536.0 536.0l16.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m536.0 536.0l10.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m546.0 537.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m536.0 544.0l16.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m536.0 544.0l10.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m546.0 545.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m536.0 552.0l16.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m536.0 552.0l10.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m546.0 553.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m496.0 792.0l40.0 -240.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m496.0 792.0l40.0 -240.0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m520.0 672.0l56.0 0l0 32.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m524.8906 682.0525q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm3.5776367 -6.421875l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.4369507 6.609375l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm6.7338257 0.953125q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.8588867 0q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm1.4526367 -1.078125q0 -0.671875 0.1875 -1.1875q0.1875 -0.515625 0.515625 -0.875q0.34375 -0.359375 0.8125 -0.53125q0.46875 -0.1875 1.046875 -0.1875q0.25 0 0.484375 0.03125q0.25 0.03125 0.484375 0.09375l0 -2.203125l0.90625 0l0 7.359375l-0.8125 0l-0.03125 -0.984375q-0.375 0.546875 -0.828125 0.8125q-0.4375 0.265625 -0.953125 0.265625q-0.4375 0 -0.78125 -0.1875q-0.34375 -0.1875 -0.578125 -0.53125q-0.21875 -0.34375 -0.34375 -0.8125q-0.109375 -0.484375 -0.109375 -1.0625zm0.9375 -0.0625q0 0.953125 0.265625 1.421875q0.28125 0.453125 0.796875 0.453125q0.34375 0 0.71875 -0.296875q0.390625 -0.3125 0.8125 -0.921875l0 -2.421875q-0.234375 -0.09375 -0.5 -0.140625q-0.265625 -0.0625 -0.53125 -0.0625q-0.734375 0 -1.15625 0.484375q-0.40625 0.46875 -0.40625 1.484375zm10.218201 4.703125l-5.859375 0l0 -0.75l5.859375 0l0 0.75z" fill-rule="nonzero"/><path fill="#000000" d="m525.25 692.34937q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807007 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.390076 7.359375l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.9057007 -5.53125q0 0.765625 -0.15625 1.421875q-0.15625 0.640625 -0.484375 1.109375q-0.3125 0.453125 -0.78125 0.703125q-0.46875 0.25 -1.109375 0.25q-0.546875 0 -1.0 -0.203125q-0.4375 -0.203125 -0.765625 -0.625q-0.3125 -0.4375 -0.484375 -1.09375q-0.171875 -0.671875 -0.171875 -1.5625q0 -0.78125 0.15625 -1.421875q0.171875 -0.65625 0.484375 -1.109375q0.3125 -0.46875 0.78125 -0.71875q0.484375 -0.25 1.109375 -0.25q0.546875 0 0.984375 0.203125q0.453125 0.203125 0.765625 0.640625q0.328125 0.421875 0.5 1.09375q0.171875 0.65625 0.171875 1.5625zm-0.921875 0.03125q0 -0.1875 -0.015625 -0.359375q-0.015625 -0.171875 -0.03125 -0.34375l-2.875 2.140625q0.078125 0.265625 0.203125 0.5q0.125 0.234375 0.28125 0.40625q0.171875 0.15625 0.390625 0.25q0.21875 0.078125 0.484375 0.078125q0.359375 0 0.640625 -0.171875q0.296875 -0.171875 0.5 -0.5q0.203125 -0.34375 0.3125 -0.84375q0.109375 -0.5 0.109375 -1.15625zm-3.109375 -0.0625q0 0.15625 0 0.328125q0 0.15625 0.015625 0.296875l2.875 -2.125q-0.078125 -0.265625 -0.203125 -0.484375q-0.109375 -0.21875 -0.28125 -0.375q-0.15625 -0.15625 -0.375 -0.25q-0.21875 -0.09375 -0.484375 -0.09375q-0.34375 0 -0.640625 0.171875q-0.28125 0.171875 -0.484375 0.515625q-0.203125 0.34375 -0.3125 0.84375q-0.109375 0.5 -0.109375 1.171875zm8.546387 5.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#ffffff" d="m344.0 306.66666l0 -26.666656c0 2.9455261 -7.163452 5.3333435 -16.0 5.3333435c-8.836548 0 -16.0 -2.3878174 -16.0 -5.3333435l0 26.666656l0 0c0 2.9455261 7.163452 5.3333435 16.0 5.3333435c8.836548 0 16.0 -2.3878174 16.0 -5.3333435z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m344.0 306.66666l0 -26.666656c0 2.9455261 -7.163452 5.3333435 -16.0 5.3333435c-8.836548 0 -16.0 -2.3878174 -16.0 -5.3333435l0 26.666656l0 0c0 2.9455261 7.163452 5.3333435 16.0 5.3333435c8.836548 0 16.0 -2.3878174 16.0 -5.3333435z" fill-rule="evenodd"/><path fill="#ffffff" d="m376.0 266.66666l0 -26.666656c0 2.9455261 -7.163452 5.3333282 -16.0 5.3333282c-8.836548 0 -16.0 -2.3878021 -16.0 -5.3333282l0 26.666656l0 0c0 2.9455261 7.163452 5.3333435 16.0 5.3333435c8.836548 0 16.0 -2.3878174 16.0 -5.3333435z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m376.0 266.66666l0 -26.666656c0 2.9455261 -7.163452 5.3333282 -16.0 5.3333282c-8.836548 0 -16.0 -2.3878021 -16.0 -5.3333282l0 26.666656l0 0c0 2.9455261 7.163452 5.3333435 16.0 5.3333435c8.836548 0 16.0 -2.3878174 16.0 -5.3333435z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m264.0 184.0l0 224.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m264.0 184.0l0 218.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m262.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m296.0 152.0l0 256.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m296.0 152.0l0 250.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m294.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m328.0 312.0l0 96.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m328.0 312.0l0 90.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m326.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m360.0 272.0l0 136.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m360.0 272.0l0 130.0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m358.34827 402.0l1.6517334 4.538086l1.6517334 -4.538086z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m320.0 264.0l0.09448242 16.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m320.0 264.0l0.059051514 10.000092" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m318.40735 274.00986l1.6784973 4.5282593l1.6249084 -4.54776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m336.0 264.0l0.09448242 16.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m336.0 264.0l0.059051514 10.000092" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m334.40735 274.00986l1.6784973 4.5282593l1.6249084 -4.54776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m344.0 216.0l32.0 0l0 16.0l-32.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m355.57422 222.44562q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm4.3984375 0q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm4.3984375 0q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m312.0 256.0l32.0 0l0 16.0l-32.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m323.57422 262.44562q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm4.3984375 0q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625zm4.3984375 0q0.125 0 0.25 0.0625q0.125 0.046875 0.203125 0.140625q0.09375 0.078125 0.140625 0.203125q0.046875 0.109375 0.046875 0.25q0 0.140625 -0.046875 0.265625q-0.046875 0.109375 -0.140625 0.203125q-0.078125 0.078125 -0.203125 0.125q-0.125 0.0625 -0.25 0.0625q-0.140625 0 -0.265625 -0.0625q-0.109375 -0.046875 -0.203125 -0.125q-0.078125 -0.09375 -0.140625 -0.203125q-0.046875 -0.125 -0.046875 -0.265625q0 -0.140625 0.046875 -0.25q0.0625 -0.125 0.140625 -0.203125q0.09375 -0.09375 0.203125 -0.140625q0.125 -0.0625 0.265625 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m320.0 256.00525l0 -112.0l16.0 0l0 112.0z" fill-rule="evenodd"/><path fill="#000000" d="m327.34937 250.75525q0.203125 0 0.328125 0.015625q0.125 0 0.234375 0.015625l0 3.65625q0.8125 0 1.25 -0.4375q0.421875 -0.453125 0.421875 -1.296875q0 -0.21875 -0.015625 -0.453125q-0.03125 -0.234375 -0.0625 -0.4375q-0.03125 -0.21875 -0.0625 -0.40625q-0.046875 -0.203125 -0.09375 -0.375l0.75 0q0.109375 0.375 0.171875 0.84375q0.0625 0.46875 0.0625 0.96875q0 0.65625 -0.171875 1.140625q-0.1875 0.484375 -0.53125 0.796875q-0.359375 0.3125 -0.859375 0.46875q-0.5 0.140625 -1.140625 0.140625q-0.546875 0 -1.03125 -0.15625q-0.5 -0.15625 -0.859375 -0.453125q-0.375 -0.3125 -0.59375 -0.75q-0.21875 -0.453125 -0.21875 -1.015625q0 -0.546875 0.171875 -0.96875q0.171875 -0.421875 0.484375 -0.703125q0.3125 -0.296875 0.765625 -0.4375q0.453125 -0.15625 1.0 -0.15625zm-0.125 0.953125q-0.34375 -0.015625 -0.625 0.0625q-0.296875 0.078125 -0.5 0.265625q-0.21875 0.171875 -0.328125 0.4375q-0.125 0.25 -0.125 0.59375q0 0.296875 0.125 0.546875q0.109375 0.234375 0.3125 0.421875q0.203125 0.171875 0.5 0.28125q0.296875 0.109375 0.640625 0.125l0 -2.734375zm1.59375 -6.530731q0.265625 0 0.484375 0.09375q0.21875 0.09375 0.390625 0.265625q0.171875 0.15625 0.296875 0.375q0.109375 0.203125 0.1875 0.453125q0.078125 0.234375 0.109375 0.5q0.046875 0.25 0.046875 0.484375q0 0.53125 -0.046875 0.984375q-0.046875 0.4375 -0.15625 0.859375l-0.828125 0q0.125 -0.453125 0.203125 -0.90625q0.0625 -0.453125 0.0625 -0.890625q0 -0.65625 -0.171875 -0.96875q-0.1875 -0.3125 -0.515625 -0.3125q-0.140625 0 -0.25 0.046875q-0.109375 0.046875 -0.203125 0.1875q-0.109375 0.125 -0.21875 0.40625q-0.109375 0.265625 -0.25 0.734375q-0.109375 0.359375 -0.234375 0.65625q-0.140625 0.296875 -0.3125 0.515625q-0.1875 0.21875 -0.421875 0.34375q-0.25 0.125 -0.578125 0.125q-0.21875 0 -0.484375 -0.09375q-0.265625 -0.109375 -0.484375 -0.34375q-0.21875 -0.25 -0.359375 -0.671875q-0.15625 -0.421875 -0.15625 -1.03125q0 -0.3125 0.03125 -0.6875q0.03125 -0.375 0.109375 -0.78125l0.8125 0q-0.109375 0.421875 -0.15625 0.8125q-0.046875 0.375 -0.046875 0.65625q0 0.34375 0.0625 0.578125q0.046875 0.21875 0.140625 0.375q0.078125 0.140625 0.203125 0.203125q0.125 0.0625 0.265625 0.0625q0.140625 0 0.265625 -0.046875q0.109375 -0.0625 0.21875 -0.203125q0.09375 -0.15625 0.203125 -0.421875q0.109375 -0.265625 0.234375 -0.6875q0.140625 -0.46875 0.28125 -0.78125q0.140625 -0.328125 0.328125 -0.515625q0.1875 -0.203125 0.421875 -0.28125q0.21875 -0.09375 0.515625 -0.09375zm1.234375 -5.780731q0.125 0.359375 0.1875 0.734375q0.078125 0.359375 0.078125 0.765625q0 1.234375 -0.671875 1.90625q-0.671875 0.65625 -1.96875 0.65625q-0.609375 0 -1.109375 -0.1875q-0.515625 -0.1875 -0.875 -0.53125q-0.359375 -0.359375 -0.546875 -0.84375q-0.203125 -0.484375 -0.203125 -1.0625q0 -0.40625 0.0625 -0.75q0.046875 -0.359375 0.1875 -0.6875l0.859375 0q-0.171875 0.34375 -0.25 0.703125q-0.09375 0.34375 -0.09375 0.71875q0 0.34375 0.140625 0.65625q0.125 0.296875 0.375 0.53125q0.25 0.234375 0.609375 0.375q0.359375 0.140625 0.8125 0.140625q0.953125 0 1.421875 -0.453125q0.46875 -0.46875 0.46875 -1.28125q0 -0.375 -0.078125 -0.71875q-0.09375 -0.34375 -0.25 -0.671875l0.84375 0zm2.328125 -6.827606l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-6.609375 -2.6088562l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm2.203125 -3.7651062l0 -0.8125l0.84375 -0.03125q-0.28125 -0.234375 -0.453125 -0.4375q-0.1875 -0.21875 -0.296875 -0.421875q-0.109375 -0.203125 -0.140625 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.8125 0.484375 -1.21875q0.46875 -0.421875 1.421875 -0.421875l3.40625 0l0 0.90625l-3.328125 0q-0.625 0 -0.90625 0.234375q-0.296875 0.21875 -0.296875 0.671875q0 0.171875 0.046875 0.328125q0.046875 0.15625 0.171875 0.328125q0.125 0.171875 0.328125 0.375q0.203125 0.203125 0.515625 0.453125l3.46875 0l0 0.90625l-5.21875 0zm5.140625 -10.077606q0.078125 0.3125 0.109375 0.640625q0.046875 0.328125 0.046875 0.671875q0 0.984375 -0.4375 1.46875q-0.453125 0.46875 -1.375 0.46875l-2.734375 0l0 1.46875l-0.75 0l0 -1.46875l-1.4375 0l-0.234375 -0.890625l1.671875 0l0 -2.359375l0.75 0l0 2.359375l2.65625 0q0.5625 0 0.84375 -0.296875q0.28125 -0.3125 0.28125 -0.890625q0 -0.25 -0.03125 -0.546875q-0.046875 -0.296875 -0.140625 -0.625l0.78125 0zm-2.8125 -6.061981q0.203125 0 0.328125 0.015625q0.125 0 0.234375 0.015625l0 3.65625q0.8125 0 1.25 -0.4375q0.421875 -0.453125 0.421875 -1.296875q0 -0.21875 -0.015625 -0.453125q-0.03125 -0.234375 -0.0625 -0.4375q-0.03125 -0.21875 -0.0625 -0.40625q-0.046875 -0.203125 -0.09375 -0.375l0.75 0q0.109375 0.375 0.171875 0.84375q0.0625 0.46875 0.0625 0.96875q0 0.65625 -0.171875 1.140625q-0.1875 0.484375 -0.53125 0.796875q-0.359375 0.3125 -0.859375 0.46875q-0.5 0.140625 -1.140625 0.140625q-0.546875 0 -1.03125 -0.15625q-0.5 -0.15625 -0.859375 -0.453125q-0.375 -0.3125 -0.59375 -0.75q-0.21875 -0.453125 -0.21875 -1.015625q0 -0.546875 0.171875 -0.96875q0.171875 -0.421875 0.484375 -0.703125q0.3125 -0.296875 0.765625 -0.4375q0.453125 -0.15625 1.0 -0.15625zm-0.125 0.953125q-0.34375 -0.015625 -0.625 0.0625q-0.296875 0.078125 -0.5 0.265625q-0.21875 0.171875 -0.328125 0.4375q-0.125 0.25 -0.125 0.59375q0 0.296875 0.125 0.546875q0.109375 0.234375 0.3125 0.421875q0.203125 0.171875 0.5 0.28125q0.296875 0.109375 0.640625 0.125l0 -2.734375zm-1.46875 -6.155731q0.1875 -0.140625 0.4375 -0.21875q0.234375 -0.09375 0.515625 -0.09375q0.40625 0 0.734375 0.15625q0.328125 0.140625 0.578125 0.421875q0.234375 0.265625 0.375 0.640625q0.125 0.375 0.125 0.828125q0 0.328125 -0.0625 0.625q-0.078125 0.28125 -0.1875 0.4375q0.15625 0.109375 0.28125 0.171875q0.125 0.0625 0.296875 0.0625q0.1875 0 0.328125 -0.1875q0.125 -0.1875 0.140625 -0.5l0.046875 -1.375q0.015625 -0.390625 0.109375 -0.71875q0.078125 -0.328125 0.25 -0.5625q0.15625 -0.234375 0.40625 -0.359375q0.25 -0.140625 0.5625 -0.140625q0.359375 0 0.671875 0.15625q0.3125 0.140625 0.546875 0.453125q0.234375 0.3125 0.375 0.796875q0.140625 0.484375 0.140625 1.15625q0 0.625 -0.109375 1.078125q-0.09375 0.4375 -0.28125 0.734375q-0.171875 0.28125 -0.421875 0.421875q-0.25 0.125 -0.546875 0.125q-0.375 0 -0.671875 -0.171875q-0.28125 -0.1875 -0.546875 -0.5625q-0.0625 0.140625 -0.15625 0.25q-0.09375 0.09375 -0.203125 0.15625q-0.109375 0.0625 -0.234375 0.09375q-0.125 0.03125 -0.25 0.03125q-0.328125 0 -0.609375 -0.15625q-0.28125 -0.171875 -0.53125 -0.390625q-0.125 0.109375 -0.234375 0.1875q-0.109375 0.0625 -0.234375 0.125q-0.140625 0.046875 -0.296875 0.078125q-0.15625 0.03125 -0.359375 0.03125q-0.40625 0 -0.734375 -0.140625q-0.34375 -0.15625 -0.578125 -0.421875q-0.234375 -0.265625 -0.359375 -0.640625q-0.140625 -0.390625 -0.140625 -0.84375q0 -0.1875 0.03125 -0.359375q0.015625 -0.1875 0.0625 -0.3125l0 -1.90625l0.734375 0l0 0.84375zm5.234375 3.140625q0.375 0 0.546875 -0.375q0.171875 -0.390625 0.171875 -1.078125q0 -0.4375 -0.078125 -0.71875q-0.078125 -0.296875 -0.21875 -0.46875q-0.125 -0.1875 -0.296875 -0.265625q-0.15625 -0.078125 -0.328125 -0.078125q-0.3125 0 -0.46875 0.265625q-0.140625 0.25 -0.171875 0.78125l-0.046875 1.359375q0.109375 0.171875 0.21875 0.296875q0.109375 0.109375 0.21875 0.171875q0.125 0.0625 0.234375 0.09375q0.109375 0.015625 0.21875 0.015625zm-4.265625 -0.28125q0.25 0 0.46875 -0.078125q0.203125 -0.078125 0.34375 -0.234375q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.453125q0 -0.265625 -0.078125 -0.46875q-0.09375 -0.21875 -0.25 -0.359375q-0.15625 -0.140625 -0.359375 -0.21875q-0.203125 -0.078125 -0.421875 -0.078125q-0.25 0 -0.453125 0.09375q-0.21875 0.078125 -0.359375 0.234375q-0.140625 0.140625 -0.21875 0.34375q-0.09375 0.203125 -0.09375 0.453125q0 0.265625 0.09375 0.484375q0.09375 0.203125 0.25 0.359375q0.15625 0.140625 0.359375 0.21875q0.203125 0.0625 0.421875 0.0625zm5.65625 -9.983856l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-8.65625 -5.468231q-0.140625 0.71875 -0.140625 1.234375q0 1.21875 1.28125 1.21875l0.90625 0l0 -2.296875l0.765625 0l0 2.296875l3.703125 0l0 0.921875l-3.703125 0l0 1.671875l-0.765625 0l0 -1.671875l-0.859375 0q-2.09375 0 -2.09375 -2.171875q0 -0.546875 0.125 -1.203125l0.78125 0zm1.296875 5.46875l0 0zm5.21875 -10.046356l-0.703125 0.015625q0.421875 0.4375 0.609375 0.875q0.1875 0.4375 0.1875 0.921875q0 0.4375 -0.109375 0.765625q-0.125 0.3125 -0.3125 0.515625q-0.203125 0.203125 -0.46875 0.3125q-0.28125 0.09375 -0.59375 0.09375q-0.796875 0 -1.234375 -0.578125q-0.4375 -0.59375 -0.4375 -1.734375l0 -1.09375l-0.46875 0q-0.453125 0 -0.734375 0.296875q-0.28125 0.296875 -0.28125 0.90625q0 0.4375 0.109375 0.875q0.09375 0.421875 0.265625 0.890625l-0.8125 0q-0.0625 -0.171875 -0.125 -0.375q-0.0625 -0.21875 -0.109375 -0.453125q-0.046875 -0.234375 -0.0625 -0.484375q-0.03125 -0.265625 -0.03125 -0.515625q0 -0.484375 0.109375 -0.859375q0.09375 -0.375 0.3125 -0.640625q0.203125 -0.265625 0.53125 -0.40625q0.328125 -0.140625 0.765625 -0.140625l3.59375 0l0 0.8125zm-2.375 0.09375l0 1.15625q0 0.328125 0.0625 0.578125q0.0625 0.25 0.1875 0.40625q0.125 0.15625 0.3125 0.234375q0.171875 0.0625 0.390625 0.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.25 -0.140625q0.109375 -0.109375 0.171875 -0.265625q0.0625 -0.171875 0.0625 -0.421875q0 -0.296875 -0.1875 -0.703125q-0.203125 -0.40625 -0.609375 -0.859375l-0.921875 0zm-2.09375 -4.374481l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm0.8125 -5.546356l0 1.546875l-0.75 0l0 -2.46875l6.609375 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-5.859375 0zm8.75 -7.608856l0 2.5625l-9.671875 0l0 -2.5625l0.71875 0l0 1.703125l8.21875 0l0 -1.703125l0.734375 0zm-6.515625 -6.499481l0.625 0.359375l-0.96875 1.453125l1.78125 -0.109375l0 0.734375l-1.78125 -0.109375l0.96875 1.484375l-0.609375 0.328125l-0.78125 -1.59375l-0.8125 1.59375l-0.609375 -0.359375l0.984375 -1.46875l-1.78125 0.125l0 -0.734375l1.78125 0.125l-0.984375 -1.46875l0.640625 -0.375l0.78125 1.609375l0.765625 -1.59375zm6.515625 -4.921356l0 2.5625l-0.734375 0l0 -1.6875l-8.21875 0l0 1.6875l-0.71875 0l0 -2.5625l9.671875 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m608.0 551.7533l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 551.7533l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 550.10156l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.04724 640.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.04724 640.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.04724 638.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.04724 728.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.04724 728.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.04724 726.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m608.0 816.0l-24.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m608.0 816.0l-18.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m590.0 814.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m128.0 96.0l104.0 0l0 16.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m149.84686 101.865l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625zm6.718231 6.515625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.296356 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.093231 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624481 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983856 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.374481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.936981 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm4.686981 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.499481 -6.515625l-0.359375 0.625l-1.453125 -0.96875l0.109375 1.78125l-0.734375 0l0.109375 -1.78125l-1.484375 0.96875l-0.328125 -0.609375l1.59375 -0.78125l-1.59375 -0.8125l0.359375 -0.609375l1.46875 0.984375l-0.125 -1.78125l0.734375 0l-0.125 1.78125l1.46875 -0.984375l0.375 0.640625l-1.609375 0.78125l1.59375 0.765625zm4.921356 6.515625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m144.00787 116.40945l-0.06298828 19.590553" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m144.00787 116.40945l-0.043701172 13.590584" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m142.31245 129.99472l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m160.00787 116.40945l-0.06298828 19.590553" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m160.00787 116.40945l-0.043701172 13.590584" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m158.31245 129.99472l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m176.00787 116.40945l-0.06298828 19.590553" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m176.00787 116.40945l-0.043701172 13.590584" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m174.31245 129.99472l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m192.00787 116.40945l-0.06298828 19.590553" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m192.00787 116.40945l-0.043701172 13.590584" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m190.31245 129.99472l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m208.00787 116.40945l-0.06298828 19.590553" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m208.00787 116.40945l-0.043701172 13.590584" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m206.31245 129.99472l1.6371307 4.5433807l1.6663208 -4.5327606z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m272.0 256.0l0 -96.0l16.0 0l0 96.0z" fill-rule="evenodd"/><path fill="#000000" d="m282.24 251.8125l-0.703125 0.015625q0.421875 0.4375 0.609375 0.875q0.1875 0.4375 0.1875 0.921875q0 0.4375 -0.109375 0.765625q-0.125 0.3125 -0.3125 0.515625q-0.203125 0.203125 -0.46875 0.3125q-0.28125 0.09375 -0.59375 0.09375q-0.796875 0 -1.234375 -0.578125q-0.4375 -0.59375 -0.4375 -1.734375l0 -1.09375l-0.46875 0q-0.453125 0 -0.734375 0.296875q-0.28125 0.296875 -0.28125 0.90625q0 0.4375 0.109375 0.875q0.09375 0.421875 0.265625 0.890625l-0.8125 0q-0.0625 -0.171875 -0.125 -0.375q-0.0625 -0.21875 -0.109375 -0.453125q-0.046875 -0.234375 -0.0625 -0.484375q-0.03125 -0.265625 -0.03125 -0.515625q0 -0.484375 0.109375 -0.859375q0.09375 -0.375 0.3125 -0.640625q0.203125 -0.265625 0.53125 -0.40625q0.328125 -0.140625 0.765625 -0.140625l3.59375 0l0 0.8125zm-2.375 0.09375l0 1.15625q0 0.328125 0.0625 0.578125q0.0625 0.25 0.1875 0.40625q0.125 0.15625 0.3125 0.234375q0.171875 0.0625 0.390625 0.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.25 -0.140625q0.109375 -0.109375 0.171875 -0.265625q0.0625 -0.171875 0.0625 -0.421875q0 -0.296875 -0.1875 -0.703125q-0.203125 -0.40625 -0.609375 -0.859375l-0.921875 0zm-4.234375 -4.374481l0 1.546875l-0.75 0l0 -2.46875l6.609375 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-5.859375 0zm3.71875 -8.499481q0.203125 0 0.328125 0.015625q0.125 0 0.234375 0.015625l0 3.65625q0.8125 0 1.25 -0.4375q0.421875 -0.453125 0.421875 -1.296875q0 -0.21875 -0.015625 -0.453125q-0.03125 -0.234375 -0.0625 -0.4375q-0.03125 -0.21875 -0.0625 -0.40625q-0.046875 -0.203125 -0.09375 -0.375l0.75 0q0.109375 0.375 0.171875 0.84375q0.0625 0.46875 0.0625 0.96875q0 0.65625 -0.171875 1.140625q-0.1875 0.484375 -0.53125 0.796875q-0.359375 0.3125 -0.859375 0.46875q-0.5 0.140625 -1.140625 0.140625q-0.546875 0 -1.03125 -0.15625q-0.5 -0.15625 -0.859375 -0.453125q-0.375 -0.3125 -0.59375 -0.75q-0.21875 -0.453125 -0.21875 -1.015625q0 -0.546875 0.171875 -0.96875q0.171875 -0.421875 0.484375 -0.703125q0.3125 -0.296875 0.765625 -0.4375q0.453125 -0.15625 1.0 -0.15625zm-0.125 0.953125q-0.34375 -0.015625 -0.625 0.0625q-0.296875 0.078125 -0.5 0.265625q-0.21875 0.171875 -0.328125 0.4375q-0.125 0.25 -0.125 0.59375q0 0.296875 0.125 0.546875q0.109375 0.234375 0.3125 0.421875q0.203125 0.171875 0.5 0.28125q0.296875 0.109375 0.640625 0.125l0 -2.734375zm-2.203125 -2.6088562l0 -0.828125l0.953125 -0.03125q-0.546875 -0.453125 -0.796875 -0.90625q-0.25 -0.453125 -0.25 -0.90625q0 -0.8125 0.53125 -1.234375q0.515625 -0.421875 1.546875 -0.390625l0 0.921875q-0.6875 -0.015625 -0.984375 0.203125q-0.3125 0.21875 -0.3125 0.625q0 0.1875 0.0625 0.375q0.0625 0.1875 0.203125 0.375q0.140625 0.1875 0.375 0.40625q0.21875 0.21875 0.53125 0.46875l3.359375 0l0 0.921875l-5.21875 0zm5.140625 -9.858856q0.078125 0.3125 0.109375 0.640625q0.046875 0.328125 0.046875 0.671875q0 0.984375 -0.4375 1.46875q-0.453125 0.46875 -1.375 0.46875l-2.734375 0l0 1.46875l-0.75 0l0 -1.46875l-1.4375 0l-0.234375 -0.890625l1.671875 0l0 -2.359375l0.75 0l0 2.359375l2.65625 0q0.5625 0 0.84375 -0.296875q0.28125 -0.3125 0.28125 -0.890625q0 -0.25 -0.03125 -0.546875q-0.046875 -0.296875 -0.140625 -0.625l0.78125 0zm2.21875 -6.671356l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-4.84375 -5.296356q0.6875 0 1.21875 0.203125q0.515625 0.1875 0.859375 0.53125q0.34375 0.34375 0.515625 0.8125q0.171875 0.46875 0.171875 1.015625q0 0.25 -0.03125 0.5q-0.015625 0.234375 -0.078125 0.5l2.1875 0l0 0.90625l-7.359375 0l0 -0.8125l0.875 -0.0625q-0.546875 -0.390625 -0.75 -0.828125q-0.21875 -0.4375 -0.21875 -0.953125q0 -0.453125 0.1875 -0.78125q0.1875 -0.34375 0.53125 -0.5625q0.328125 -0.234375 0.8125 -0.34375q0.484375 -0.125 1.078125 -0.125zm0.03125 0.921875q-0.40625 0 -0.75 0.0625q-0.34375 0.0625 -0.578125 0.203125q-0.25 0.125 -0.390625 0.328125q-0.140625 0.203125 -0.140625 0.484375q0 0.171875 0.0625 0.34375q0.046875 0.171875 0.1875 0.359375q0.125 0.1875 0.34375 0.40625q0.203125 0.203125 0.515625 0.453125l2.53125 0q0.09375 -0.25 0.15625 -0.53125q0.0625 -0.28125 0.0625 -0.53125q0 -0.734375 -0.5 -1.15625q-0.5 -0.421875 -1.5 -0.421875zm-1.796875 -4.093231l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm2.203125 -3.7651062l0 -0.8125l0.84375 -0.03125q-0.28125 -0.234375 -0.453125 -0.4375q-0.1875 -0.21875 -0.296875 -0.421875q-0.109375 -0.203125 -0.140625 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.8125 0.484375 -1.21875q0.46875 -0.421875 1.421875 -0.421875l3.40625 0l0 0.90625l-3.328125 0q-0.625 0 -0.90625 0.234375q-0.296875 0.21875 -0.296875 0.671875q0 0.171875 0.046875 0.328125q0.046875 0.15625 0.171875 0.328125q0.125 0.171875 0.328125 0.375q0.203125 0.203125 0.515625 0.453125l3.46875 0l0 0.90625l-5.21875 0zm0.734375 -9.624481q0.1875 -0.140625 0.4375 -0.21875q0.234375 -0.09375 0.515625 -0.09375q0.40625 0 0.734375 0.15625q0.328125 0.140625 0.578125 0.421875q0.234375 0.265625 0.375 0.640625q0.125 0.375 0.125 0.828125q0 0.328125 -0.0625 0.625q-0.078125 0.28125 -0.1875 0.4375q0.15625 0.109375 0.28125 0.171875q0.125 0.0625 0.296875 0.0625q0.1875 0 0.328125 -0.1875q0.125 -0.1875 0.140625 -0.5l0.046875 -1.375q0.015625 -0.390625 0.109375 -0.71875q0.078125 -0.328125 0.25 -0.5625q0.15625 -0.234375 0.40625 -0.359375q0.25 -0.140625 0.5625 -0.140625q0.359375 0 0.671875 0.15625q0.3125 0.140625 0.546875 0.453125q0.234375 0.3125 0.375 0.796875q0.140625 0.484375 0.140625 1.15625q0 0.625 -0.109375 1.078125q-0.09375 0.4375 -0.28125 0.734375q-0.171875 0.28125 -0.421875 0.421875q-0.25 0.125 -0.546875 0.125q-0.375 0 -0.671875 -0.171875q-0.28125 -0.1875 -0.546875 -0.5625q-0.0625 0.140625 -0.15625 0.25q-0.09375 0.09375 -0.203125 0.15625q-0.109375 0.0625 -0.234375 0.09375q-0.125 0.03125 -0.25 0.03125q-0.328125 0 -0.609375 -0.15625q-0.28125 -0.171875 -0.53125 -0.390625q-0.125 0.109375 -0.234375 0.1875q-0.109375 0.0625 -0.234375 0.125q-0.140625 0.046875 -0.296875 0.078125q-0.15625 0.03125 -0.359375 0.03125q-0.40625 0 -0.734375 -0.140625q-0.34375 -0.15625 -0.578125 -0.421875q-0.234375 -0.265625 -0.359375 -0.640625q-0.140625 -0.390625 -0.140625 -0.84375q0 -0.1875 0.03125 -0.359375q0.015625 -0.1875 0.0625 -0.3125l0 -1.90625l0.734375 0l0 0.84375zm5.234375 3.140625q0.375 0 0.546875 -0.375q0.171875 -0.390625 0.171875 -1.078125q0 -0.4375 -0.078125 -0.71875q-0.078125 -0.296875 -0.21875 -0.46875q-0.125 -0.1875 -0.296875 -0.265625q-0.15625 -0.078125 -0.328125 -0.078125q-0.3125 0 -0.46875 0.265625q-0.140625 0.25 -0.171875 0.78125l-0.046875 1.359375q0.109375 0.171875 0.21875 0.296875q0.109375 0.109375 0.21875 0.171875q0.125 0.0625 0.234375 0.09375q0.109375 0.015625 0.21875 0.015625zm-4.265625 -0.28125q0.25 0 0.46875 -0.078125q0.203125 -0.078125 0.34375 -0.234375q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.453125q0 -0.265625 -0.078125 -0.46875q-0.09375 -0.21875 -0.25 -0.359375q-0.15625 -0.140625 -0.359375 -0.21875q-0.203125 -0.078125 -0.421875 -0.078125q-0.25 0 -0.453125 0.09375q-0.21875 0.078125 -0.359375 0.234375q-0.140625 0.140625 -0.21875 0.34375q-0.09375 0.203125 -0.09375 0.453125q0 0.265625 0.09375 0.484375q0.09375 0.203125 0.25 0.359375q0.15625 0.140625 0.359375 0.21875q0.203125 0.0625 0.421875 0.0625zm5.65625 -9.983856l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-8.65625 -5.468231q-0.140625 0.71875 -0.140625 1.234375q0 1.21875 1.28125 1.21875l0.90625 0l0 -2.296875l0.765625 0l0 2.296875l3.703125 0l0 0.921875l-3.703125 0l0 1.671875l-0.765625 0l0 -1.671875l-0.859375 0q-2.09375 0 -2.09375 -2.171875q0 -0.546875 0.125 -1.203125l0.78125 0zm1.296875 5.46875l0 0zm5.21875 -10.046356l-0.703125 0.015625q0.421875 0.4375 0.609375 0.875q0.1875 0.4375 0.1875 0.921875q0 0.4375 -0.109375 0.765625q-0.125 0.3125 -0.3125 0.515625q-0.203125 0.203125 -0.46875 0.3125q-0.28125 0.09375 -0.59375 0.09375q-0.796875 0 -1.234375 -0.578125q-0.4375 -0.59375 -0.4375 -1.734375l0 -1.09375l-0.46875 0q-0.453125 0 -0.734375 0.296875q-0.28125 0.296875 -0.28125 0.90625q0 0.4375 0.109375 0.875q0.09375 0.421875 0.265625 0.890625l-0.8125 0q-0.0625 -0.171875 -0.125 -0.375q-0.0625 -0.21875 -0.109375 -0.453125q-0.046875 -0.234375 -0.0625 -0.484375q-0.03125 -0.265625 -0.03125 -0.515625q0 -0.484375 0.109375 -0.859375q0.09375 -0.375 0.3125 -0.640625q0.203125 -0.265625 0.53125 -0.40625q0.328125 -0.140625 0.765625 -0.140625l3.59375 0l0 0.8125zm-2.375 0.09375l0 1.15625q0 0.328125 0.0625 0.578125q0.0625 0.25 0.1875 0.40625q0.125 0.15625 0.3125 0.234375q0.171875 0.0625 0.390625 0.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.25 -0.140625q0.109375 -0.109375 0.171875 -0.265625q0.0625 -0.171875 0.0625 -0.421875q0 -0.296875 -0.1875 -0.703125q-0.203125 -0.40625 -0.609375 -0.859375l-0.921875 0zm-2.09375 -4.374481l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm0.8125 -5.546356l0 1.546875l-0.75 0l0 -2.46875l6.609375 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-5.859375 0z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m480.0 816.0l104.0 0l0 40.0l-104.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m507.226 823.34937q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307007 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.20309448 0.109375 -0.45309448 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.37496948 0.03125 0.7812195 0.109375l0 0.8125q-0.421875 -0.109375 -0.8124695 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.32809448 0.140625 0.5155945 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8276367 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963257 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.0932007 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651367 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624512 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983826 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.9370117 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm4.6870117 2.140625l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6088257 -4.203125q0 0.4375 -0.1875 0.84375q-0.171875 0.390625 -0.546875 0.6875q-0.359375 0.28125 -0.90625 0.453125q-0.546875 0.171875 -1.28125 0.171875q-0.40625 0 -0.734375 -0.03125q-0.3125 -0.015625 -0.59375 -0.0625l0 -0.8125q0.3125 0.0625 0.671875 0.09375q0.359375 0.03125 0.734375 0.03125q0.515625 0 0.875 -0.09375q0.359375 -0.09375 0.578125 -0.265625q0.234375 -0.171875 0.34375 -0.40625q0.109375 -0.25 0.109375 -0.5625q0 -0.28125 -0.125 -0.484375q-0.125 -0.203125 -0.359375 -0.34375q-0.21875 -0.140625 -0.546875 -0.203125q-0.3125 -0.078125 -0.671875 -0.078125l-0.78125 0l0 -0.75l0.78125 0q0.3125 0 0.5625 -0.078125q0.25 -0.078125 0.421875 -0.234375q0.1875 -0.15625 0.28125 -0.375q0.09375 -0.21875 0.09375 -0.5q0 -0.546875 -0.328125 -0.796875q-0.328125 -0.25 -0.96875 -0.25q-0.34375 0 -0.71875 0.078125q-0.359375 0.0625 -0.78125 0.1875l0 -0.78125q0.1875 -0.0625 0.375 -0.109375q0.203125 -0.0625 0.40625 -0.09375q0.203125 -0.03125 0.390625 -0.046875q0.203125 -0.015625 0.390625 -0.015625q0.53125 0 0.9375 0.125q0.421875 0.109375 0.6875 0.328125q0.28125 0.21875 0.421875 0.53125q0.140625 0.3125 0.140625 0.703125q0 0.578125 -0.296875 0.984375q-0.296875 0.390625 -0.8125 0.625q0.265625 0.03125 0.515625 0.15625q0.265625 0.125 0.46875 0.328125q0.203125 0.1875 0.328125 0.453125q0.125 0.265625 0.125 0.59375zm4.8119507 4.203125l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" d="m489.64944 836.34937q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.530731 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.780731 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.827606 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.5468445 0l0 0.75l-4.1874695 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077637 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.0619507 -2.8125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.1557007 -1.46875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983887 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.4682617 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm10.046326 5.21875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.3744507 -2.09375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm5.5463867 0.8125l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm7.6088867 8.75l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.6088257 -4.203125q0 0.4375 -0.1875 0.84375q-0.171875 0.390625 -0.546875 0.6875q-0.359375 0.28125 -0.90625 0.453125q-0.546875 0.171875 -1.28125 0.171875q-0.40625 0 -0.734375 -0.03125q-0.3125 -0.015625 -0.59375 -0.0625l0 -0.8125q0.3125 0.0625 0.671875 0.09375q0.359375 0.03125 0.734375 0.03125q0.515625 0 0.875 -0.09375q0.359375 -0.09375 0.578125 -0.265625q0.234375 -0.171875 0.34375 -0.40625q0.109375 -0.25 0.109375 -0.5625q0 -0.28125 -0.125 -0.484375q-0.125 -0.203125 -0.359375 -0.34375q-0.21875 -0.140625 -0.546875 -0.203125q-0.3125 -0.078125 -0.671875 -0.078125l-0.78125 0l0 -0.75l0.78125 0q0.3125 0 0.5625 -0.078125q0.25 -0.078125 0.421875 -0.234375q0.1875 -0.15625 0.28125 -0.375q0.09375 -0.21875 0.09375 -0.5q0 -0.546875 -0.328125 -0.796875q-0.328125 -0.25 -0.96875 -0.25q-0.34375 0 -0.71875 0.078125q-0.359375 0.0625 -0.78125 0.1875l0 -0.78125q0.1875 -0.0625 0.375 -0.109375q0.203125 -0.0625 0.40625 -0.09375q0.203125 -0.03125 0.390625 -0.046875q0.203125 -0.015625 0.390625 -0.015625q0.53125 0 0.9375 0.125q0.421875 0.109375 0.6875 0.328125q0.28125 0.21875 0.421875 0.53125q0.140625 0.3125 0.140625 0.703125q0 0.578125 -0.296875 0.984375q-0.296875 0.390625 -0.8125 0.625q0.265625 0.03125 0.515625 0.15625q0.265625 0.125 0.46875 0.328125q0.203125 0.1875 0.328125 0.453125q0.125 0.265625 0.125 0.59375zm4.8119507 4.203125l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m264.0 184.0l-40.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m264.0 184.0l-40.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m351.90552 224.0l0.09448242 16.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m351.90552 224.0l0.059051514 10.000107" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m350.31287 234.00986l1.6784973 4.5282593l1.6249084 -4.54776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m367.90552 224.0l0.09448242 16.0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m367.90552 224.0l0.059051514 10.000107" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m366.31287 234.00986l1.6784973 4.5282593l1.6249084 -4.54776z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m711.9984 120.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m711.99835 120.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m865.99835 121.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m711.9984 128.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m711.99835 128.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m865.99835 129.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0 160.0l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 160.0l-154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m717.99835 158.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0 152.0l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 152.0l-154.00165 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m717.99835 150.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 104.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 104.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 105.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 112.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 112.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 113.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m711.9984 224.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m711.99835 224.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m865.99835 225.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m711.9984 232.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m711.99835 232.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m865.99835 233.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0 248.0l-160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 248.0l-154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0 246.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0 240.0l-160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.0 240.0l-154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.0 238.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 192.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 192.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 193.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 200.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 200.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 201.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 360.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 360.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 361.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0 368.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.0 368.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.0 369.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0016 400.0l-160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.00165 400.0l-154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.00165 398.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m872.0016 392.0l-160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m872.00165 392.0l-154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m718.00165 390.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0016 344.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.00165 344.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.00165 345.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m712.0016 352.0l160.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m712.00165 352.0l154.0 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m866.00165 353.65173l4.538086 -1.6517334l-4.538086 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m296.0 152.0l-72.0 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m296.0 152.0l-72.0 0" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m240.0 288.0l0 -96.0l16.0 0l0 96.0z" fill-rule="evenodd"/><path fill="#000000" d="m247.34938 282.75q0.203125 0 0.328125 0.015625q0.125 0 0.234375 0.015625l0 3.65625q0.8125 0 1.25 -0.4375q0.421875 -0.453125 0.421875 -1.296875q0 -0.21875 -0.015625 -0.453125q-0.03125 -0.234375 -0.0625 -0.4375q-0.03125 -0.21875 -0.0625 -0.40625q-0.046875 -0.203125 -0.09375 -0.375l0.75 0q0.109375 0.375 0.171875 0.84375q0.0625 0.46875 0.0625 0.96875q0 0.65625 -0.171875 1.140625q-0.1875 0.484375 -0.53125 0.796875q-0.359375 0.3125 -0.859375 0.46875q-0.5 0.140625 -1.140625 0.140625q-0.546875 0 -1.03125 -0.15625q-0.5 -0.15625 -0.859375 -0.453125q-0.375 -0.3125 -0.59375 -0.75q-0.21875 -0.453125 -0.21875 -1.015625q0 -0.546875 0.171875 -0.96875q0.171875 -0.421875 0.484375 -0.703125q0.3125 -0.296875 0.765625 -0.4375q0.453125 -0.15625 1.0 -0.15625zm-0.125 0.953125q-0.34375 -0.015625 -0.625 0.0625q-0.296875 0.078125 -0.5 0.265625q-0.21875 0.171875 -0.328125 0.4375q-0.125 0.25 -0.125 0.59375q0 0.296875 0.125 0.546875q0.109375 0.234375 0.3125 0.421875q0.203125 0.171875 0.5 0.28125q0.296875 0.109375 0.640625 0.125l0 -2.734375zm1.59375 -6.530731q0.265625 0 0.484375 0.09375q0.21875 0.09375 0.390625 0.265625q0.171875 0.15625 0.296875 0.375q0.109375 0.203125 0.1875 0.453125q0.078125 0.234375 0.109375 0.5q0.046875 0.25 0.046875 0.484375q0 0.53125 -0.046875 0.984375q-0.046875 0.4375 -0.15625 0.859375l-0.828125 0q0.125 -0.453125 0.203125 -0.90625q0.0625 -0.453125 0.0625 -0.890625q0 -0.65625 -0.171875 -0.96875q-0.1875 -0.3125 -0.515625 -0.3125q-0.140625 0 -0.25 0.046875q-0.109375 0.046875 -0.203125 0.1875q-0.109375 0.125 -0.21875 0.40625q-0.109375 0.265625 -0.25 0.734375q-0.109375 0.359375 -0.234375 0.65625q-0.140625 0.296875 -0.3125 0.515625q-0.1875 0.21875 -0.421875 0.34375q-0.25 0.125 -0.578125 0.125q-0.21875 0 -0.484375 -0.09375q-0.265625 -0.109375 -0.484375 -0.34375q-0.21875 -0.25 -0.359375 -0.671875q-0.15625 -0.421875 -0.15625 -1.03125q0 -0.3125 0.03125 -0.6875q0.03125 -0.375 0.109375 -0.78125l0.8125 0q-0.109375 0.421875 -0.15625 0.8125q-0.046875 0.375 -0.046875 0.65625q0 0.34375 0.0625 0.578125q0.046875 0.21875 0.140625 0.375q0.078125 0.140625 0.203125 0.203125q0.125 0.0625 0.265625 0.0625q0.140625 0 0.265625 -0.046875q0.109375 -0.0625 0.21875 -0.203125q0.09375 -0.15625 0.203125 -0.421875q0.109375 -0.265625 0.234375 -0.6875q0.140625 -0.46875 0.28125 -0.78125q0.140625 -0.328125 0.328125 -0.515625q0.1875 -0.203125 0.421875 -0.28125q0.21875 -0.09375 0.515625 -0.09375zm1.234375 -5.780731q0.125 0.359375 0.1875 0.734375q0.078125 0.359375 0.078125 0.765625q0 1.234375 -0.671875 1.90625q-0.671875 0.65625 -1.96875 0.65625q-0.609375 0 -1.109375 -0.1875q-0.515625 -0.1875 -0.875 -0.53125q-0.359375 -0.359375 -0.546875 -0.84375q-0.203125 -0.484375 -0.203125 -1.0625q0 -0.40625 0.0625 -0.75q0.046875 -0.359375 0.1875 -0.6875l0.859375 0q-0.171875 0.34375 -0.25 0.703125q-0.09375 0.34375 -0.09375 0.71875q0 0.34375 0.140625 0.65625q0.125 0.296875 0.375 0.53125q0.25 0.234375 0.609375 0.375q0.359375 0.140625 0.8125 0.140625q0.953125 0 1.421875 -0.453125q0.46875 -0.46875 0.46875 -1.28125q0 -0.375 -0.078125 -0.71875q-0.09375 -0.34375 -0.25 -0.671875l0.84375 0zm2.328125 -6.827606l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-4.84375 -5.296356q0.6875 0 1.21875 0.203125q0.515625 0.1875 0.859375 0.53125q0.34375 0.34375 0.515625 0.8125q0.171875 0.46875 0.171875 1.015625q0 0.25 -0.03125 0.5q-0.015625 0.234375 -0.078125 0.5l2.1875 0l0 0.90625l-7.359375 0l0 -0.8125l0.875 -0.0625q-0.546875 -0.390625 -0.75 -0.828125q-0.21875 -0.4375 -0.21875 -0.953125q0 -0.453125 0.1875 -0.78125q0.1875 -0.34375 0.53125 -0.5625q0.328125 -0.234375 0.8125 -0.34375q0.484375 -0.125 1.078125 -0.125zm0.03125 0.921875q-0.40625 0 -0.75 0.0625q-0.34375 0.0625 -0.578125 0.203125q-0.25 0.125 -0.390625 0.328125q-0.140625 0.203125 -0.140625 0.484375q0 0.171875 0.0625 0.34375q0.046875 0.171875 0.1875 0.359375q0.125 0.1875 0.34375 0.40625q0.203125 0.203125 0.515625 0.453125l2.53125 0q0.09375 -0.25 0.15625 -0.53125q0.0625 -0.28125 0.0625 -0.53125q0 -0.734375 -0.5 -1.15625q-0.5 -0.421875 -1.5 -0.421875zm-1.796875 -4.093231l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm2.203125 -3.7651062l0 -0.8125l0.84375 -0.03125q-0.28125 -0.234375 -0.453125 -0.4375q-0.1875 -0.21875 -0.296875 -0.421875q-0.109375 -0.203125 -0.140625 -0.421875q-0.046875 -0.21875 -0.046875 -0.4375q0 -0.8125 0.484375 -1.21875q0.46875 -0.421875 1.421875 -0.421875l3.40625 0l0 0.90625l-3.328125 0q-0.625 0 -0.90625 0.234375q-0.296875 0.21875 -0.296875 0.671875q0 0.171875 0.046875 0.328125q0.046875 0.15625 0.171875 0.328125q0.125 0.171875 0.328125 0.375q0.203125 0.203125 0.515625 0.453125l3.46875 0l0 0.90625l-5.21875 0zm0.734375 -9.624481q0.1875 -0.140625 0.4375 -0.21875q0.234375 -0.09375 0.515625 -0.09375q0.40625 0 0.734375 0.15625q0.328125 0.140625 0.578125 0.421875q0.234375 0.265625 0.375 0.640625q0.125 0.375 0.125 0.828125q0 0.328125 -0.0625 0.625q-0.078125 0.28125 -0.1875 0.4375q0.15625 0.109375 0.28125 0.171875q0.125 0.0625 0.296875 0.0625q0.1875 0 0.328125 -0.1875q0.125 -0.1875 0.140625 -0.5l0.046875 -1.375q0.015625 -0.390625 0.109375 -0.71875q0.078125 -0.328125 0.25 -0.5625q0.15625 -0.234375 0.40625 -0.359375q0.25 -0.140625 0.5625 -0.140625q0.359375 0 0.671875 0.15625q0.3125 0.140625 0.546875 0.453125q0.234375 0.3125 0.375 0.796875q0.140625 0.484375 0.140625 1.15625q0 0.625 -0.109375 1.078125q-0.09375 0.4375 -0.28125 0.734375q-0.171875 0.28125 -0.421875 0.421875q-0.25 0.125 -0.546875 0.125q-0.375 0 -0.671875 -0.171875q-0.28125 -0.1875 -0.546875 -0.5625q-0.0625 0.140625 -0.15625 0.25q-0.09375 0.09375 -0.203125 0.15625q-0.109375 0.0625 -0.234375 0.09375q-0.125 0.03125 -0.25 0.03125q-0.328125 0 -0.609375 -0.15625q-0.28125 -0.171875 -0.53125 -0.390625q-0.125 0.109375 -0.234375 0.1875q-0.109375 0.0625 -0.234375 0.125q-0.140625 0.046875 -0.296875 0.078125q-0.15625 0.03125 -0.359375 0.03125q-0.40625 0 -0.734375 -0.140625q-0.34375 -0.15625 -0.578125 -0.421875q-0.234375 -0.265625 -0.359375 -0.640625q-0.140625 -0.390625 -0.140625 -0.84375q0 -0.1875 0.03125 -0.359375q0.015625 -0.1875 0.0625 -0.3125l0 -1.90625l0.734375 0l0 0.84375zm5.234375 3.140625q0.375 0 0.546875 -0.375q0.171875 -0.390625 0.171875 -1.078125q0 -0.4375 -0.078125 -0.71875q-0.078125 -0.296875 -0.21875 -0.46875q-0.125 -0.1875 -0.296875 -0.265625q-0.15625 -0.078125 -0.328125 -0.078125q-0.3125 0 -0.46875 0.265625q-0.140625 0.25 -0.171875 0.78125l-0.046875 1.359375q0.109375 0.171875 0.21875 0.296875q0.109375 0.109375 0.21875 0.171875q0.125 0.0625 0.234375 0.09375q0.109375 0.015625 0.21875 0.015625zm-4.265625 -0.28125q0.25 0 0.46875 -0.078125q0.203125 -0.078125 0.34375 -0.234375q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.453125q0 -0.265625 -0.078125 -0.46875q-0.09375 -0.21875 -0.25 -0.359375q-0.15625 -0.140625 -0.359375 -0.21875q-0.203125 -0.078125 -0.421875 -0.078125q-0.25 0 -0.453125 0.09375q-0.21875 0.078125 -0.359375 0.234375q-0.140625 0.140625 -0.21875 0.34375q-0.09375 0.203125 -0.09375 0.453125q0 0.265625 0.09375 0.484375q0.09375 0.203125 0.25 0.359375q0.15625 0.140625 0.359375 0.21875q0.203125 0.0625 0.421875 0.0625zm5.65625 -9.983856l0 5.859375l-0.75 0l0 -5.859375l0.75 0zm-8.65625 -5.468231q-0.140625 0.71875 -0.140625 1.234375q0 1.21875 1.28125 1.21875l0.90625 0l0 -2.296875l0.765625 0l0 2.296875l3.703125 0l0 0.921875l-3.703125 0l0 1.671875l-0.765625 0l0 -1.671875l-0.859375 0q-2.09375 0 -2.09375 -2.171875q0 -0.546875 0.125 -1.203125l0.78125 0zm1.296875 5.46875l0 0zm5.21875 -10.046356l-0.703125 0.015625q0.421875 0.4375 0.609375 0.875q0.1875 0.4375 0.1875 0.921875q0 0.4375 -0.109375 0.765625q-0.125 0.3125 -0.3125 0.515625q-0.203125 0.203125 -0.46875 0.3125q-0.28125 0.09375 -0.59375 0.09375q-0.796875 0 -1.234375 -0.578125q-0.4375 -0.59375 -0.4375 -1.734375l0 -1.09375l-0.46875 0q-0.453125 0 -0.734375 0.296875q-0.28125 0.296875 -0.28125 0.90625q0 0.4375 0.109375 0.875q0.09375 0.421875 0.265625 0.890625l-0.8125 0q-0.0625 -0.171875 -0.125 -0.375q-0.0625 -0.21875 -0.109375 -0.453125q-0.046875 -0.234375 -0.0625 -0.484375q-0.03125 -0.265625 -0.03125 -0.515625q0 -0.484375 0.109375 -0.859375q0.09375 -0.375 0.3125 -0.640625q0.203125 -0.265625 0.53125 -0.40625q0.328125 -0.140625 0.765625 -0.140625l3.59375 0l0 0.8125zm-2.375 0.09375l0 1.15625q0 0.328125 0.0625 0.578125q0.0625 0.25 0.1875 0.40625q0.125 0.15625 0.3125 0.234375q0.171875 0.0625 0.390625 0.0625q0.140625 0 0.28125 -0.046875q0.140625 -0.046875 0.25 -0.140625q0.109375 -0.109375 0.171875 -0.265625q0.0625 -0.171875 0.0625 -0.421875q0 -0.296875 -0.1875 -0.703125q-0.203125 -0.40625 -0.609375 -0.859375l-0.921875 0zm-2.09375 -4.374481l0 1.546875l-0.75 0l0 -2.46875l4.46875 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-3.71875 0zm-2.953125 -0.3125q0 -0.15625 0.0625 -0.28125q0.046875 -0.140625 0.15625 -0.234375q0.09375 -0.09375 0.21875 -0.140625q0.125 -0.0625 0.28125 -0.0625q0.140625 0 0.28125 0.0625q0.125 0.046875 0.234375 0.140625q0.09375 0.09375 0.15625 0.234375q0.046875 0.125 0.046875 0.28125q0 0.140625 -0.046875 0.28125q-0.0625 0.125 -0.15625 0.21875q-0.109375 0.09375 -0.234375 0.15625q-0.140625 0.046875 -0.28125 0.046875q-0.15625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.109375 -0.09375 -0.15625 -0.21875q-0.0625 -0.140625 -0.0625 -0.28125zm0.8125 -5.546356l0 1.546875l-0.75 0l0 -2.46875l6.609375 0l0 -1.546875l0.75 0l0 4.1875l-0.75 0l0 -1.71875l-5.859375 0z" fill-rule="nonzero"/></g></svg>
\ No newline at end of file
diff --git a/hw/ip/alert_handler/doc/alert_handler_escalation_rxtx.svg b/hw/ip/alert_handler/doc/alert_handler_escalation_rxtx.svg
index a6f7dca..9012f2c 100644
--- a/hw/ip/alert_handler/doc/alert_handler_escalation_rxtx.svg
+++ b/hw/ip/alert_handler/doc/alert_handler_escalation_rxtx.svg
@@ -1 +1 @@
-<svg version="1.1" viewBox="0.0 0.0 776.49343832021 180.18372703412072" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l776.4934 0l0 180.18373l-776.4934 0l0 -180.18373z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l776.4934 0l0 180.18373l-776.4934 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m8.0 8.0l320.0 0l0 160.0l-320.0 0z" fill-rule="evenodd"/><path stroke="#999999" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m8.0 8.0l320.0 0l0 160.0l-320.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m448.0 8.0l320.0 0l0 160.0l-320.0 0z" fill-rule="evenodd"/><path stroke="#999999" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m448.0 8.0l320.0 0l0 160.0l-320.0 0z" fill-rule="evenodd"/><path fill="#c9daf8" d="m129.41733 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m129.41733 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path fill="#000000" d="m162.68523 98.17545q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.875 -7.546875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.32810974 -0.0625 0.67185974 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.48435974 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.406235 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m312.0 64.0l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m312.0 64.0l154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m466.0016 65.65173l4.5381165 -1.6517334l-4.5381165 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m312.0 72.0l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m312.0 72.0l154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m466.0016 73.65173l4.5381165 -1.6517334l-4.5381165 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m472.0016 128.0l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m472.0016 128.0l-154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m318.0 126.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m472.0016 120.0l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m472.0016 120.0l-154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m318.0 118.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m328.0 136.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m341.10547 141.02126l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.061951 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.1869507 -1.28125q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm7.3432617 4.8125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm4.3588257 0l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.7963867 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5150757 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557617 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.093201 7.359375l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm6.1557617 0l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm4.1088257 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm7.9682617 0.0625l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm6.2025757 4.703125q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm5.4682617 4.75l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m333.00525 45.603676l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m353.24326 52.95305q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.530731 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.780731 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.827606 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm4.358856 0l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.796356 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.515106 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557312 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.093231 7.359375l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875zm6.155731 0l-2.5625 0l0 -9.671875l2.5625 0l0 0.71875l-1.703125 0l0 8.21875l1.703125 0l0 0.734375zm6.874481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.436981 -4.75l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm3.4369812 2.890625l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm6.999481 9.5625l-2.5625 0l0 -0.734375l1.6875 0l0 -8.21875l-1.6875 0l0 -0.71875l2.5625 0l0 9.671875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m473.41733 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m473.41733 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path fill="#000000" d="m497.88834 98.17545q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875305 0l0 6.703125l2.34375 0l0 1.140625l-6.2969055 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21878052 0 0.40628052 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40628052 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.2344055 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.875 -7.546875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m656.0 96.0l37.44879 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m656.0 96.0l31.448792 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m687.4488 97.65173l4.538147 -1.6517334l-4.538147 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m696.0 88.0l56.0 0l0 16.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m701.25 95.34937q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807007 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.890076 7.359375l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m128.0 113.314964l-37.448822 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 113.314964l-31.448822 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m96.55118 111.66323l-4.5380936 1.6517334l4.5380936 1.6517258z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m90.55118 129.31496l37.448822 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m90.55118 129.31496l31.448822 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m122.0 130.96669l4.538101 -1.6517334l-4.538101 -1.6517258z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m90.55118 65.314964l37.448822 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m90.55118 65.314964l31.448822 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m122.0 66.96669l4.538101 -1.6517258l-4.538101 -1.6517372z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m16.0 57.31496l72.0 0l0 16.000004l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m40.56717 64.85184q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.093231 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624481 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983856 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.249481 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901062 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.890106 7.359375l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m16.0 105.314964l72.0 0l0 16.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m20.3031 111.08621l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077606 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.061981 -2.8125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.155731 -1.46875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983856 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.468231 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm10.046356 5.21875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -2.09375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm5.546356 0.8125l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm9.108856 8.75l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.374481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m32.0 121.314964l56.0 0l0 15.999992l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m46.37915 128.66434q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.530731 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.780731 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.827606 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.249481 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901062 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.890106 7.359375l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m128.0 81.314964l-37.448822 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 81.314964l-31.448822 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m96.55118 79.66323l-4.5380936 1.6517334l4.5380936 1.6517258z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m0 73.314964l88.0 0l0 16.0l-88.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m40.56717 80.85184q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.093231 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624481 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983856 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.374481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.936981 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm6.186981 2.140625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.374481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m24.0 16.0l136.0 0l0 16.0l-136.0 0z" fill-rule="evenodd"/><path fill="#666666" d="m30.296875 31.36l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.5625 -3.203125l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm7.53125 0l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm3.90625 -4.265625l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm10.46875 -6.078125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m496.0 16.0l256.0 0l0 16.0l-256.0 0z" fill-rule="evenodd"/><path fill="#666666" d="m539.9531 27.01625q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm7.75 0.296875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm11.171875 9.921875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.234375 3.46875q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm5.140625 -6.609375l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm12.46875 7.15625q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm3.359375 -3.921875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm23.6875 7.546875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.53125 -3.6875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm9.671875 3.921875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm2.484375 -7.84375l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm9.09375 -4.234375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.0625 7.84375l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm8.625 4.53125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.125 1.4375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.890625 2.140625l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm2.8125 -7.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0z" fill-rule="nonzero"/></g></svg>
\ No newline at end of file
+<svg version="1.1" viewBox="0.0 0.0 776.49343832021 180.18372703412072" fill="none" stroke="none" stroke-linecap="square" stroke-miterlimit="10" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns="http://www.w3.org/2000/svg"><clipPath id="p.0"><path d="m0 0l776.4934 0l0 180.18373l-776.4934 0l0 -180.18373z" clip-rule="nonzero"/></clipPath><g clip-path="url(#p.0)"><path fill="#000000" fill-opacity="0.0" d="m0 0l776.4934 0l0 180.18373l-776.4934 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m8.0 8.0l320.0 0l0 160.0l-320.0 0z" fill-rule="evenodd"/><path stroke="#999999" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m8.0 8.0l320.0 0l0 160.0l-320.0 0z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m448.0 8.0l320.0 0l0 160.0l-320.0 0z" fill-rule="evenodd"/><path stroke="#999999" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" stroke-dasharray="4.0,3.0" d="m448.0 8.0l320.0 0l0 160.0l-320.0 0z" fill-rule="evenodd"/><path fill="#c9daf8" d="m129.41733 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m129.41733 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path fill="#000000" d="m162.68523 98.17545q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.234375 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.875 -7.546875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.46875 -5.34375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm9.203125 -2.203125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.578125 -3.3125l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.32810974 -0.0625 0.67185974 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.48435974 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.406235 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm14.421875 -0.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m312.0 64.0l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m312.0 64.0l154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m466.0016 65.65173l4.5381165 -1.6517334l-4.5381165 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m312.0 72.0l160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m312.0 72.0l154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m466.0016 73.65173l4.5381165 -1.6517334l-4.5381165 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m472.0016 128.0l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m472.0016 128.0l-154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m318.0 126.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m472.0016 120.0l-160.00159 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m472.0016 120.0l-154.00159 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m318.0 118.34827l-4.538086 1.6517334l4.538086 1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m328.0 136.0l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m345.3086 143.34938q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307007 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807617 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm1.0463867 -7.359375l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.358826 5.21875l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm3.2026367 -1.640625q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm4.0150757 -3.578125l0.828125 0l0.03125 0.953125q0.453125 -0.546875 0.90625 -0.796875q0.453125 -0.25 0.90625 -0.25q0.8125 0 1.234375 0.53125q0.421875 0.515625 0.390625 1.546875l-0.921875 0q0.015625 -0.6875 -0.203125 -0.984375q-0.21875 -0.3125 -0.625 -0.3125q-0.1875 0 -0.375 0.0625q-0.1875 0.0625 -0.375 0.203125q-0.1875 0.140625 -0.40625 0.375q-0.21875 0.21875 -0.46875 0.53125l0 3.359375l-0.921875 0l0 -5.21875zm10.062012 2.328125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307007 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm6.1870117 -1.28125q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm7.3432007 4.8125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2963867 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.5150757 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557617 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m333.00525 45.603676l112.0 0l0 16.0l-112.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m353.24326 52.95305q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.530731 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.780731 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.827606 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.046356 -2.21875q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.358856 0.078125l-1.203125 0l-1.421875 -2.0l-1.390625 2.0l-1.171875 0l2.03125 -2.625l-1.9375 -2.59375l1.171875 0l1.359375 2.0l1.359375 -2.0l1.109375 0l-1.953125 2.609375l2.046875 2.609375zm3.2026062 -1.640625q0.171875 0 0.328125 0.078125q0.15625 0.0625 0.265625 0.1875q0.125 0.109375 0.1875 0.265625q0.078125 0.15625 0.078125 0.34375q0 0.171875 -0.078125 0.328125q-0.0625 0.15625 -0.1875 0.28125q-0.109375 0.109375 -0.265625 0.171875q-0.15625 0.078125 -0.328125 0.078125q-0.1875 0 -0.34375 -0.078125q-0.15625 -0.0625 -0.28125 -0.171875q-0.109375 -0.125 -0.171875 -0.28125q-0.0625 -0.15625 -0.0625 -0.328125q0 -0.1875 0.0625 -0.34375q0.0625 -0.15625 0.171875 -0.265625q0.125 -0.125 0.28125 -0.1875q0.15625 -0.078125 0.34375 -0.078125zm8.218231 -1.25q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.530731 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.780731 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.827606 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.296356 -4.84375q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm6.515106 -4.6875l-3.5625 8.484375l-0.875 0l3.578125 -8.484375l0.859375 0zm1.6557312 2.140625l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875z" fill-rule="nonzero"/><path fill="#c9daf8" d="m473.41733 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m473.41733 40.755905l182.58267 0l0 111.244095l-182.58267 0z" fill-rule="evenodd"/><path fill="#000000" d="m497.88834 98.17545q0 1.046875 -0.296875 1.828125q-0.28125 0.78125 -0.796875 1.296875q-0.515625 0.515625 -1.21875 0.78125q-0.703125 0.25 -1.53125 0.25q-0.375 0 -0.75 -0.046875q-0.359375 -0.03125 -0.75 -0.125l0 3.28125l-1.359375 0l0 -11.046875l1.21875 0l0.078125 1.3125q0.59375 -0.8125 1.25 -1.125q0.671875 -0.328125 1.4375 -0.328125q0.671875 0 1.171875 0.28125q0.515625 0.28125 0.859375 0.796875q0.34375 0.5 0.515625 1.234375q0.171875 0.71875 0.171875 1.609375zm-1.390625 0.0625q0 -0.625 -0.09375 -1.140625q-0.078125 -0.515625 -0.28125 -0.875q-0.1875 -0.375 -0.5 -0.578125q-0.296875 -0.203125 -0.71875 -0.203125q-0.265625 0 -0.53125 0.078125q-0.265625 0.078125 -0.546875 0.28125q-0.28125 0.1875 -0.609375 0.5q-0.3125 0.3125 -0.671875 0.78125l0 3.8125q0.375 0.15625 0.78125 0.25q0.421875 0.078125 0.828125 0.078125q1.09375 0 1.71875 -0.734375q0.625 -0.75 0.625 -2.25zm3.8125 -3.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm11.140625 1.125l-2.3125 0l0 -1.125l3.6875305 0l0 6.703125l2.34375 0l0 1.140625l-6.2969055 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21878052 0 0.40628052 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40628052 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm11.2344055 11.140625l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm10.75 3.203125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.875 -7.546875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm10.25 3.5l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm1.578125 -11.046875l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.671875 4.234375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.328125 -4.046875q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm6.25 -2.1875l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm4.90625 3.296875l1.546875 0l1.921875 5.1875l0.421875 1.265625l0.421875 -1.296875l1.90625 -5.15625l1.5 0l-3.078125 7.84375l-1.5625 0l-3.078125 -7.84375zm16.15625 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m656.0 96.0l37.44879 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m656.0 96.0l31.448792 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m687.4488 97.65173l4.538147 -1.6517334l-4.538147 -1.6517334z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m696.0 88.0l56.0 0l0 16.0l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m701.25 95.34937q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.5307617 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.7807007 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.8275757 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.2495117 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901367 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.890076 7.359375l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.3744507 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m128.0 113.314964l-37.448822 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 113.314964l-31.448822 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m96.55118 111.66323l-4.5380936 1.6517334l4.5380936 1.6517258z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m90.55118 129.31496l37.448822 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m90.55118 129.31496l31.448822 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m122.0 130.96669l4.538101 -1.6517334l-4.538101 -1.6517258z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m90.55118 65.314964l37.448822 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m90.55118 65.314964l31.448822 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m122.0 66.96669l4.538101 -1.6517258l-4.538101 -1.6517372z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m16.0 57.31496l72.0 0l0 16.000004l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m40.56717 64.85184q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.093231 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624481 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983856 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.249481 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901062 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.890106 7.359375l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m16.0 105.314964l72.0 0l0 16.0l-72.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m20.3031 111.08621l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.077606 5.140625q-0.3125 0.078125 -0.640625 0.109375q-0.328125 0.046875 -0.671875 0.046875q-0.984375 0 -1.46875 -0.4375q-0.46875 -0.453125 -0.46875 -1.375l0 -2.734375l-1.46875 0l0 -0.75l1.46875 0l0 -1.4375l0.890625 -0.234375l0 1.671875l2.359375 0l0 0.75l-2.359375 0l0 2.65625q0 0.5625 0.296875 0.84375q0.3125 0.28125 0.890625 0.28125q0.25 0 0.546875 -0.03125q0.296875 -0.046875 0.625 -0.140625l0 0.78125zm6.061981 -2.8125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.155731 -1.46875q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983856 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.468231 -8.65625q-0.71875 -0.140625 -1.234375 -0.140625q-1.21875 0 -1.21875 1.28125l0 0.90625l2.296875 0l0 0.765625l-2.296875 0l0 3.703125l-0.921875 0l0 -3.703125l-1.671875 0l0 -0.765625l1.671875 0l0 -0.859375q0 -2.09375 2.171875 -2.09375q0.546875 0 1.203125 0.125l0 0.78125zm-5.46875 1.296875l0 0zm10.046356 5.21875l-0.015625 -0.703125q-0.4375 0.421875 -0.875 0.609375q-0.4375 0.1875 -0.921875 0.1875q-0.4375 0 -0.765625 -0.109375q-0.3125 -0.125 -0.515625 -0.3125q-0.203125 -0.203125 -0.3125 -0.46875q-0.09375 -0.28125 -0.09375 -0.59375q0 -0.796875 0.578125 -1.234375q0.59375 -0.4375 1.734375 -0.4375l1.09375 0l0 -0.46875q0 -0.453125 -0.296875 -0.734375q-0.296875 -0.28125 -0.90625 -0.28125q-0.4375 0 -0.875 0.109375q-0.421875 0.09375 -0.890625 0.265625l0 -0.8125q0.171875 -0.0625 0.375 -0.125q0.21875 -0.0625 0.453125 -0.109375q0.234375 -0.046875 0.484375 -0.0625q0.265625 -0.03125 0.515625 -0.03125q0.484375 0 0.859375 0.109375q0.375 0.09375 0.640625 0.3125q0.265625 0.203125 0.40625 0.53125q0.140625 0.328125 0.140625 0.765625l0 3.59375l-0.8125 0zm-0.09375 -2.375l-1.15625 0q-0.328125 0 -0.578125 0.0625q-0.25 0.0625 -0.40625 0.1875q-0.15625 0.125 -0.234375 0.3125q-0.0625 0.171875 -0.0625 0.390625q0 0.140625 0.046875 0.28125q0.046875 0.140625 0.140625 0.25q0.109375 0.109375 0.265625 0.171875q0.171875 0.0625 0.421875 0.0625q0.296875 0 0.703125 -0.1875q0.40625 -0.203125 0.859375 -0.609375l0 -0.921875zm4.374481 -2.09375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm5.546356 0.8125l-1.546875 0l0 -0.75l2.46875 0l0 6.609375l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -5.859375zm9.108856 8.75l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.374481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m32.0 121.314964l56.0 0l0 15.999992l-56.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m46.37915 128.66434q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm6.530731 1.59375q0 0.265625 -0.09375 0.484375q-0.09375 0.21875 -0.265625 0.390625q-0.15625 0.171875 -0.375 0.296875q-0.203125 0.109375 -0.453125 0.1875q-0.234375 0.078125 -0.5 0.109375q-0.25 0.046875 -0.484375 0.046875q-0.53125 0 -0.984375 -0.046875q-0.4375 -0.046875 -0.859375 -0.15625l0 -0.828125q0.453125 0.125 0.90625 0.203125q0.453125 0.0625 0.890625 0.0625q0.65625 0 0.96875 -0.171875q0.3125 -0.1875 0.3125 -0.515625q0 -0.140625 -0.046875 -0.25q-0.046875 -0.109375 -0.1875 -0.203125q-0.125 -0.109375 -0.40625 -0.21875q-0.265625 -0.109375 -0.734375 -0.25q-0.359375 -0.109375 -0.65625 -0.234375q-0.296875 -0.140625 -0.515625 -0.3125q-0.21875 -0.1875 -0.34375 -0.421875q-0.125 -0.25 -0.125 -0.578125q0 -0.21875 0.09375 -0.484375q0.109375 -0.265625 0.34375 -0.484375q0.25 -0.21875 0.671875 -0.359375q0.421875 -0.15625 1.03125 -0.15625q0.3125 0 0.6875 0.03125q0.375 0.03125 0.78125 0.109375l0 0.8125q-0.421875 -0.109375 -0.8125 -0.15625q-0.375 -0.046875 -0.65625 -0.046875q-0.34375 0 -0.578125 0.0625q-0.21875 0.046875 -0.375 0.140625q-0.140625 0.078125 -0.203125 0.203125q-0.0625 0.125 -0.0625 0.265625q0 0.140625 0.046875 0.265625q0.0625 0.109375 0.203125 0.21875q0.15625 0.09375 0.421875 0.203125q0.265625 0.109375 0.6875 0.234375q0.46875 0.140625 0.78125 0.28125q0.328125 0.140625 0.515625 0.328125q0.203125 0.1875 0.28125 0.421875q0.09375 0.21875 0.09375 0.515625zm5.780731 1.234375q-0.359375 0.125 -0.734375 0.1875q-0.359375 0.078125 -0.765625 0.078125q-1.234375 0 -1.90625 -0.671875q-0.65625 -0.671875 -0.65625 -1.96875q0 -0.609375 0.1875 -1.109375q0.1875 -0.515625 0.53125 -0.875q0.359375 -0.359375 0.84375 -0.546875q0.484375 -0.203125 1.0625 -0.203125q0.40625 0 0.75 0.0625q0.359375 0.046875 0.6875 0.1875l0 0.859375q-0.34375 -0.171875 -0.703125 -0.25q-0.34375 -0.09375 -0.71875 -0.09375q-0.34375 0 -0.65625 0.140625q-0.296875 0.125 -0.53125 0.375q-0.234375 0.25 -0.375 0.609375q-0.140625 0.359375 -0.140625 0.8125q0 0.953125 0.453125 1.421875q0.46875 0.46875 1.28125 0.46875q0.375 0 0.71875 -0.078125q0.34375 -0.09375 0.671875 -0.25l0 0.84375zm6.827606 2.328125l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.249481 -5.03125q0 0.203125 -0.015625 0.328125q0 0.125 -0.015625 0.234375l-3.65625 0q0 0.8125 0.4375 1.25q0.453125 0.421875 1.296875 0.421875q0.21875 0 0.453125 -0.015625q0.234375 -0.03125 0.4375 -0.0625q0.21875 -0.03125 0.40625 -0.0625q0.203125 -0.046875 0.375 -0.09375l0 0.75q-0.375 0.109375 -0.84375 0.171875q-0.46875 0.0625 -0.96875 0.0625q-0.65625 0 -1.140625 -0.171875q-0.484375 -0.1875 -0.796875 -0.53125q-0.3125 -0.359375 -0.46875 -0.859375q-0.140625 -0.5 -0.140625 -1.140625q0 -0.546875 0.15625 -1.03125q0.15625 -0.5 0.453125 -0.859375q0.3125 -0.375 0.75 -0.59375q0.453125 -0.21875 1.015625 -0.21875q0.546875 0 0.96875 0.171875q0.421875 0.171875 0.703125 0.484375q0.296875 0.3125 0.4375 0.765625q0.15625 0.453125 0.15625 1.0zm-0.953125 -0.125q0.015625 -0.34375 -0.0625 -0.625q-0.078125 -0.296875 -0.265625 -0.5q-0.171875 -0.21875 -0.4375 -0.328125q-0.25 -0.125 -0.59375 -0.125q-0.296875 0 -0.546875 0.125q-0.234375 0.109375 -0.421875 0.3125q-0.171875 0.203125 -0.28125 0.5q-0.109375 0.296875 -0.125 0.640625l2.734375 0zm2.3901062 -2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm10.890106 7.359375l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm2.6088562 -6.609375l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m128.0 81.314964l-37.448822 0" fill-rule="evenodd"/><path stroke="#000000" stroke-width="1.0" stroke-linejoin="round" stroke-linecap="butt" d="m128.0 81.314964l-31.448822 0" fill-rule="evenodd"/><path fill="#000000" stroke="#000000" stroke-width="1.0" stroke-linecap="butt" d="m96.55118 79.66323l-4.5380936 1.6517334l4.5380936 1.6517258z" fill-rule="evenodd"/><path fill="#000000" fill-opacity="0.0" d="m0 73.314964l88.0 0l0 16.0l-88.0 0z" fill-rule="evenodd"/><path fill="#000000" d="m40.56717 80.85184q0 0.6875 -0.203125 1.21875q-0.1875 0.515625 -0.53125 0.859375q-0.34375 0.34375 -0.8125 0.515625q-0.46875 0.171875 -1.015625 0.171875q-0.25 0 -0.5 -0.03125q-0.234375 -0.015625 -0.5 -0.078125l0 2.1875l-0.90625 0l0 -7.359375l0.8125 0l0.0625 0.875q0.390625 -0.546875 0.828125 -0.75q0.4375 -0.21875 0.953125 -0.21875q0.453125 0 0.78125 0.1875q0.34375 0.1875 0.5625 0.53125q0.234375 0.328125 0.34375 0.8125q0.125 0.484375 0.125 1.078125zm-0.921875 0.03125q0 -0.40625 -0.0625 -0.75q-0.0625 -0.34375 -0.203125 -0.578125q-0.125 -0.25 -0.328125 -0.390625q-0.203125 -0.140625 -0.484375 -0.140625q-0.171875 0 -0.34375 0.0625q-0.171875 0.046875 -0.359375 0.1875q-0.1875 0.125 -0.40625 0.34375q-0.203125 0.203125 -0.453125 0.515625l0 2.53125q0.25 0.09375 0.53125 0.15625q0.28125 0.0625 0.53125 0.0625q0.734375 0 1.15625 -0.5q0.421875 -0.5 0.421875 -1.5zm4.093231 -1.796875l-1.546875 0l0 -0.75l2.46875 0l0 4.46875l1.546875 0l0 0.75l-4.1875 0l0 -0.75l1.71875 0l0 -3.71875zm0.3125 -2.953125q0.15625 0 0.28125 0.0625q0.140625 0.046875 0.234375 0.15625q0.09375 0.09375 0.140625 0.21875q0.0625 0.125 0.0625 0.28125q0 0.140625 -0.0625 0.28125q-0.046875 0.125 -0.140625 0.234375q-0.09375 0.09375 -0.234375 0.15625q-0.125 0.046875 -0.28125 0.046875q-0.140625 0 -0.28125 -0.046875q-0.125 -0.0625 -0.21875 -0.15625q-0.09375 -0.109375 -0.15625 -0.234375q-0.046875 -0.140625 -0.046875 -0.28125q0 -0.15625 0.046875 -0.28125q0.0625 -0.125 0.15625 -0.21875q0.09375 -0.109375 0.21875 -0.15625q0.140625 -0.0625 0.28125 -0.0625zm3.7651062 2.203125l0.8125 0l0.03125 0.84375q0.234375 -0.28125 0.4375 -0.453125q0.21875 -0.1875 0.421875 -0.296875q0.203125 -0.109375 0.421875 -0.140625q0.21875 -0.046875 0.4375 -0.046875q0.8125 0 1.21875 0.484375q0.421875 0.46875 0.421875 1.421875l0 3.40625l-0.90625 0l0 -3.328125q0 -0.625 -0.234375 -0.90625q-0.21875 -0.296875 -0.671875 -0.296875q-0.171875 0 -0.328125 0.046875q-0.15625 0.046875 -0.328125 0.171875q-0.171875 0.125 -0.375 0.328125q-0.203125 0.203125 -0.453125 0.515625l0 3.46875l-0.90625 0l0 -5.21875zm9.624481 0.734375q0.140625 0.1875 0.21875 0.4375q0.09375 0.234375 0.09375 0.515625q0 0.40625 -0.15625 0.734375q-0.140625 0.328125 -0.421875 0.578125q-0.265625 0.234375 -0.640625 0.375q-0.375 0.125 -0.828125 0.125q-0.328125 0 -0.625 -0.0625q-0.28125 -0.078125 -0.4375 -0.1875q-0.109375 0.15625 -0.171875 0.28125q-0.0625 0.125 -0.0625 0.296875q0 0.1875 0.1875 0.328125q0.1875 0.125 0.5 0.140625l1.375 0.046875q0.390625 0.015625 0.71875 0.109375q0.328125 0.078125 0.5625 0.25q0.234375 0.15625 0.359375 0.40625q0.140625 0.25 0.140625 0.5625q0 0.359375 -0.15625 0.671875q-0.140625 0.3125 -0.453125 0.546875q-0.3125 0.234375 -0.796875 0.375q-0.484375 0.140625 -1.15625 0.140625q-0.625 0 -1.078125 -0.109375q-0.4375 -0.09375 -0.734375 -0.28125q-0.28125 -0.171875 -0.421875 -0.421875q-0.125 -0.25 -0.125 -0.546875q0 -0.375 0.171875 -0.671875q0.1875 -0.28125 0.5625 -0.546875q-0.140625 -0.0625 -0.25 -0.15625q-0.09375 -0.09375 -0.15625 -0.203125q-0.0625 -0.109375 -0.09375 -0.234375q-0.03125 -0.125 -0.03125 -0.25q0 -0.328125 0.15625 -0.609375q0.171875 -0.28125 0.390625 -0.53125q-0.109375 -0.125 -0.1875 -0.234375q-0.0625 -0.109375 -0.125 -0.234375q-0.046875 -0.140625 -0.078125 -0.296875q-0.03125 -0.15625 -0.03125 -0.359375q0 -0.40625 0.140625 -0.734375q0.15625 -0.34375 0.421875 -0.578125q0.265625 -0.234375 0.640625 -0.359375q0.390625 -0.140625 0.84375 -0.140625q0.1875 0 0.359375 0.03125q0.1875 0.015625 0.3125 0.0625l1.90625 0l0 0.734375l-0.84375 0zm-3.140625 5.234375q0 0.375 0.375 0.546875q0.390625 0.171875 1.078125 0.171875q0.4375 0 0.71875 -0.078125q0.296875 -0.078125 0.46875 -0.21875q0.1875 -0.125 0.265625 -0.296875q0.078125 -0.15625 0.078125 -0.328125q0 -0.3125 -0.265625 -0.46875q-0.25 -0.140625 -0.78125 -0.171875l-1.359375 -0.046875q-0.171875 0.109375 -0.296875 0.21875q-0.109375 0.109375 -0.171875 0.21875q-0.0625 0.125 -0.09375 0.234375q-0.015625 0.109375 -0.015625 0.21875zm0.28125 -4.265625q0 0.25 0.078125 0.46875q0.078125 0.203125 0.234375 0.34375q0.15625 0.140625 0.359375 0.21875q0.203125 0.078125 0.453125 0.078125q0.265625 0 0.46875 -0.078125q0.21875 -0.09375 0.359375 -0.25q0.140625 -0.15625 0.21875 -0.359375q0.078125 -0.203125 0.078125 -0.421875q0 -0.25 -0.09375 -0.453125q-0.078125 -0.21875 -0.234375 -0.359375q-0.140625 -0.140625 -0.34375 -0.21875q-0.203125 -0.09375 -0.453125 -0.09375q-0.265625 0 -0.484375 0.09375q-0.203125 0.09375 -0.359375 0.25q-0.140625 0.15625 -0.21875 0.359375q-0.0625 0.203125 -0.0625 0.421875zm9.983856 5.65625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.374481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75zm6.936981 2.609375l-1.25 0l-2.421875 -2.796875l0 2.796875l-0.90625 0l0 -7.359375l0.90625 0l0 4.53125l2.34375 -2.390625l1.1875 0l-2.453125 2.40625l2.59375 2.8125zm6.186981 2.140625l-5.859375 0l0 -0.75l5.859375 0l0 0.75zm5.374481 -4.796875q0 0.609375 -0.171875 1.125q-0.171875 0.5 -0.5 0.859375q-0.3125 0.359375 -0.78125 0.5625q-0.453125 0.203125 -1.046875 0.203125q-0.5625 0 -1.015625 -0.171875q-0.4375 -0.171875 -0.75 -0.515625q-0.296875 -0.34375 -0.46875 -0.84375q-0.15625 -0.5 -0.15625 -1.140625q0 -0.609375 0.171875 -1.109375q0.171875 -0.5 0.484375 -0.859375q0.328125 -0.375 0.78125 -0.5625q0.46875 -0.203125 1.0625 -0.203125q0.5625 0 1.0 0.171875q0.453125 0.171875 0.75 0.515625q0.3125 0.328125 0.46875 0.828125q0.171875 0.5 0.171875 1.140625zm-0.921875 0.046875q0 -0.484375 -0.109375 -0.84375q-0.109375 -0.359375 -0.3125 -0.59375q-0.1875 -0.25 -0.46875 -0.375q-0.28125 -0.125 -0.640625 -0.125q-0.390625 0 -0.6875 0.15625q-0.28125 0.15625 -0.46875 0.421875q-0.1875 0.265625 -0.28125 0.625q-0.078125 0.34375 -0.078125 0.734375q0 0.484375 0.109375 0.84375q0.109375 0.359375 0.296875 0.609375q0.203125 0.234375 0.484375 0.359375q0.28125 0.125 0.625 0.125q0.40625 0 0.6875 -0.15625q0.296875 -0.15625 0.484375 -0.421875q0.1875 -0.265625 0.265625 -0.609375q0.09375 -0.359375 0.09375 -0.75z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m24.0 16.0l136.0 0l0 16.0l-136.0 0z" fill-rule="evenodd"/><path fill="#666666" d="m30.296875 31.36l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.796875 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm10.015625 3.3125l-8.796875 0l0 -1.125l8.796875 0l0 1.125zm7.5625 -3.203125l-1.359375 0l0 -5.015625q0 -0.90625 -0.34375 -1.34375q-0.34375 -0.453125 -0.96875 -0.453125q-0.28125 0 -0.515625 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.703125 0.78125l0 5.203125l-1.359375 0l0 -11.046875l1.359375 0l0 3.203125l-0.046875 1.234375q0.328125 -0.390625 0.625 -0.640625q0.3125 -0.265625 0.625 -0.421875q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.078125 0.671875 -0.078125q1.171875 0 1.8125 0.71875q0.640625 0.703125 0.640625 2.140625l0 5.125zm7.53125 0l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm3.90625 -4.265625l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm8.40625 4.078125q0 -1.0 0.265625 -1.765625q0.28125 -0.78125 0.78125 -1.3125q0.5 -0.546875 1.203125 -0.8125q0.71875 -0.28125 1.578125 -0.28125q0.375 0 0.734375 0.046875q0.375 0.046875 0.71875 0.140625l0 -3.296875l1.375 0l0 11.046875l-1.21875 0l-0.046875 -1.484375q-0.578125 0.828125 -1.25 1.234375q-0.65625 0.390625 -1.421875 0.390625q-0.671875 0 -1.1875 -0.28125q-0.515625 -0.28125 -0.859375 -0.796875q-0.328125 -0.515625 -0.5 -1.234375q-0.171875 -0.71875 -0.171875 -1.59375zm1.390625 -0.078125q0 1.421875 0.40625 2.125q0.421875 0.6875 1.1875 0.6875q0.515625 0 1.09375 -0.453125q0.578125 -0.46875 1.203125 -1.375l0 -3.640625q-0.328125 -0.15625 -0.734375 -0.234375q-0.40625 -0.078125 -0.8125 -0.078125q-1.109375 0 -1.734375 0.71875q-0.609375 0.71875 -0.609375 2.25zm10.46875 -6.078125l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm12.75 5.578125q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375z" fill-rule="nonzero"/><path fill="#000000" fill-opacity="0.0" d="m496.0 16.0l256.0 0l0 16.0l-256.0 0z" fill-rule="evenodd"/><path fill="#666666" d="m539.9531 27.01625q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm9.796875 2.390625q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.671875 1.84375q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm7.75 0.296875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm6.578125 -6.34375l-2.3125 0l0 -1.125l3.6875 0l0 9.90625l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -8.78125zm11.171875 9.921875l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.234375 3.46875q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm5.140625 -6.609375l-2.3125 0l0 -1.125l3.6875 0l0 6.703125l2.34375 0l0 1.140625l-6.296875 0l0 -1.140625l2.578125 0l0 -5.578125zm0.484375 -4.421875q0.21875 0 0.40625 0.09375q0.203125 0.078125 0.34375 0.234375q0.15625 0.140625 0.234375 0.328125q0.078125 0.1875 0.078125 0.421875q0 0.21875 -0.078125 0.421875q-0.078125 0.1875 -0.234375 0.34375q-0.140625 0.140625 -0.34375 0.21875q-0.1875 0.078125 -0.40625 0.078125q-0.234375 0 -0.4375 -0.078125q-0.1875 -0.078125 -0.328125 -0.21875q-0.140625 -0.15625 -0.234375 -0.34375q-0.078125 -0.203125 -0.078125 -0.421875q0 -0.234375 0.078125 -0.421875q0.09375 -0.1875 0.234375 -0.328125q0.140625 -0.15625 0.328125 -0.234375q0.203125 -0.09375 0.4375 -0.09375zm12.46875 7.15625q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm3.359375 -3.921875l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm23.6875 7.546875q-0.53125 0.203125 -1.09375 0.296875q-0.546875 0.109375 -1.140625 0.109375q-1.859375 0 -2.875 -1.0q-1.0 -1.015625 -1.0 -2.953125q0 -0.9375 0.28125 -1.6875q0.296875 -0.765625 0.8125 -1.296875q0.53125 -0.546875 1.25 -0.828125q0.734375 -0.296875 1.609375 -0.296875q0.609375 0 1.140625 0.09375q0.53125 0.078125 1.015625 0.265625l0 1.296875q-0.5 -0.265625 -1.03125 -0.375q-0.53125 -0.125 -1.09375 -0.125q-0.515625 0 -0.984375 0.203125q-0.46875 0.1875 -0.828125 0.5625q-0.34375 0.375 -0.546875 0.921875q-0.203125 0.53125 -0.203125 1.21875q0 1.421875 0.6875 2.125q0.703125 0.703125 1.921875 0.703125q0.5625 0 1.078125 -0.125q0.515625 -0.125 1.0 -0.375l0 1.265625zm9.53125 -3.6875q0 0.90625 -0.265625 1.671875q-0.25 0.765625 -0.734375 1.3125q-0.484375 0.53125 -1.1875 0.84375q-0.6875 0.296875 -1.5625 0.296875q-0.84375 0 -1.515625 -0.265625q-0.671875 -0.265625 -1.140625 -0.765625q-0.453125 -0.515625 -0.703125 -1.265625q-0.25 -0.75 -0.25 -1.71875q0 -0.921875 0.25 -1.671875q0.265625 -0.75 0.75 -1.296875q0.484375 -0.546875 1.171875 -0.84375q0.703125 -0.296875 1.59375 -0.296875q0.84375 0 1.5 0.265625q0.671875 0.25 1.140625 0.765625q0.46875 0.5 0.703125 1.25q0.25 0.75 0.25 1.71875zm-1.390625 0.0625q0 -0.734375 -0.15625 -1.265625q-0.15625 -0.546875 -0.453125 -0.90625q-0.296875 -0.375 -0.734375 -0.546875q-0.421875 -0.1875 -0.9375 -0.1875q-0.609375 0 -1.046875 0.234375q-0.4375 0.234375 -0.71875 0.640625q-0.265625 0.390625 -0.40625 0.921875q-0.125 0.53125 -0.125 1.109375q0 0.71875 0.15625 1.265625q0.171875 0.546875 0.46875 0.921875q0.296875 0.359375 0.71875 0.546875q0.421875 0.171875 0.953125 0.171875q0.609375 0 1.03125 -0.234375q0.4375 -0.234375 0.71875 -0.625q0.28125 -0.40625 0.40625 -0.9375q0.125 -0.53125 0.125 -1.109375zm9.671875 3.921875l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm2.484375 -7.84375l1.21875 0l0.046875 1.265625q0.34375 -0.40625 0.65625 -0.671875q0.328125 -0.28125 0.640625 -0.4375q0.3125 -0.171875 0.625 -0.234375q0.328125 -0.0625 0.671875 -0.0625q1.203125 0 1.828125 0.71875q0.625 0.703125 0.625 2.140625l0 5.125l-1.359375 0l0 -5.015625q0 -0.921875 -0.34375 -1.359375q-0.34375 -0.4375 -1.03125 -0.4375q-0.25 0 -0.484375 0.078125q-0.234375 0.0625 -0.5 0.25q-0.25 0.1875 -0.5625 0.5q-0.296875 0.3125 -0.671875 0.78125l0 5.203125l-1.359375 0l0 -7.84375zm15.125 7.734375q-0.46875 0.125 -0.96875 0.171875q-0.484375 0.046875 -0.984375 0.046875q-1.484375 0 -2.21875 -0.671875q-0.71875 -0.671875 -0.71875 -2.046875l0 -4.09375l-2.1875 0l0 -1.140625l2.1875 0l0 -2.15625l1.359375 -0.359375l0 2.515625l3.53125 0l0 1.140625l-3.53125 0l0 3.984375q0 0.84375 0.453125 1.265625q0.453125 0.40625 1.328125 0.40625q0.375 0 0.8125 -0.046875q0.453125 -0.0625 0.9375 -0.1875l0 1.171875zm9.09375 -4.234375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm3.90625 -3.3125l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm14.0625 7.84375l0 -5.640625q0 -0.359375 -0.03125 -0.59375q-0.015625 -0.234375 -0.078125 -0.375q-0.0625 -0.140625 -0.15625 -0.1875q-0.078125 -0.0625 -0.21875 -0.0625q-0.15625 0 -0.296875 0.09375q-0.125 0.09375 -0.28125 0.3125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.4375 0.84375l0 5.046875l-1.234375 0l0 -5.484375q0 -0.4375 -0.03125 -0.703125q-0.03125 -0.265625 -0.09375 -0.40625q-0.046875 -0.15625 -0.140625 -0.203125q-0.09375 -0.0625 -0.234375 -0.0625q-0.140625 0 -0.265625 0.078125q-0.125 0.078125 -0.28125 0.28125q-0.140625 0.203125 -0.328125 0.5625q-0.1875 0.34375 -0.453125 0.890625l0 5.046875l-1.25 0l0 -7.84375l1.046875 0l0.0625 1.484375q0.203125 -0.4375 0.390625 -0.75q0.1875 -0.3125 0.390625 -0.5q0.203125 -0.203125 0.4375 -0.28125q0.234375 -0.09375 0.515625 -0.09375q0.625 0 0.953125 0.421875q0.328125 0.40625 0.328125 1.265625q0.1875 -0.40625 0.359375 -0.71875q0.1875 -0.3125 0.390625 -0.53125q0.21875 -0.21875 0.46875 -0.328125q0.25 -0.109375 0.578125 -0.109375q1.46875 0 1.46875 2.265625l0 5.71875l-1.25 0zm9.828125 -4.34375q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0zm8.625 4.53125l-0.03125 -1.0625q-0.640625 0.640625 -1.3125 0.921875q-0.65625 0.28125 -1.375 0.28125q-0.671875 0 -1.15625 -0.171875q-0.46875 -0.171875 -0.78125 -0.46875q-0.3125 -0.3125 -0.453125 -0.71875q-0.140625 -0.40625 -0.140625 -0.875q0 -1.1875 0.875 -1.84375q0.875 -0.671875 2.59375 -0.671875l1.625 0l0 -0.6875q0 -0.703125 -0.453125 -1.109375q-0.4375 -0.421875 -1.34375 -0.421875q-0.671875 0 -1.3125 0.15625q-0.640625 0.140625 -1.328125 0.40625l0 -1.21875q0.25 -0.09375 0.5625 -0.1875q0.328125 -0.09375 0.671875 -0.15625q0.359375 -0.078125 0.734375 -0.109375q0.390625 -0.046875 0.78125 -0.046875q0.703125 0 1.265625 0.15625q0.578125 0.15625 0.96875 0.484375q0.40625 0.3125 0.609375 0.796875q0.21875 0.484375 0.21875 1.140625l0 5.40625l-1.21875 0zm-0.15625 -3.578125l-1.71875 0q-0.515625 0 -0.890625 0.109375q-0.359375 0.09375 -0.59375 0.28125q-0.234375 0.1875 -0.34375 0.453125q-0.109375 0.265625 -0.109375 0.59375q0 0.21875 0.0625 0.4375q0.078125 0.203125 0.234375 0.359375q0.15625 0.15625 0.40625 0.25q0.25 0.09375 0.609375 0.09375q0.46875 0 1.0625 -0.28125q0.609375 -0.28125 1.28125 -0.90625l0 -1.390625zm10.125 1.4375q0 0.40625 -0.140625 0.734375q-0.140625 0.328125 -0.390625 0.59375q-0.234375 0.25 -0.5625 0.4375q-0.3125 0.171875 -0.6875 0.296875q-0.359375 0.109375 -0.75 0.15625q-0.375 0.0625 -0.734375 0.0625q-0.796875 0 -1.46875 -0.078125q-0.671875 -0.0625 -1.3125 -0.21875l0 -1.25q0.6875 0.1875 1.359375 0.296875q0.6875 0.09375 1.359375 0.09375q0.984375 0 1.453125 -0.265625q0.46875 -0.265625 0.46875 -0.75q0 -0.21875 -0.078125 -0.375q-0.078125 -0.171875 -0.28125 -0.328125q-0.1875 -0.15625 -0.59375 -0.3125q-0.40625 -0.171875 -1.125 -0.375q-0.53125 -0.15625 -0.984375 -0.359375q-0.4375 -0.203125 -0.765625 -0.46875q-0.328125 -0.28125 -0.515625 -0.640625q-0.1875 -0.375 -0.1875 -0.875q0 -0.328125 0.140625 -0.71875q0.15625 -0.390625 0.515625 -0.71875q0.375 -0.34375 1.0 -0.5625q0.625 -0.21875 1.5625 -0.21875q0.46875 0 1.03125 0.046875q0.5625 0.046875 1.171875 0.171875l0 1.21875q-0.640625 -0.15625 -1.21875 -0.234375q-0.578125 -0.078125 -1.0 -0.078125q-0.5 0 -0.859375 0.078125q-0.34375 0.078125 -0.5625 0.21875q-0.203125 0.140625 -0.296875 0.328125q-0.09375 0.171875 -0.09375 0.390625q0 0.203125 0.078125 0.375q0.078125 0.171875 0.296875 0.34375q0.234375 0.15625 0.625 0.3125q0.40625 0.15625 1.046875 0.34375q0.6875 0.203125 1.15625 0.4375q0.484375 0.21875 0.78125 0.5q0.296875 0.265625 0.421875 0.609375q0.140625 0.34375 0.140625 0.78125zm8.890625 2.140625l-1.21875 0l-0.046875 -1.265625q-0.359375 0.40625 -0.671875 0.671875q-0.3125 0.265625 -0.625 0.4375q-0.3125 0.171875 -0.640625 0.234375q-0.3125 0.0625 -0.65625 0.0625q-1.21875 0 -1.84375 -0.703125q-0.609375 -0.71875 -0.609375 -2.15625l0 -5.125l1.359375 0l0 5.015625q0 1.796875 1.359375 1.796875q0.25 0 0.484375 -0.0625q0.25 -0.078125 0.5 -0.265625q0.265625 -0.1875 0.5625 -0.5q0.3125 -0.3125 0.6875 -0.78125l0 -5.203125l1.359375 0l0 7.84375zm2.8125 -7.84375l1.234375 0l0.046875 1.4375q0.6875 -0.828125 1.359375 -1.203125q0.6875 -0.375 1.375 -0.375q1.21875 0 1.84375 0.796875q0.625 0.78125 0.578125 2.328125l-1.375 0q0.03125 -1.03125 -0.296875 -1.484375q-0.328125 -0.46875 -0.953125 -0.46875q-0.265625 0 -0.546875 0.09375q-0.28125 0.09375 -0.578125 0.3125q-0.28125 0.21875 -0.609375 0.5625q-0.328125 0.328125 -0.703125 0.796875l0 5.046875l-1.375 0l0 -7.84375zm15.09375 3.5q0 0.296875 -0.015625 0.5q0 0.1875 -0.015625 0.359375l-5.5 0q0 1.203125 0.671875 1.84375q0.671875 0.640625 1.9375 0.640625q0.34375 0 0.6875 -0.015625q0.34375 -0.03125 0.65625 -0.078125q0.328125 -0.046875 0.609375 -0.109375q0.296875 -0.0625 0.546875 -0.125l0 1.109375q-0.546875 0.15625 -1.25 0.25q-0.703125 0.109375 -1.453125 0.109375q-1.0 0 -1.734375 -0.265625q-0.71875 -0.28125 -1.1875 -0.796875q-0.46875 -0.53125 -0.6875 -1.28125q-0.21875 -0.75 -0.21875 -1.703125q0 -0.828125 0.234375 -1.5625q0.234375 -0.75 0.6875 -1.296875q0.46875 -0.5625 1.125 -0.890625q0.671875 -0.328125 1.515625 -0.328125q0.8125 0 1.4375 0.265625q0.640625 0.25 1.078125 0.71875q0.4375 0.46875 0.65625 1.15625q0.21875 0.671875 0.21875 1.5zm-1.40625 -0.1875q0.015625 -0.53125 -0.109375 -0.953125q-0.125 -0.4375 -0.390625 -0.75q-0.25 -0.3125 -0.640625 -0.484375q-0.390625 -0.1875 -0.90625 -0.1875q-0.453125 0 -0.828125 0.171875q-0.359375 0.171875 -0.625 0.484375q-0.265625 0.3125 -0.4375 0.75q-0.15625 0.4375 -0.1875 0.96875l4.125 0z" fill-rule="nonzero"/></g></svg>
\ No newline at end of file
diff --git a/hw/ip/alert_handler/dv/tb/tb.sv b/hw/ip/alert_handler/dv/tb/tb.sv
index ae66cf8..541f240 100644
--- a/hw/ip/alert_handler/dv/tb/tb.sv
+++ b/hw/ip/alert_handler/dv/tb/tb.sv
@@ -29,54 +29,41 @@
tl_if tl_if(.clk(clk), .rst_n(rst_n));
// dut signals
- logic [alert_pkg::NAlerts-1:0] alert_p;
- logic [alert_pkg::NAlerts-1:0] alert_n;
- logic [alert_pkg::NAlerts-1:0] ack_p;
- logic [alert_pkg::NAlerts-1:0] ack_n;
- logic [alert_pkg::NAlerts-1:0] ping_p;
- logic [alert_pkg::NAlerts-1:0] ping_n;
+ prim_pkg::alert_rx_t [alert_pkg::NAlerts-1:0] alert_rx;
+ prim_pkg::alert_tx_t [alert_pkg::NAlerts-1:0] alert_tx;
- logic [alert_pkg::N_ESC_SEV-1:0] esc_p;
- logic [alert_pkg::N_ESC_SEV-1:0] esc_n;
- logic [alert_pkg::N_ESC_SEV-1:0] resp_p;
- logic [alert_pkg::N_ESC_SEV-1:0] resp_n;
+ prim_pkg::esc_rx_t [alert_pkg::N_ESC_SEV-1:0] esc_rx;
+ prim_pkg::esc_tx_t [alert_pkg::N_ESC_SEV-1:0] esc_tx;
// escalation sender duts
for (genvar k = 0; k < alert_pkg::NAlerts; k++) begin : gen_alert_tx
prim_alert_sender #(
.AsyncOn(alert_pkg::AsyncOn[k])
) i_prim_alert_sender (
- .clk_i ( clk ),
- .rst_ni ( rst_n ),
- .alert_i ( alerts[k] ),
- .ping_pi ( ping_p[k] ),
- .ping_ni ( ping_n[k] ),
- .ack_pi ( ack_p[k] ),
- .ack_ni ( ack_n[k] ),
- .alert_po ( alert_p[k] ),
- .alert_no ( alert_n[k] )
+ .clk_i ( clk ),
+ .rst_ni ( rst_n ),
+ .alert_i ( alerts[k] ),
+ .alert_rx_i ( alert_rx[k] ),
+ .alert_tx_o ( alert_tx[k] )
);
end
// main dut
alert_handler dut (
- .clk_i ( clk ),
- .rst_ni ( rst_n ),
- .tl_i ( tl_if.h2d ),
- .tl_o ( tl_if.d2h ),
- .irq_o ( interrupts[alert_pkg::N_CLASSES-1:0] ),
- .crashdump_o ( ),
- .entropy_i ( entropy ),
- .alert_pi ( alert_p ),
- .alert_ni ( alert_n ),
- .ack_po ( ack_p ),
- .ack_no ( ack_n ),
- .ping_po ( ping_p ),
- .ping_no ( ping_n ),
- .esc_po ( esc_p ),
- .esc_no ( esc_n ),
- .resp_pi ( resp_p ),
- .resp_ni ( resp_n )
+ .clk_i ( clk ),
+ .rst_ni ( rst_n ),
+ .tl_i ( tl_if.h2d ),
+ .tl_o ( tl_if.d2h ),
+ .intr_classa_o ( interrupts[0] ),
+ .intr_classb_o ( interrupts[1] ),
+ .intr_classc_o ( interrupts[2] ),
+ .intr_classd_o ( interrupts[3] ),
+ .crashdump_o ( ),
+ .entropy_i ( entropy ),
+ .alert_rx_o ( alert_rx ),
+ .alert_tx_i ( alert_tx ),
+ .esc_rx_i ( esc_rx ),
+ .esc_tx_o ( esc_tx )
);
// escalation receiver duts
@@ -85,10 +72,8 @@
.clk_i ( clk ),
.rst_ni ( rst_n ),
.esc_en_o ( esc_en[k] ),
- .resp_po ( resp_p[k] ),
- .resp_no ( resp_n[k] ),
- .esc_pi ( esc_p[k] ),
- .esc_ni ( esc_n[k] )
+ .esc_rx_o ( esc_rx[k] ),
+ .esc_tx_i ( esc_tx[k] )
);
end
diff --git a/hw/ip/alert_handler/rtl/alert_handler.sv b/hw/ip/alert_handler/rtl/alert_handler.sv
index 42386aa..fc5f346 100644
--- a/hw/ip/alert_handler/rtl/alert_handler.sv
+++ b/hw/ip/alert_handler/rtl/alert_handler.sv
@@ -8,47 +8,51 @@
// have to be generated using the reg_alert_handler.py script.
//
-module alert_handler (
- input clk_i,
- input rst_ni,
+module alert_handler import alert_pkg::*; import prim_pkg::*; (
+ input clk_i,
+ input rst_ni,
// Bus Interface (device)
- input tlul_pkg::tl_h2d_t tl_i,
- output tlul_pkg::tl_d2h_t tl_o,
+ input tlul_pkg::tl_h2d_t tl_i,
+ output tlul_pkg::tl_d2h_t tl_o,
// Interrupt Requests
- output logic [alert_pkg::N_CLASSES-1:0] irq_o,
+ output logic intr_classa_o,
+ output logic intr_classb_o,
+ output logic intr_classc_o,
+ output logic intr_classd_o,
// State information for HW crashdump
- output alert_pkg::alert_crashdump_t crashdump_o,
+ output alert_crashdump_t crashdump_o,
// Entropy Input from TRNG
- input entropy_i,
+ input entropy_i,
// Alert Sources
- input [alert_pkg::NAlerts-1:0] alert_pi,
- input [alert_pkg::NAlerts-1:0] alert_ni,
- output logic [alert_pkg::NAlerts-1:0] ack_po,
- output logic [alert_pkg::NAlerts-1:0] ack_no,
- output logic [alert_pkg::NAlerts-1:0] ping_po,
- output logic [alert_pkg::NAlerts-1:0] ping_no,
+ input alert_tx_t [NAlerts-1:0] alert_tx_i,
+ output alert_rx_t [NAlerts-1:0] alert_rx_o,
// Escalation outputs
- output logic [alert_pkg::N_ESC_SEV-1:0] esc_po,
- output logic [alert_pkg::N_ESC_SEV-1:0] esc_no,
- input [alert_pkg::N_ESC_SEV-1:0] resp_pi,
- input [alert_pkg::N_ESC_SEV-1:0] resp_ni
+ input esc_rx_t [N_ESC_SEV-1:0] esc_rx_i,
+ output esc_tx_t [N_ESC_SEV-1:0] esc_tx_o
);
//////////////////////////////////
// Regfile Breakout and Mapping //
//////////////////////////////////
- alert_pkg::hw2reg_wrap_t hw2reg_wrap;
- alert_pkg::reg2hw_wrap_t reg2hw_wrap;
+ logic [N_CLASSES-1:0] irq;
+ hw2reg_wrap_t hw2reg_wrap;
+ reg2hw_wrap_t reg2hw_wrap;
+
+ // TODO: make this fully parametric at some point
+ assign {intr_classd_o,
+ intr_classc_o,
+ intr_classb_o,
+ intr_classa_o} = irq;
alert_handler_reg_wrap i_reg_wrap (
- .clk_i ,
- .rst_ni ,
- .tl_i ,
- .tl_o ,
- .irq_o ,
- .crashdump_o ,
- .hw2reg_wrap ,
+ .clk_i,
+ .rst_ni,
+ .tl_i,
+ .tl_o,
+ .irq_o ( irq ),
+ .crashdump_o,
+ .hw2reg_wrap,
.reg2hw_wrap
);
@@ -56,12 +60,12 @@
// Ping Timer //
////////////////
- logic [alert_pkg::N_LOC_ALERT-1:0] loc_alert_trig;
+ logic [N_LOC_ALERT-1:0] loc_alert_trig;
- logic [alert_pkg::NAlerts-1:0] alert_ping_en;
- logic [alert_pkg::NAlerts-1:0] alert_ping_ok;
- logic [alert_pkg::N_ESC_SEV-1:0] esc_ping_en;
- logic [alert_pkg::N_ESC_SEV-1:0] esc_ping_ok;
+ logic [NAlerts-1:0] alert_ping_en;
+ logic [NAlerts-1:0] alert_ping_ok;
+ logic [N_ESC_SEV-1:0] esc_ping_en;
+ logic [N_ESC_SEV-1:0] esc_ping_ok;
alert_handler_ping_timer i_ping_timer (
.clk_i,
@@ -84,26 +88,22 @@
// Alert Receivers //
/////////////////////
- logic [alert_pkg::NAlerts-1:0] alert_integfail;
- logic [alert_pkg::NAlerts-1:0] alert_trig;
+ logic [NAlerts-1:0] alert_integfail;
+ logic [NAlerts-1:0] alert_trig;
// Target interrupt notification
- for (genvar k = 0 ; k < alert_pkg::NAlerts ; k++) begin : gen_alerts
+ for (genvar k = 0 ; k < NAlerts ; k++) begin : gen_alerts
prim_alert_receiver #(
- .AsyncOn(alert_pkg::AsyncOn[k])
+ .AsyncOn(AsyncOn[k])
) i_alert_receiver (
- .clk_i ,
- .rst_ni ,
- .ping_en_i ( alert_ping_en[k] ),
- .ping_ok_o ( alert_ping_ok[k] ),
- .integ_fail_o ( alert_integfail[k] ),
- .alert_o ( alert_trig[k] ),
- .ping_po ( ping_po[k] ),
- .ping_no ( ping_no[k] ),
- .ack_po ( ack_po[k] ),
- .ack_no ( ack_no[k] ),
- .alert_pi ( alert_pi[k] ),
- .alert_ni ( alert_ni[k] )
+ .clk_i ,
+ .rst_ni ,
+ .ping_en_i ( alert_ping_en[k] ),
+ .ping_ok_o ( alert_ping_ok[k] ),
+ .integ_fail_o ( alert_integfail[k] ),
+ .alert_o ( alert_trig[k] ),
+ .alert_rx_o ( alert_rx_o[k] ),
+ .alert_tx_i ( alert_tx_i[k] )
);
end
@@ -130,10 +130,10 @@
// Escalation Handling of Classes //
////////////////////////////////////
- logic [alert_pkg::N_CLASSES-1:0] class_accum_trig;
- logic [alert_pkg::N_CLASSES-1:0][alert_pkg::N_ESC_SEV-1:0] class_esc_sig_en;
+ logic [N_CLASSES-1:0] class_accum_trig;
+ logic [N_CLASSES-1:0][N_ESC_SEV-1:0] class_esc_sig_en;
- for (genvar k = 0; k < alert_pkg::N_CLASSES; k++) begin : gen_classes
+ for (genvar k = 0; k < N_CLASSES; k++) begin : gen_classes
alert_handler_accu i_accu (
.clk_i,
.rst_ni,
@@ -151,7 +151,7 @@
// this clear does not apply to interrupts
.clr_i ( reg2hw_wrap.class_clr[k] ),
// an interrupt enables the timeout
- .timeout_en_i ( irq_o[k] ),
+ .timeout_en_i ( irq[k] ),
.accum_trig_i ( class_accum_trig[k] ),
.timeout_cyc_i ( reg2hw_wrap.class_timeout_cyc[k] ),
.esc_en_i ( reg2hw_wrap.class_esc_en[k] ),
@@ -168,12 +168,12 @@
// Escalation Senders //
////////////////////////
- logic [alert_pkg::N_ESC_SEV-1:0] esc_sig_en;
- logic [alert_pkg::N_ESC_SEV-1:0] esc_integfail;
- logic [alert_pkg::N_ESC_SEV-1:0][alert_pkg::N_CLASSES-1:0] esc_sig_en_trsp;
+ logic [N_ESC_SEV-1:0] esc_sig_en;
+ logic [N_ESC_SEV-1:0] esc_integfail;
+ logic [N_ESC_SEV-1:0][N_CLASSES-1:0] esc_sig_en_trsp;
- for (genvar k = 0; k < alert_pkg::N_ESC_SEV; k++) begin : gen_esc_sev
- for (genvar j = 0; j < alert_pkg::N_CLASSES; j++) begin : gen_transp
+ for (genvar k = 0; k < N_ESC_SEV; k++) begin : gen_esc_sev
+ for (genvar j = 0; j < N_CLASSES; j++) begin : gen_transp
assign esc_sig_en_trsp[k][j] = class_esc_sig_en[j][k];
end
@@ -186,10 +186,8 @@
.ping_ok_o ( esc_ping_ok[k] ),
.integ_fail_o ( esc_integfail[k] ),
.esc_en_i ( esc_sig_en[k] ),
- .resp_pi ( resp_pi[k] ),
- .resp_ni ( resp_ni[k] ),
- .esc_po ( esc_po[k] ),
- .esc_no ( esc_no[k] )
+ .esc_rx_i ( esc_rx_i[k] ),
+ .esc_tx_o ( esc_tx_o[k] )
);
end
@@ -202,21 +200,19 @@
// check whether all outputs have a good known state after reset
`ASSERT_KNOWN(TlDValidKnownO_A, tl_o.d_valid, clk_i, !rst_ni)
`ASSERT_KNOWN(TlAReadyKnownO_A, tl_o.a_ready, clk_i, !rst_ni)
- `ASSERT_KNOWN(IrqKnownO_A, irq_o, clk_i, !rst_ni)
+ `ASSERT_KNOWN(IrqAKnownO_A, intr_classa_o, clk_i, !rst_ni)
+ `ASSERT_KNOWN(IrqBKnownO_A, intr_classb_o, clk_i, !rst_ni)
+ `ASSERT_KNOWN(IrqCKnownO_A, intr_classc_o, clk_i, !rst_ni)
+ `ASSERT_KNOWN(IrqDKnownO_A, intr_classd_o, clk_i, !rst_ni)
`ASSERT_KNOWN(CrashdumpKnownO_A, crashdump_o, clk_i, !rst_ni)
- `ASSERT_KNOWN(PingPKnownO_A, ping_po, clk_i, !rst_ni)
- `ASSERT_KNOWN(PingNKnownO_A, ping_no, clk_i, !rst_ni)
- `ASSERT_KNOWN(AckPKnownO_A, ack_po, clk_i, !rst_ni)
- `ASSERT_KNOWN(AckNKnownO_A, ack_no, clk_i, !rst_ni)
- `ASSERT_KNOWN(EscPKnownO_A, esc_po, clk_i, !rst_ni)
- `ASSERT_KNOWN(EscNKnownO_A, esc_no, clk_i, !rst_ni)
+ `ASSERT_KNOWN(AckPKnownO_A, alert_rx_o, clk_i, !rst_ni)
+ `ASSERT_KNOWN(EscPKnownO_A, esc_tx_o, clk_i, !rst_ni)
// this restriction is due to specifics in the ping selection mechanism
- `ASSERT_INIT(CheckNAlerts,
- alert_pkg::NAlerts < (256 - alert_pkg::N_CLASSES))
- `ASSERT_INIT(CheckEscCntDw, alert_pkg::EscCntDw <= 32)
- `ASSERT_INIT(CheckAccuCntDw, alert_pkg::AccuCntDw <= 32)
- `ASSERT_INIT(CheckNClasses, alert_pkg::N_CLASSES <= 8)
- `ASSERT_INIT(CheckNEscSev, alert_pkg::N_ESC_SEV <= 8)
+ `ASSERT_INIT(CheckNAlerts, NAlerts < (256 - N_CLASSES))
+ `ASSERT_INIT(CheckEscCntDw, EscCntDw <= 32)
+ `ASSERT_INIT(CheckAccuCntDw, AccuCntDw <= 32)
+ `ASSERT_INIT(CheckNClasses, N_CLASSES <= 8)
+ `ASSERT_INIT(CheckNEscSev, N_ESC_SEV <= 8)
endmodule
diff --git a/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv b/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv
index 9f545fb..f6a13a9 100644
--- a/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv
+++ b/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv
@@ -5,7 +5,7 @@
// Testbench module for alert sender/receiver pair. Intended to use with
// a formal tool.
-module prim_alert_rxtx_async_fpv (
+module prim_alert_rxtx_async_fpv import prim_pkg::*; (
input clk_i,
input rst_ni,
// for sigint error and skew injection only
@@ -35,6 +35,12 @@
logic ack_nd;
logic alert_pd;
logic alert_nd;
+
+ alert_rx_t alert_rx_out, alert_rx_in;
+ alert_tx_t alert_tx_out, alert_tx_in;
+
+ // for the purposes of FPV, we currently emulate the asynchronous transition
+ // only in terms of the skew it may introduce (which is limited to +- 1 cycle)
logic [1:0] ping_pq;
logic [1:0] ping_nq;
logic [1:0] ack_pq;
@@ -42,8 +48,19 @@
logic [1:0] alert_pq;
logic [1:0] alert_nq;
- // for the purposes of FPV, we currently emulate the asynchronous transition
- // only in terms of the skew it may introduce (which is limited to +- 1 cycle)
+ assign ping_pd = alert_rx_out.ping_p;
+ assign ping_nd = alert_rx_out.ping_n;
+ assign ack_pd = alert_rx_out.ack_p;
+ assign ack_nd = alert_rx_out.ack_n;
+ assign alert_rx_in.ping_p = ping_pq[ping_skew_i[0]] ^ ping_err_pi;
+ assign alert_rx_in.ping_n = ping_nq[ping_skew_i[1]] ^ ping_err_ni;
+ assign alert_rx_in.ack_p = ack_pq[ack_skew_i[0]] ^ ack_err_pi;
+ assign alert_rx_in.ack_n = ack_nq[ack_skew_i[1]] ^ ack_err_ni;
+
+ assign alert_pd = alert_tx_out.alert_p;
+ assign alert_nd = alert_tx_out.alert_n;
+ assign alert_tx_in.alert_p = alert_pq[alert_skew_i[0]] ^ alert_err_pi;
+ assign alert_tx_in.alert_n = alert_nq[alert_skew_i[1]] ^ alert_err_ni;
prim_alert_sender #(
.AsyncOn ( AsyncOn )
@@ -51,12 +68,8 @@
.clk_i ,
.rst_ni ,
.alert_i ,
- .ping_pi ( ping_pq[ping_skew_i[0]] ^ ping_err_pi ),
- .ping_ni ( ping_nq[ping_skew_i[1]] ^ ping_err_ni ),
- .ack_pi ( ack_pq[ack_skew_i[0]] ^ ack_err_pi ),
- .ack_ni ( ack_nq[ack_skew_i[1]] ^ ack_err_ni ),
- .alert_po ( alert_pd ),
- .alert_no ( alert_nd )
+ .alert_rx_i ( alert_rx_in ),
+ .alert_tx_o ( alert_tx_out )
);
prim_alert_receiver #(
@@ -68,12 +81,8 @@
.ping_ok_o ,
.integ_fail_o ,
.alert_o ,
- .ping_po ( ping_pd ),
- .ping_no ( ping_nd ),
- .ack_po ( ack_pd ),
- .ack_no ( ack_nd ),
- .alert_pi ( alert_pq[alert_skew_i[0]] ^ alert_err_pi ),
- .alert_ni ( alert_nq[alert_skew_i[1]] ^ alert_err_ni )
+ .alert_rx_o ( alert_rx_out ),
+ .alert_tx_i ( alert_tx_in )
);
always_ff @(posedge clk_i or negedge rst_ni) begin : p_skew_delay
diff --git a/hw/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv b/hw/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv
index e7032e1..644db40 100644
--- a/hw/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv
+++ b/hw/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv
@@ -5,7 +5,7 @@
// Testbench module for alert sender/receiver pair. Intended to use with
// a formal tool.
-module prim_alert_rxtx_fpv (
+module prim_alert_rxtx_fpv import prim_pkg::*; (
input clk_i,
input rst_ni,
// for sigint error injection only
@@ -26,12 +26,16 @@
// synchronous case
localparam bit AsyncOn = 1'b0;
- logic ping_p;
- logic ping_n;
- logic ack_p;
- logic ack_n;
- logic alert_p;
- logic alert_n;
+ alert_rx_t alert_rx_out, alert_rx_in;
+ alert_tx_t alert_tx_out, alert_tx_in;
+
+ assign alert_rx_in.ping_p = alert_rx_out.ping_p ^ ping_err_pi;
+ assign alert_rx_in.ping_n = alert_rx_out.ping_n ^ ping_err_ni;
+ assign alert_rx_in.ack_p = alert_rx_out.ack_p ^ ack_err_pi;
+ assign alert_rx_in.ack_n = alert_rx_out.ack_n ^ ack_err_ni;
+
+ assign alert_tx_in.alert_p = alert_tx_out.alert_p ^ alert_err_pi;
+ assign alert_tx_in.alert_n = alert_tx_out.alert_n ^ alert_err_ni;
prim_alert_sender #(
.AsyncOn ( AsyncOn )
@@ -39,12 +43,8 @@
.clk_i ,
.rst_ni ,
.alert_i ,
- .ping_pi ( ping_p ^ ping_err_pi ),
- .ping_ni ( ping_n ^ ping_err_ni ),
- .ack_pi ( ack_p ^ ack_err_pi ),
- .ack_ni ( ack_n ^ ack_err_ni ),
- .alert_po ( alert_p ),
- .alert_no ( alert_n )
+ .alert_rx_i ( alert_rx_in ),
+ .alert_tx_o ( alert_tx_out )
);
prim_alert_receiver #(
@@ -56,12 +56,8 @@
.ping_ok_o ,
.integ_fail_o ,
.alert_o ,
- .ping_po ( ping_p ),
- .ping_no ( ping_n ),
- .ack_po ( ack_p ),
- .ack_no ( ack_n ),
- .alert_pi ( alert_p ^ alert_err_pi ),
- .alert_ni ( alert_n ^ alert_err_ni )
+ .alert_rx_o ( alert_rx_out ),
+ .alert_tx_i ( alert_tx_in )
);
endmodule : prim_alert_rxtx_fpv
diff --git a/hw/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv b/hw/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv
index 285e18c..86327ad 100644
--- a/hw/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv
+++ b/hw/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv
@@ -5,7 +5,7 @@
// Testbench module for escalation sender/receiver pair. Intended to use with
// a formal tool.
-module prim_esc_rxtx_fpv (
+module prim_esc_rxtx_fpv import prim_pkg::*; (
input clk_i,
input rst_ni,
// for sigint error injection only
@@ -21,10 +21,13 @@
output logic esc_en_o
);
- logic resp_p;
- logic resp_n;
- logic esc_p;
- logic esc_n;
+ esc_rx_t esc_rx_in, esc_rx_out;
+ esc_tx_t esc_tx_in, esc_tx_out;
+
+ assign esc_rx_in.resp_p = esc_rx_out.resp_p ^ resp_err_pi;
+ assign esc_rx_in.resp_n = esc_rx_out.resp_n ^ resp_err_ni;
+ assign esc_tx_in.esc_p = esc_tx_out.esc_p ^ esc_err_pi;
+ assign esc_tx_in.esc_n = esc_tx_out.esc_n ^ esc_err_ni;
prim_esc_sender i_prim_esc_sender (
.clk_i ,
@@ -33,20 +36,16 @@
.ping_ok_o ,
.integ_fail_o ,
.esc_en_i ,
- .resp_pi ( resp_p ^ resp_err_pi ),
- .resp_ni ( resp_n ^ resp_err_ni ),
- .esc_po ( esc_p ),
- .esc_no ( esc_n )
+ .esc_rx_i ( esc_rx_in ),
+ .esc_tx_o ( esc_tx_out )
);
prim_esc_receiver i_prim_esc_receiver (
.clk_i ,
.rst_ni ,
.esc_en_o ,
- .resp_po ( resp_p ),
- .resp_no ( resp_n ),
- .esc_pi ( esc_p ^ esc_err_pi ),
- .esc_ni ( esc_n ^ esc_err_ni )
+ .esc_rx_o ( esc_rx_out ),
+ .esc_tx_i ( esc_tx_in )
);
endmodule : prim_esc_rxtx_fpv
diff --git a/hw/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv
index 16f2d77..ea0afae 100644
--- a/hw/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv
+++ b/hw/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv
@@ -40,15 +40,18 @@
(ping_en_i && ping_ok_o ##1 $fell(ping_en_i)), clk_i, !rst_ni || error_present)
sequence FullHandshake_S;
- $rose(prim_alert_rxtx_fpv.alert_p) ##1
- $rose(prim_alert_rxtx_fpv.ack_p) && $stable(prim_alert_rxtx_fpv.alert_p) ##1
- $fell(prim_alert_rxtx_fpv.alert_p) && $stable(prim_alert_rxtx_fpv.ack_p) ##1
- $fell(prim_alert_rxtx_fpv.ack_p) && $stable(prim_alert_rxtx_fpv.alert_p) ;
+ $rose(prim_alert_rxtx_fpv.alert_tx_out.alert_p) ##1
+ $rose(prim_alert_rxtx_fpv.alert_rx_out.ack_p) &&
+ $stable(prim_alert_rxtx_fpv.alert_tx_out.alert_p) ##1
+ $fell(prim_alert_rxtx_fpv.alert_tx_out.alert_p) &&
+ $stable(prim_alert_rxtx_fpv.alert_rx_out.ack_p) ##1
+ $fell(prim_alert_rxtx_fpv.alert_rx_out.ack_p) &&
+ $stable(prim_alert_rxtx_fpv.alert_tx_out.alert_p) ;
endsequence
// note: injected errors may lockup the FSMs, and hence the full HS can
// only take place if both FSMs are in a sane state
- `ASSERT(PingHs_A, ##1 $changed(prim_alert_rxtx_fpv.ping_p) &&
+ `ASSERT(PingHs_A, ##1 $changed(prim_alert_rxtx_fpv.alert_rx_out.ping_p) &&
(prim_alert_rxtx_fpv.i_prim_alert_sender.state_q ==
prim_alert_rxtx_fpv.i_prim_alert_sender.Idle ) &&
(prim_alert_rxtx_fpv.i_prim_alert_receiver.state_q ==
diff --git a/hw/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv
index 2327549..a56b807 100644
--- a/hw/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv
+++ b/hw/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv
@@ -43,8 +43,8 @@
##[0:4] ping_ok_o, clk_i, !rst_ni || error_present)
// be more specific (i.e. use throughout)
- `ASSERT(EscRespCheck_A, ##1 esc_en_i |-> ##[0:1] prim_esc_rxtx_fpv.resp_p ##1
- !prim_esc_rxtx_fpv.resp_p, clk_i, !rst_ni || error_present)
+ `ASSERT(EscRespCheck_A, ##1 esc_en_i |-> ##[0:1] prim_esc_rxtx_fpv.esc_rx_out.resp_p ##1
+ !prim_esc_rxtx_fpv.esc_rx_out.resp_p, clk_i, !rst_ni || error_present)
// check correct transmission of escalation within 0-1 cycles
`ASSERT(EscCheck_A, ##1 esc_en_i |-> ##[0:1] esc_en_o, clk_i, !rst_ni || error_present)
diff --git a/hw/ip/prim/rtl/prim_alert_receiver.sv b/hw/ip/prim/rtl/prim_alert_receiver.sv
index 25a5034..867a94d 100644
--- a/hw/ip/prim/rtl/prim_alert_receiver.sv
+++ b/hw/ip/prim/rtl/prim_alert_receiver.sv
@@ -3,8 +3,8 @@
// SPDX-License-Identifier: Apache-2.0
//
// The alert receiver primitive decodes alerts that have been differentially
-// encoded and transmitted via a handshake protocol on alert_pi/ni and
-// ack_po/no. In case an alert handshake is initiated, the output alert_o will
+// encoded and transmitted via a handshake protocol on alert_p/n and
+// ack_p/n. In case an alert handshake is initiated, the output alert_o will
// immediately be asserted (even before completion of the handshake).
//
// In case the differential input is not correctly encoded, this module will
@@ -27,30 +27,25 @@
//
// See also: prim_alert_sender, prim_diff_decode, alert_handler
-module prim_alert_receiver #(
+module prim_alert_receiver import prim_pkg::*; #(
// enables additional synchronization logic
parameter bit AsyncOn = 1'b0
) (
- input clk_i,
- input rst_ni,
+ input clk_i,
+ input rst_ni,
// this triggers a ping test. keep asserted
// until ping_ok_o is asserted.
- input ping_en_i,
- output logic ping_ok_o,
+ input ping_en_i,
+ output logic ping_ok_o,
// asserted if signal integrity issue detected
- output logic integ_fail_o,
+ output logic integ_fail_o,
// alert output (pulsed high) if a handshake is initiated
// on alert_p/n and no ping request is outstanding
- output logic alert_o,
- // ping output diff pair
- output logic ping_po,
- output logic ping_no,
- // ack output diff pair
- output logic ack_po,
- output logic ack_no,
- // alert input diff pair
- input alert_pi,
- input alert_ni
+ output logic alert_o,
+ // ping input diff pair and ack diff pair
+ output alert_rx_t alert_rx_o,
+ // alert output diff pair
+ input alert_tx_t alert_tx_i
);
@@ -64,8 +59,8 @@
) i_decode_alert (
.clk_i,
.rst_ni,
- .diff_pi ( alert_pi ),
- .diff_ni ( alert_ni ),
+ .diff_pi ( alert_tx_i.alert_p ),
+ .diff_ni ( alert_tx_i.alert_n ),
.level_o ( alert_level ),
.rise_o ( ),
.fall_o ( ),
@@ -97,10 +92,10 @@
assign ping_pending_d = ping_rise | ((~ping_ok_o) & ping_en_i & ping_pending_q);
// diff pair outputs
- assign ack_po = ack_q;
- assign ack_no = ~ack_q;
- assign ping_po = ping_tog_q;
- assign ping_no = ~ping_tog_q;
+ assign alert_rx_o.ack_p = ack_q;
+ assign alert_rx_o.ack_n = ~ack_q;
+ assign alert_rx_o.ping_p = ping_tog_q;
+ assign alert_rx_o.ping_n = ~ping_tog_q;
// this FSM receives the four phase handshakes from the alert receiver
// note that the latency of the alert_p/n input diff pair is at least one
@@ -177,16 +172,13 @@
`ASSERT_KNOWN(PingOkKnownO_A, ping_ok_o, clk_i, !rst_ni)
`ASSERT_KNOWN(IntegFailKnownO_A, integ_fail_o, clk_i, !rst_ni)
`ASSERT_KNOWN(AlertKnownO_A, alert_o, clk_i, !rst_ni)
- `ASSERT_KNOWN(PingPKnownO_A, ping_po, clk_i, !rst_ni)
- `ASSERT_KNOWN(PingNKnownO_A, ping_no, clk_i, !rst_ni)
- `ASSERT_KNOWN(AckPKnownO_A, ack_po, clk_i, !rst_ni)
- `ASSERT_KNOWN(AckNKnownO_A, ack_no, clk_i, !rst_ni)
+ `ASSERT_KNOWN(PingPKnownO_A, alert_rx_o, clk_i, !rst_ni)
// check encoding of outgoing diffpairs
- `ASSERT(PingDiffOk_A, ping_po ^ ping_no, clk_i, !rst_ni)
- `ASSERT(AckDiffOk_A, ack_po ^ ack_no, clk_i, !rst_ni)
+ `ASSERT(PingDiffOk_A, alert_rx_o.ping_p ^ alert_rx_o.ping_n, clk_i, !rst_ni)
+ `ASSERT(AckDiffOk_A, alert_rx_o.ack_p ^ alert_rx_o.ack_n, clk_i, !rst_ni)
// ping request at input -> need to see encoded ping request
- `ASSERT(PingRequest0_A, ##1 $rose(ping_en_i) |=> $changed(ping_po), clk_i, !rst_ni)
+ `ASSERT(PingRequest0_A, ##1 $rose(ping_en_i) |=> $changed(alert_rx_o.ping_p), clk_i, !rst_ni)
// ping response implies it has been requested
`ASSERT(PingResponse0_A, ping_ok_o |-> ping_pending_q, clk_i, !rst_ni)
// correctly latch ping request
@@ -194,22 +186,22 @@
if (AsyncOn) begin : gen_async_assert
// signal integrity check propagation
- `ASSERT(SigInt_A, alert_pi == alert_ni [*2] |-> ##2 integ_fail_o, clk_i, !rst_ni)
+ `ASSERT(SigInt_A, alert_tx_i.alert_p == alert_tx_i.alert_n [*2] |-> ##2 integ_fail_o, clk_i, !rst_ni)
// TODO: need to add skewed cases as well, the assertions below assume no skew at the moment
// ping response
- `ASSERT(PingResponse1_A, ##1 $rose(alert_pi) && (alert_pi ^ alert_ni) ##2
+ `ASSERT(PingResponse1_A, ##1 $rose(alert_tx_i.alert_p) && (alert_tx_i.alert_p ^ alert_tx_i.alert_n) ##2
state_q == Idle && ping_pending_q |-> ping_ok_o, clk_i, !rst_ni || integ_fail_o)
// alert
- `ASSERT(Alert_A, ##1 $rose(alert_pi) && (alert_pi ^ alert_ni) ##2
+ `ASSERT(Alert_A, ##1 $rose(alert_tx_i.alert_p) && (alert_tx_i.alert_p ^ alert_tx_i.alert_n) ##2
state_q == Idle && !ping_pending_q |-> alert_o, clk_i, !rst_ni || integ_fail_o)
end else begin : gen_sync_assert
// signal integrity check propagation
- `ASSERT(SigInt_A, alert_pi == alert_ni |-> integ_fail_o, clk_i, !rst_ni)
+ `ASSERT(SigInt_A, alert_tx_i.alert_p == alert_tx_i.alert_n |-> integ_fail_o, clk_i, !rst_ni)
// ping response
- `ASSERT(PingResponse1_A, ##1 $rose(alert_pi) && state_q == Idle && ping_pending_q |->
+ `ASSERT(PingResponse1_A, ##1 $rose(alert_tx_i.alert_p) && state_q == Idle && ping_pending_q |->
ping_ok_o, clk_i, !rst_ni || integ_fail_o)
// alert
- `ASSERT(Alert_A, ##1 $rose(alert_pi) && state_q == Idle && !ping_pending_q |->
+ `ASSERT(Alert_A, ##1 $rose(alert_tx_i.alert_p) && state_q == Idle && !ping_pending_q |->
alert_o, clk_i, !rst_ni || integ_fail_o)
end
diff --git a/hw/ip/prim/rtl/prim_alert_sender.sv b/hw/ip/prim/rtl/prim_alert_sender.sv
index 6d64bb9..7610cf9 100644
--- a/hw/ip/prim/rtl/prim_alert_sender.sv
+++ b/hw/ip/prim/rtl/prim_alert_sender.sv
@@ -4,13 +4,13 @@
//
// The alert sender primitive module differentially encodes and transmits an
// alert signal to the prim_alert_receiver module. An alert will be signalled
-// by a full handshake on alert_po/no and ack_pi/ni. The alert_i signal may
+// by a full handshake on alert_p/n and ack_p/n. The alert_i signal may
// be continuously asserted, in which case the alert signalling handshake
// will be repeatedly initiated.
//
// Further, this module supports in-band ping testing, which means that a level
-// change on the ping_pi/ni diff pair will result in a full-handshake response
-// on alert_po/no and ack_pi/ni.
+// change on the ping_p/n diff pair will result in a full-handshake response
+// on alert_p/n and ack_p/n.
//
// The protocol works in both asynchronous and synchronous cases. In the
// asynchronous case, the parameter AsyncOn must be set to 1'b1 in order to
@@ -24,23 +24,18 @@
//
// See also: prim_alert_receiver, prim_diff_decode, alert_handler
-module prim_alert_sender #(
+module prim_alert_sender import prim_pkg::*; #(
// enables additional synchronization logic
parameter bit AsyncOn = 1'b1
) (
- input clk_i,
- input rst_ni,
+ input clk_i,
+ input rst_ni,
// native alert from the peripheral
- input alert_i,
- // ping input diff pair
- input ping_pi,
- input ping_ni,
- // alert input diff pair
- input ack_pi,
- input ack_ni,
+ input alert_i,
+ // ping input diff pair and ack diff pair
+ input alert_rx_t alert_rx_i,
// alert output diff pair
- output logic alert_po,
- output logic alert_no
+ output alert_tx_t alert_tx_o
);
@@ -54,8 +49,8 @@
) i_decode_ping (
.clk_i,
.rst_ni,
- .diff_pi ( ping_pi ),
- .diff_ni ( ping_ni ),
+ .diff_pi ( alert_rx_i.ping_p ),
+ .diff_ni ( alert_rx_i.ping_n ),
.level_o ( ),
.rise_o ( ),
.fall_o ( ),
@@ -70,8 +65,8 @@
) i_decode_ack (
.clk_i,
.rst_ni,
- .diff_pi ( ack_pi ),
- .diff_ni ( ack_ni ),
+ .diff_pi ( alert_rx_i.ack_p ),
+ .diff_ni ( alert_rx_i.ack_n ),
.level_o ( ack_level ),
.rise_o ( ),
.fall_o ( ),
@@ -91,8 +86,8 @@
assign sigint_detected = ack_sigint | ping_sigint;
// diff pair output
- assign alert_po = alert_pq;
- assign alert_no = alert_nq;
+ assign alert_tx_o.alert_p = alert_pq;
+ assign alert_tx_o.alert_n = alert_nq;
// alert and ping set regs
logic alert_set_d, alert_set_q, alert_clr;
@@ -194,39 +189,45 @@
////////////////
// check whether all outputs have a good known state after reset
- `ASSERT_KNOWN(AlertPKnownO_A, alert_po, clk_i, !rst_ni)
- `ASSERT_KNOWN(AlertNKnownO_A, alert_no, clk_i, !rst_ni)
+ `ASSERT_KNOWN(AlertPKnownO_A, alert_tx_o, clk_i, !rst_ni)
if (AsyncOn) begin : gen_async_assert
// check propagation of sigint issues to output within three cycles
- `ASSERT(SigIntPing_A, ping_pi == ping_ni [*2] |-> ##3 alert_po == alert_no, clk_i, !rst_ni)
- `ASSERT(SigIntAck_A, ack_pi == ack_ni [*2] |-> ##3 alert_po == alert_no, clk_i, !rst_ni)
+ `ASSERT(SigIntPing_A, alert_rx_i.ping_p == alert_rx_i.ping_n [*2] |->
+ ##3 alert_tx_o.alert_p == alert_tx_o.alert_n, clk_i, !rst_ni)
+ `ASSERT(SigIntAck_A, alert_rx_i.ack_p == alert_rx_i.ack_n [*2] |->
+ ##3 alert_tx_o.alert_p == alert_tx_o.alert_n, clk_i, !rst_ni)
// output must be driven diff unless sigint issue detected
- `ASSERT(DiffEncoding_A, (ack_pi ^ ack_ni) && (ping_pi ^ ping_ni) |->
- ##3 alert_po ^ alert_no, clk_i, !rst_ni)
+ `ASSERT(DiffEncoding_A, (alert_rx_i.ack_p ^ alert_rx_i.ack_n) &&
+ (alert_rx_i.ping_p ^ alert_rx_i.ping_n) |->
+ ##3 alert_tx_o.alert_p ^ alert_tx_o.alert_n, clk_i, !rst_ni)
// handshakes can take indefinite time if blocked due to sigint on outgoing
- // lines (which is not visible here). thus, we only check whether the handshake is correctly initiated
- // and defer the full handshake checking to the testbench.
+ // lines (which is not visible here). thus, we only check whether the
+ // handshake is correctly initiated and defer the full handshake checking to the testbench.
// TODO: add the staggered cases as well
- `ASSERT(PingHs_A, ##1 $changed(ping_pi) && (ping_pi ^ ping_ni) ##2 state_q == Idle |=>
- $rose(alert_po), clk_i, !rst_ni || (alert_po == alert_no))
+ `ASSERT(PingHs_A, ##1 $changed(alert_rx_i.ping_p) &&
+ (alert_rx_i.ping_p ^ alert_rx_i.ping_n) ##2 state_q == Idle |=>
+ $rose(alert_tx_o.alert_p), clk_i, !rst_ni || (alert_tx_o.alert_p == alert_tx_o.alert_n))
end else begin : gen_sync_assert
// check propagation of sigint issues to output within one cycle
- `ASSERT(SigIntPing_A, ping_pi == ping_ni |=> alert_po == alert_no, clk_i, !rst_ni)
- `ASSERT(SigIntAck_A, ack_pi == ack_ni |=> alert_po == alert_no, clk_i, !rst_ni)
+ `ASSERT(SigIntPing_A, alert_rx_i.ping_p == alert_rx_i.ping_n |=>
+ alert_tx_o.alert_p == alert_tx_o.alert_n, clk_i, !rst_ni)
+ `ASSERT(SigIntAck_A, alert_rx_i.ack_p == alert_rx_i.ack_n |=>
+ alert_tx_o.alert_p == alert_tx_o.alert_n, clk_i, !rst_ni)
// output must be driven diff unless sigint issue detected
- `ASSERT(DiffEncoding_A, (ack_pi ^ ack_ni) && (ping_pi ^ ping_ni) |=> alert_po ^ alert_no,
+ `ASSERT(DiffEncoding_A, (alert_rx_i.ack_p ^ alert_rx_i.ack_n) &&
+ (alert_rx_i.ping_p ^ alert_rx_i.ping_n) |=> alert_tx_o.alert_p ^ alert_tx_o.alert_n,
clk_i, !rst_ni)
// handshakes can take indefinite time if blocked due to sigint on outgoing
- // lines (which is not visible here). thus, we only check whether the handshake is correctly initiated
- // and defer the full handshake checking to the testbench.
- `ASSERT(PingHs_A, ##1 $changed(ping_pi) && state_q == Idle |=> $rose(alert_po),
- clk_i, !rst_ni || (alert_po == alert_no))
+ // lines (which is not visible here). thus, we only check whether the handshake
+ // is correctly initiated and defer the full handshake checking to the testbench.
+ `ASSERT(PingHs_A, ##1 $changed(alert_rx_i.ping_p) && state_q == Idle |=>
+ $rose(alert_tx_o.alert_p), clk_i, !rst_ni || (alert_tx_o.alert_p == alert_tx_o.alert_n))
end
// if alert_i is true, handshakes should be continuously repeated
- `ASSERT(AlertHs_A, alert_i && state_q == Idle |=> $rose(alert_po),
- clk_i, !rst_ni || (alert_po == alert_no))
+ `ASSERT(AlertHs_A, alert_i && state_q == Idle |=> $rose(alert_tx_o.alert_p),
+ clk_i, !rst_ni || (alert_tx_o.alert_p == alert_tx_o.alert_n))
endmodule : prim_alert_sender
diff --git a/hw/ip/prim/rtl/prim_esc_receiver.sv b/hw/ip/prim/rtl/prim_esc_receiver.sv
index 424ab65..71c7d37 100644
--- a/hw/ip/prim/rtl/prim_esc_receiver.sv
+++ b/hw/ip/prim/rtl/prim_esc_receiver.sv
@@ -16,17 +16,15 @@
//
// See also: prim_esc_sender, prim_diff_decode, alert_handler
-module prim_esc_receiver (
- input clk_i,
- input rst_ni,
+module prim_esc_receiver import prim_pkg::*; (
+ input clk_i,
+ input rst_ni,
// escalation enable
- output logic esc_en_o,
+ output logic esc_en_o,
// escalation / ping response
- output logic resp_po,
- output logic resp_no,
+ output esc_rx_t esc_rx_o,
// escalation output diff pair
- input esc_pi,
- input esc_ni
+ input esc_tx_t esc_tx_i
);
/////////////////////////////////
@@ -40,8 +38,8 @@
) i_decode_esc (
.clk_i,
.rst_ni,
- .diff_pi ( esc_pi ),
- .diff_ni ( esc_ni ),
+ .diff_pi ( esc_tx_i.esc_p ),
+ .diff_ni ( esc_tx_i.esc_n ),
.level_o ( esc_level ),
.rise_o ( ),
.fall_o ( ),
@@ -57,8 +55,8 @@
state_e state_d, state_q;
logic resp_pd, resp_pq, resp_nd, resp_nq;
- assign resp_po = resp_pq;
- assign resp_no = resp_nq;
+ assign esc_rx_o.resp_p = resp_pq;
+ assign esc_rx_o.resp_n = resp_nq;
always_comb begin : p_fsm
@@ -155,21 +153,24 @@
// check whether all outputs have a good known state after reset
`ASSERT_KNOWN(EscEnKnownO_A, esc_en_o, clk_i, !rst_ni)
- `ASSERT_KNOWN(RespPKnownO_A, resp_po, clk_i, !rst_ni)
- `ASSERT_KNOWN(RespNKnownO_A, resp_no, clk_i, !rst_ni)
+ `ASSERT_KNOWN(RespPKnownO_A, esc_rx_o, clk_i, !rst_ni)
- `ASSERT(SigIntCheck0_A, esc_pi == esc_ni |=> resp_po == resp_no, clk_i, !rst_ni)
- `ASSERT(SigIntCheck1_A, esc_pi == esc_ni |=> state_q == SigInt, clk_i, !rst_ni)
+ `ASSERT(SigIntCheck0_A, esc_tx_i.esc_p == esc_tx_i.esc_n |=>
+ esc_rx_o.resp_p == esc_rx_o.resp_n, clk_i, !rst_ni)
+ `ASSERT(SigIntCheck1_A, esc_tx_i.esc_p == esc_tx_i.esc_n |=> state_q == SigInt, clk_i, !rst_ni)
// correct diff encoding
- `ASSERT(DiffEncCheck_A, esc_pi ^ esc_ni |=> resp_po ^ resp_no, clk_i, !rst_ni)
+ `ASSERT(DiffEncCheck_A, esc_tx_i.esc_p ^ esc_tx_i.esc_n |=>
+ esc_rx_o.resp_p ^ esc_rx_o.resp_n, clk_i, !rst_ni)
// disable in case of ping integrity issue
- `ASSERT(PingRespCheck_A, $rose(esc_pi) |=> $fell(esc_pi) |-> $rose(resp_po) |=> $fell(resp_po),
- clk_i, !rst_ni || (esc_pi == esc_ni))
+ `ASSERT(PingRespCheck_A, $rose(esc_tx_i.esc_p) |=> $fell(esc_tx_i.esc_p) |->
+ $rose(esc_rx_o.resp_p) |=> $fell(esc_rx_o.resp_p),
+ clk_i, !rst_ni || (esc_tx_i.esc_p == esc_tx_i.esc_n))
// escalation response needs to continuously toggle
- `ASSERT(EscRespCheck_A, esc_pi && $past(esc_pi) && (esc_pi ^ esc_ni) && $past(esc_pi ^ esc_ni)
- |=> resp_po != $past(resp_po), clk_i, !rst_ni)
+ `ASSERT(EscRespCheck_A, esc_tx_i.esc_p && $past(esc_tx_i.esc_p) &&
+ (esc_tx_i.esc_p ^ esc_tx_i.esc_n) && $past(esc_tx_i.esc_p ^ esc_tx_i.esc_n)
+ |=> esc_rx_o.resp_p != $past(esc_rx_o.resp_p), clk_i, !rst_ni)
// detect escalation pulse
- `ASSERT(EscEnCheck_A, esc_pi && (esc_pi ^ esc_ni) && state_q != SigInt |=>
- esc_pi && (esc_pi ^ esc_ni) |-> esc_en_o, clk_i, !rst_ni )
+ `ASSERT(EscEnCheck_A, esc_tx_i.esc_p && (esc_tx_i.esc_p ^ esc_tx_i.esc_n) && state_q != SigInt
+ |=> esc_tx_i.esc_p && (esc_tx_i.esc_p ^ esc_tx_i.esc_n) |-> esc_en_o, clk_i, !rst_ni )
endmodule : prim_esc_receiver
diff --git a/hw/ip/prim/rtl/prim_esc_sender.sv b/hw/ip/prim/rtl/prim_esc_sender.sv
index b9def00..dbf8051 100644
--- a/hw/ip/prim/rtl/prim_esc_sender.sv
+++ b/hw/ip/prim/rtl/prim_esc_sender.sv
@@ -19,23 +19,21 @@
//
// See also: prim_esc_receiver, prim_diff_decode, alert_handler
-module prim_esc_sender (
- input clk_i,
- input rst_ni,
+module prim_esc_sender import prim_pkg::*; (
+ input clk_i,
+ input rst_ni,
// this triggers a ping test. keep asserted
// until either ping_ok_o or ping_fail_o is asserted.
- input ping_en_i,
- output logic ping_ok_o,
+ input ping_en_i,
+ output logic ping_ok_o,
// asserted if signal integrity issue detected
- output logic integ_fail_o,
+ output logic integ_fail_o,
// escalation enable signal
- input esc_en_i,
+ input esc_en_i,
// escalation / ping response
- input resp_pi,
- input resp_ni,
+ input esc_rx_t esc_rx_i,
// escalation output diff pair
- output logic esc_po,
- output logic esc_no
+ output esc_tx_t esc_tx_o
);
/////////////////////////////////
@@ -49,8 +47,8 @@
) i_decode_resp (
.clk_i,
.rst_ni,
- .diff_pi ( resp_pi ),
- .diff_ni ( resp_ni ),
+ .diff_pi ( esc_rx_i.resp_p ),
+ .diff_ni ( esc_rx_i.resp_n ),
.level_o ( resp ),
.rise_o ( ),
.fall_o ( ),
@@ -70,8 +68,8 @@
// ping enable is 1 cycle pulse
// escalation pulse is always longer than 2 cycles
- assign esc_po = esc_en_i | esc_en_q | ( ping_en_d & ~ping_en_q);
- assign esc_no = ~esc_po;
+ assign esc_tx_o.esc_p = esc_en_i | esc_en_q | ( ping_en_d & ~ping_en_q);
+ assign esc_tx_o.esc_n = ~esc_tx_o.esc_p;
//////////////
// RX Logic //
@@ -212,28 +210,29 @@
// check whether all outputs have a good known state after reset
`ASSERT_KNOWN(PingOkKnownO_A, ping_ok_o, clk_i, !rst_ni)
`ASSERT_KNOWN(IntegFailKnownO_A, integ_fail_o, clk_i, !rst_ni)
- `ASSERT_KNOWN(EscPKnownO_A, esc_po, clk_i, !rst_ni)
- `ASSERT_KNOWN(EscNKnownO_A, esc_no, clk_i, !rst_ni)
+ `ASSERT_KNOWN(EscPKnownO_A, esc_tx_o, clk_i, !rst_ni)
// diff encoding of output
- `ASSERT(DiffEncCheck_A, esc_po ^ esc_no, clk_i, !rst_ni)
+ `ASSERT(DiffEncCheck_A, esc_tx_o.esc_p ^ esc_tx_o.esc_n, clk_i, !rst_ni)
// signal integrity check propagation
- `ASSERT(SigIntCheck0_A, resp_pi == resp_ni |-> integ_fail_o, clk_i, !rst_ni)
+ `ASSERT(SigIntCheck0_A, esc_rx_i.resp_p == esc_rx_i.resp_n |-> integ_fail_o, clk_i, !rst_ni)
// this happens in case we did not get a correct escalation response
`ASSERT(SigIntCheck1_A, ##1 $rose(esc_en_i) &&
- state_q inside {Idle, CheckPingResp1, CheckPingResp3} ##1 !resp_pi |->
- integ_fail_o, clk_i, !rst_ni || (resp_pi == resp_ni) || (state_q == Idle && resp))
+ state_q inside {Idle, CheckPingResp1, CheckPingResp3} ##1 !esc_rx_i.resp_p |->
+ integ_fail_o, clk_i, !rst_ni || (esc_rx_i.resp_p == esc_rx_i.resp_n) ||
+ (state_q == Idle && resp))
`ASSERT(SigIntCheck2_A, ##1 $rose(esc_en_i) &&
- state_q inside {CheckPingResp0, CheckPingResp2} ##1 resp_pi |->
- integ_fail_o, clk_i, !rst_ni || (resp_pi == resp_ni) || (state_q == Idle && resp))
+ state_q inside {CheckPingResp0, CheckPingResp2} ##1 esc_rx_i.resp_p |->
+ integ_fail_o, clk_i, !rst_ni || (esc_rx_i.resp_p == esc_rx_i.resp_n) ||
+ (state_q == Idle && resp))
// unexpected response
`ASSERT(SigIntCheck3_A, state_q == Idle && resp |-> integ_fail_o, clk_i, !rst_ni)
// check that escalation signal is at least 2 cycles high
- `ASSERT(EscCheck_A, esc_en_i |-> esc_po [*2] , clk_i, !rst_ni)
+ `ASSERT(EscCheck_A, esc_en_i |-> esc_tx_o.esc_p [*2] , clk_i, !rst_ni)
// escalation / ping collision
`ASSERT(EscPingCheck_A, esc_en_i && ping_en_i |-> ping_ok_o, clk_i, !rst_ni || integ_fail_o)
// check that ping request results in only a single cycle pulse
- `ASSERT(PingCheck_A, ##1 $rose(ping_en_i) |-> esc_po ##1 !esc_po , clk_i,
+ `ASSERT(PingCheck_A, ##1 $rose(ping_en_i) |-> esc_tx_o.esc_p ##1 !esc_tx_o.esc_p , clk_i,
!rst_ni || esc_en_i || integ_fail_o)
endmodule : prim_esc_sender
diff --git a/hw/ip/prim/rtl/prim_pkg.sv b/hw/ip/prim/rtl/prim_pkg.sv
index e060144..8a3584b 100644
--- a/hw/ip/prim/rtl/prim_pkg.sv
+++ b/hw/ip/prim/rtl/prim_pkg.sv
@@ -12,4 +12,27 @@
ImplXilinx = 1
} impl_e;
+ // interface structs for prim_alert_* and prim_esc_*
+ typedef struct packed {
+ logic alert_p;
+ logic alert_n;
+ } alert_tx_t;
+
+ typedef struct packed {
+ logic ping_p;
+ logic ping_n;
+ logic ack_p;
+ logic ack_n;
+ } alert_rx_t;
+
+ typedef struct packed {
+ logic esc_p;
+ logic esc_n;
+ } esc_tx_t;
+
+ typedef struct packed {
+ logic resp_p;
+ logic resp_n;
+ } esc_rx_t;
+
endpackage : prim_pkg