update dv parameter usage
the parameters moved from hardcoded in pwrmgr_pkg to auto-generated
in pwrmgr_reg_pkg
Signed-off-by: Timothy Chen <timothytim@google.com>
diff --git a/hw/ip/pwrmgr/dv/env/pwrmgr_scoreboard.sv b/hw/ip/pwrmgr/dv/env/pwrmgr_scoreboard.sv
index 1e6c8d5..8e958e4 100644
--- a/hw/ip/pwrmgr/dv/env/pwrmgr_scoreboard.sv
+++ b/hw/ip/pwrmgr/dv/env/pwrmgr_scoreboard.sv
@@ -95,9 +95,9 @@
cov.hw_reset_0_cg.sample(cfg.pwrmgr_vif.rstreqs_i[0], cfg.pwrmgr_vif.reset_en[0], sleep);
cov.hw_reset_1_cg.sample(cfg.pwrmgr_vif.rstreqs_i[1], cfg.pwrmgr_vif.reset_en[1], sleep);
cov.rstmgr_sw_reset_cg.sample(cfg.pwrmgr_vif.sw_rst_req_i == prim_mubi_pkg::MuBi4True);
- cov.main_power_reset_cg.sample(cfg.pwrmgr_vif.pwr_rst_req.rstreqs[pwrmgr_pkg::ResetMainPwrIdx],
- sleep);
- cov.esc_reset_cg.sample(cfg.pwrmgr_vif.pwr_rst_req.rstreqs[pwrmgr_pkg::ResetEscIdx], sleep);
+ cov.main_power_reset_cg.sample(
+ cfg.pwrmgr_vif.pwr_rst_req.rstreqs[pwrmgr_reg_pkg::ResetMainPwrIdx],sleep);
+ cov.esc_reset_cg.sample(cfg.pwrmgr_vif.pwr_rst_req.rstreqs[pwrmgr_reg_pkg::ResetEscIdx], sleep);
`uvm_info(`gfn, $sformatf(
{
"reset_cg sample with hw_resets=%b, hw_resets_en=%b, ",
@@ -105,8 +105,8 @@
},
cfg.pwrmgr_vif.rstreqs_i,
cfg.pwrmgr_vif.reset_en,
- cfg.pwrmgr_vif.pwr_rst_req.rstreqs[pwrmgr_pkg::ResetEscIdx],
- cfg.pwrmgr_vif.pwr_rst_req.rstreqs[pwrmgr_pkg::ResetMainPwrIdx],
+ cfg.pwrmgr_vif.pwr_rst_req.rstreqs[pwrmgr_reg_pkg::ResetEscIdx],
+ cfg.pwrmgr_vif.pwr_rst_req.rstreqs[pwrmgr_reg_pkg::ResetMainPwrIdx],
cfg.pwrmgr_vif.sw_rst_req_i == prim_mubi_pkg::MuBi4True,
sleep
), UVM_MEDIUM)
diff --git a/hw/ip/pwrmgr/dv/sva/pwrmgr_rstmgr_sva_if.sv b/hw/ip/pwrmgr/dv/sva/pwrmgr_rstmgr_sva_if.sv
index ee19e0f..86f4cca 100644
--- a/hw/ip/pwrmgr/dv/sva/pwrmgr_rstmgr_sva_if.sv
+++ b/hw/ip/pwrmgr/dv/sva/pwrmgr_rstmgr_sva_if.sv
@@ -6,7 +6,7 @@
// the pwrmgr outputs. The rstmgr inputs are generated by the base sequences, but
// these assertions will also be useful at full chip level.
interface pwrmgr_rstmgr_sva_if
- import pwrmgr_pkg::*, pwrmgr_reg_pkg::NumRstReqs;
+ import pwrmgr_pkg::*, pwrmgr_reg_pkg::*;
(
input logic clk_i,
input logic rst_ni,
diff --git a/hw/ip/pwrmgr/dv/sva/pwrmgr_sec_cm_checker_assert.sv b/hw/ip/pwrmgr/dv/sva/pwrmgr_sec_cm_checker_assert.sv
index bb507f9..0c841cd 100644
--- a/hw/ip/pwrmgr/dv/sva/pwrmgr_sec_cm_checker_assert.sv
+++ b/hw/ip/pwrmgr/dv/sva/pwrmgr_sec_cm_checker_assert.sv
@@ -3,7 +3,9 @@
// SPDX-License-Identifier: Apache-2.0
// add description here TBD
-module pwrmgr_sec_cm_checker_assert (
+module pwrmgr_sec_cm_checker_assert
+ import pwrmgr_reg_pkg::*;
+(
input clk_i,
input rst_ni,
input clk_lc_i,
@@ -93,7 +95,7 @@
`ASSERT(RstreqChkEsctimeout_A,
$rose(
slow_esc_rst_req
- ) ##1 slow_esc_rst_req |-> ##[0:2] pwr_rst_o.rstreqs[pwrmgr_pkg::ResetEscIdx],
+ ) ##1 slow_esc_rst_req |-> ##[0:2] pwr_rst_o.rstreqs[ResetEscIdx],
clk_i, reset_or_disable)
// sec_cm_fsm_terminal
@@ -111,13 +113,13 @@
// if esc_rst_req is set, pwr_rst_o.rstreqs[ResetEscIdx] should be asserted.
`ASSERT(RstreqChkGlbesc_A,
$rose(slow_esc_rst_req) ##1 slow_esc_rst_req |->
- ##[0:2] (pwr_rst_o.rstreqs[pwrmgr_pkg::ResetEscIdx] | !rst_esc_ni),
+ ##[0:2] (pwr_rst_o.rstreqs[ResetEscIdx] | !rst_esc_ni),
clk_i, reset_or_disable)
// sec_cm_main_pd_rst_local_esc
// if power is up and rst_main_ni goes low, pwr_rst_o.rstreqs[ResetMainPwrIdx] should be asserted
`ASSERT(RstreqChkMainpd_A,
- slow_mp_rst_req |-> ##[0:5] pwr_rst_o.rstreqs[pwrmgr_pkg::ResetMainPwrIdx], clk_i,
+ slow_mp_rst_req |-> ##[0:5] pwr_rst_o.rstreqs[ResetMainPwrIdx], clk_i,
reset_or_disable)
endmodule // pwrmgr_sec_cm_checker_assert