[dv] Replace wait_timeout with DV_WAIT_TIMEOUT

follow-up cleanup PR of #14593

Signed-off-by: Weicai Yang <weicai@google.com>
diff --git a/hw/dv/sv/csr_utils/csr_utils_pkg.sv b/hw/dv/sv/csr_utils/csr_utils_pkg.sv
index 1037d98..8650c2d 100644
--- a/hw/dv/sv/csr_utils/csr_utils_pkg.sv
+++ b/hw/dv/sv/csr_utils/csr_utils_pkg.sv
@@ -206,9 +206,9 @@
             decrement_outstanding_access();
           end
           begin
-            wait_timeout(timeout_ns, msg_id,
-                         $sformatf("Timeout waiting to csr_wr %0s (addr=0x%0h)",
-                                   csr.get_full_name(), csr.get_address()));
+            `DV_WAIT_TIMEOUT(timeout_ns, msg_id,
+                             $sformatf("Timeout waiting to csr_wr %0s (addr=0x%0h)",
+                                       csr.get_full_name(), csr.get_address()))
           end
         join_any
         disable fork;
@@ -354,9 +354,9 @@
             decrement_outstanding_access();
           end
           begin
-            wait_timeout(timeout_ns, msg_id,
-                         $sformatf("Timeout waiting to csr_rd %0s (addr=0x%0h)",
-                                   ptr.get_full_name(), csr_or_fld.csr.get_address()));
+            `DV_WAIT_TIMEOUT(timeout_ns, msg_id,
+                             $sformatf("Timeout waiting to csr_rd %0s (addr=0x%0h)",
+                                       ptr.get_full_name(), csr_or_fld.csr.get_address()))
           end
         join_any
         disable fork;
@@ -539,8 +539,8 @@
             endcase
           end
           begin
-            wait_timeout(timeout_ns, msg_id, $sformatf("timeout %0s (addr=0x%0h) == 0x%0h",
-                ptr.get_full_name(), csr_or_fld.csr.get_address(), exp_data));
+            `DV_WAIT_TIMEOUT(timeout_ns, msg_id, $sformatf("timeout %0s (addr=0x%0h) == 0x%0h",
+                ptr.get_full_name(), csr_or_fld.csr.get_address(), exp_data))
           end
         join_any
         disable fork;
@@ -588,9 +588,9 @@
             decrement_outstanding_access();
           end
           begin : mem_rd_timeout
-            wait_timeout(timeout_ns, msg_id,
-                         $sformatf("Timeout waiting to mem_rd %0s (addr=0x%0h)",
-                                   ptr.get_full_name(), offset));
+            `DV_WAIT_TIMEOUT(timeout_ns, msg_id,
+                             $sformatf("Timeout waiting to mem_rd %0s (addr=0x%0h)",
+                                       ptr.get_full_name(), offset))
           end
         join_any
         disable fork;
@@ -639,9 +639,9 @@
             decrement_outstanding_access();
           end
           begin
-            wait_timeout(timeout_ns, msg_id,
-                         $sformatf("Timeout waiting to mem_wr %0s (addr=0x%0h)",
-                                   ptr.get_full_name(), offset));
+            `DV_WAIT_TIMEOUT(timeout_ns, msg_id,
+                             $sformatf("Timeout waiting to mem_wr %0s (addr=0x%0h)",
+                                       ptr.get_full_name(), offset))
           end
         join_any
         disable fork;
diff --git a/hw/dv/sv/dv_utils/dv_utils_pkg.sv b/hw/dv/sv/dv_utils/dv_utils_pkg.sv
index 62f3b76..41c1718 100644
--- a/hw/dv/sv/dv_utils/dv_utils_pkg.sv
+++ b/hw/dv/sv/dv_utils/dv_utils_pkg.sv
@@ -138,16 +138,6 @@
     return report_server.get_severity_count(UVM_FATAL) > 0;
   endfunction
 
-  // task that waits for the specfied timeout
-  task automatic wait_timeout(input uint    timeout_ns,
-                              input string  error_msg_id  = msg_id,
-                              input string  error_msg     = "timeout occurred!",
-                              input bit     report_fatal  = 1);
-    #(timeout_ns * 1ns);
-    if (report_fatal) `uvm_fatal(error_msg_id, error_msg)
-    else              `uvm_error(error_msg_id, error_msg)
-  endtask : wait_timeout
-
   // get masked data based on provided byte mask; if csr reg handle is provided (optional) then
   // masked bytes from csr's mirrored value are returned, else masked bytes are 0's
   function automatic bit [bus_params_pkg::BUS_DW-1:0]
diff --git a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_reg_frontdoor.sv b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_reg_frontdoor.sv
index 7e52954..41f28e7 100644
--- a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_reg_frontdoor.sv
+++ b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_reg_frontdoor.sv
@@ -84,7 +84,7 @@
         fork
           wait(jtag_agent_cfg_h.in_reset);
           // TODO: Make timeout more configurable.
-          wait_timeout(jtag_agent_cfg_h.vif.tck_period_ns * 10000);
+          `DV_WAIT_TIMEOUT(jtag_agent_cfg_h.vif.tck_period_ns * 10000)
         join_any
       end
     )
diff --git a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_pkg.sv b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_pkg.sv
index c3d4f32..37ac4d3 100644
--- a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_pkg.sv
+++ b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_pkg.sv
@@ -128,8 +128,8 @@
             end
           end
           begin
-            wait_timeout(timeout_ns, msg_id, $sformatf("timeout (addr=0x%0h) == 0x%0h",
-                csr_addr, exp_data));
+            `DV_WAIT_TIMEOUT(timeout_ns, msg_id, $sformatf("timeout (addr=0x%0h) == 0x%0h",
+                csr_addr, exp_data))
           end
         join_any
         disable fork;
diff --git a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_base_vseq.sv b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_base_vseq.sv
index 48f50e0..c45a3af 100644
--- a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_base_vseq.sv
+++ b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_base_vseq.sv
@@ -183,9 +183,9 @@
             if ((read_data == exp_data) | (reset_asserted == 1)) break;
           end
           begin
-            wait_timeout(timeout_ns, "intr_state_spinwait",
-                         $sformatf("timeout %0s (addr=0x%0h) == 0x%0h",
-                         intr_state_rg.get_full_name(), intr_state_rg.get_address(), exp_data));
+            `DV_WAIT_TIMEOUT(timeout_ns, "intr_state_spinwait",
+                             $sformatf("timeout %0s (addr=0x%0h) == 0x%0h",
+                             intr_state_rg.get_full_name(), intr_state_rg.get_address(), exp_data))
           end
         join_any
         disable fork;
diff --git a/hw/top_earlgrey/dv/env/ast_ext_clk_if.sv b/hw/top_earlgrey/dv/env/ast_ext_clk_if.sv
index 2dec45b..5102525 100644
--- a/hw/top_earlgrey/dv/env/ast_ext_clk_if.sv
+++ b/hw/top_earlgrey/dv/env/ast_ext_clk_if.sv
@@ -5,7 +5,6 @@
 // This interface enables hardening tests that expect to use the external clock by sampling an ast
 // external clock enable signal.
 interface ast_ext_clk_if ();
-  import dv_utils_pkg::wait_timeout;
   import uvm_pkg::*;
 
   // A timeout in case something holds the expected change.
@@ -14,11 +13,11 @@
   // This task returns once the external clock has gone through an active cycle.
   // Notice it will fail if the active cycle has already started.
   task automatic span_external_clock_active_window();
-    `DV_SPINWAIT(wait(u_ast.u_ast_clks_byp.u_io_clk_byp_en.out_o == 1'b1);,
+    `DV_WAIT(u_ast.u_ast_clks_byp.u_io_clk_byp_en.out_o == 1'b1,
                  "Took too long to enable external clock", WaitForExctClkSelChangeInNs,
                  "ast_ext_clk_if")
     `uvm_info("ast_ext_clk_if", "External clk became active for io clk", UVM_MEDIUM)
-    `DV_SPINWAIT(wait(u_ast.u_ast_clks_byp.u_io_clk_byp_en.out_o == 1'b0);,
+    `DV_WAIT(u_ast.u_ast_clks_byp.u_io_clk_byp_en.out_o == 1'b0,
                  "Took too long to disable external clock", WaitForExctClkSelChangeInNs,
                  "ast_ext_clk_if")
     `uvm_info("ast_ext_clk_if", "External clk back to inactive for io clk", UVM_MEDIUM)