Minor fixes to stubcpu logic
Sri helped track down some minor errors that caused cpu tests to terminate too
early
diff --git a/hw/dv/sv/tl_agent/tl_agent.sv b/hw/dv/sv/tl_agent/tl_agent.sv
index b566c48..e654642 100644
--- a/hw/dv/sv/tl_agent/tl_agent.sv
+++ b/hw/dv/sv/tl_agent/tl_agent.sv
@@ -24,7 +24,7 @@
if (!uvm_config_db#(tl_agent_cfg)::get(this, "", "cfg", cfg)) begin
`uvm_fatal("NO_CFG", {"cfg must be set for:", get_full_name(), ".cfg"});
end
- if (cfg.is_active || get_is_active() == UVM_ACTIVE) begin
+ if (cfg.is_active && get_is_active() == UVM_ACTIVE) begin
if (cfg.is_host) begin
host_driver = tl_host_driver::type_id::create("host_driver", this);
end else begin
@@ -36,7 +36,7 @@
endfunction : build_phase
function void connect_phase(uvm_phase phase);
- if (cfg.is_active || get_is_active() == UVM_ACTIVE) begin
+ if (cfg.is_active && get_is_active() == UVM_ACTIVE) begin
if (cfg.is_host) begin
host_driver.seq_item_port.connect(seqr.seq_item_export);
end else begin
diff --git a/hw/top_earlgrey/dv/env/seq_lib/chip_base_vseq.sv b/hw/top_earlgrey/dv/env/seq_lib/chip_base_vseq.sv
index 17922bd..833ba89 100644
--- a/hw/top_earlgrey/dv/env/seq_lib/chip_base_vseq.sv
+++ b/hw/top_earlgrey/dv/env/seq_lib/chip_base_vseq.sv
@@ -61,7 +61,7 @@
endtask
virtual task body();
- if (cfg.stub_cpu) begin
+ if (!cfg.stub_cpu) begin
monitor_cpu_state();
wait_for_cpu_test_complete(.timeout_ns(cpu_test_timeout_ns));
end