blob: 3c3eca6750bef2de19617e12651632763b576811 [file] [log] [blame]
// Copyright 2023 Google LLC
package kelvin
import chisel3._
import chisel3.util._
import common._
// Scalar instrumentation logging (printf).
class SLogIO(p: Parameters) extends Bundle {
val valid = Output(Bool())
val addr = Output(UInt(5.W))
val data = Output(UInt(32.W))
}