title: CW310 Target Pinout and Pinmux Connectivity

Pinout Table

Pad NameTypeBankConnectionSpecial FunctionPinmux Insel Constant / Muxed Output IndexDescription
POR_NInputStdVCCmanual-- / -System reset
SPI_HOST_D0BidirStdVIOAdirect-- / -SPI host data
SPI_HOST_D1BidirStdVIOAdirect-- / -SPI host data
SPI_HOST_D2BidirStdVIOAdirect-- / -SPI host data
SPI_HOST_D3BidirStdVIOAdirect-- / -SPI host data
SPI_HOST_CLKBidirStdVIOAdirect-- / -SPI host clock
SPI_HOST_CS_LBidirStdVIOAdirect-- / -SPI host chip select
SPI_DEV_D0BidirStdVIOAdirect-- / -SPI device data
SPI_DEV_D1BidirStdVIOAdirect-- / -SPI device data
SPI_DEV_D2BidirStdVIOAdirect-- / -SPI device data
SPI_DEV_D3BidirStdVIOAdirect-- / -SPI device data
SPI_DEV_CLKInputStdVIOAdirect-- / -SPI device clock
SPI_DEV_CS_LInputStdVIOAdirect-- / -SPI device chip select
IOA0BidirStdVIOAmuxed-kTopEarlgreyPinmuxInselIoa0 / kTopEarlgreyPinmuxMioOutIoa0Muxed IO pad
IOA1BidirStdVIOAmuxed-kTopEarlgreyPinmuxInselIoa1 / kTopEarlgreyPinmuxMioOutIoa1Muxed IO pad
IOA2BidirStdVIOAmuxed-kTopEarlgreyPinmuxInselIoa2 / kTopEarlgreyPinmuxMioOutIoa2Muxed IO pad
IOA3BidirStdVIOAmuxed-kTopEarlgreyPinmuxInselIoa3 / kTopEarlgreyPinmuxMioOutIoa3Muxed IO pad
IOA4BidirStdVIOAmuxed-kTopEarlgreyPinmuxInselIoa4 / kTopEarlgreyPinmuxMioOutIoa4Muxed IO pad
IOA5BidirStdVIOAmuxed-kTopEarlgreyPinmuxInselIoa5 / kTopEarlgreyPinmuxMioOutIoa5Muxed IO pad
IOA6BidirOdVIOAmuxed-kTopEarlgreyPinmuxInselIoa6 / kTopEarlgreyPinmuxMioOutIoa6Muxed IO pad
IOA7BidirOdVIOAmuxed-kTopEarlgreyPinmuxInselIoa7 / kTopEarlgreyPinmuxMioOutIoa7Muxed IO pad
IOA8BidirOdVIOAmuxed-kTopEarlgreyPinmuxInselIoa8 / kTopEarlgreyPinmuxMioOutIoa8Muxed IO pad
IOB0BidirStdVIOBmuxed-kTopEarlgreyPinmuxInselIob0 / kTopEarlgreyPinmuxMioOutIob0Muxed IO pad
IOB1BidirStdVIOBmuxed-kTopEarlgreyPinmuxInselIob1 / kTopEarlgreyPinmuxMioOutIob1Muxed IO pad
IOB2BidirStdVIOBmuxed-kTopEarlgreyPinmuxInselIob2 / kTopEarlgreyPinmuxMioOutIob2Muxed IO pad
IOB3BidirStdVIOBmuxed-kTopEarlgreyPinmuxInselIob3 / kTopEarlgreyPinmuxMioOutIob3Muxed IO pad
IOB4BidirStdVIOBmuxed-kTopEarlgreyPinmuxInselIob4 / kTopEarlgreyPinmuxMioOutIob4Muxed IO pad
IOB5BidirStdVIOBmuxed-kTopEarlgreyPinmuxInselIob5 / kTopEarlgreyPinmuxMioOutIob5Muxed IO pad
IOB6BidirStdVIOBmuxed-kTopEarlgreyPinmuxInselIob6 / kTopEarlgreyPinmuxMioOutIob6Muxed IO pad
IOB7BidirStdVIOBmuxed-kTopEarlgreyPinmuxInselIob7 / kTopEarlgreyPinmuxMioOutIob7Muxed IO pad
IOB8BidirStdVIOBmuxed-kTopEarlgreyPinmuxInselIob8 / kTopEarlgreyPinmuxMioOutIob8Muxed IO pad
IOB9BidirOdVIOBmuxed-kTopEarlgreyPinmuxInselIob9 / kTopEarlgreyPinmuxMioOutIob9Muxed IO pad
IOB10BidirOdVIOBmuxed-kTopEarlgreyPinmuxInselIob10 / kTopEarlgreyPinmuxMioOutIob10Muxed IO pad
IOB11BidirOdVIOBmuxed-kTopEarlgreyPinmuxInselIob11 / kTopEarlgreyPinmuxMioOutIob11Muxed IO pad
IOB12BidirOdVIOBmuxed-kTopEarlgreyPinmuxInselIob12 / kTopEarlgreyPinmuxMioOutIob12Muxed IO pad
IOC0BidirStdVCCmuxed-kTopEarlgreyPinmuxInselIoc0 / kTopEarlgreyPinmuxMioOutIoc0Muxed IO pad
IOC1BidirStdVCCmuxed-kTopEarlgreyPinmuxInselIoc1 / kTopEarlgreyPinmuxMioOutIoc1Muxed IO pad
IOC2BidirStdVCCmuxed-kTopEarlgreyPinmuxInselIoc2 / kTopEarlgreyPinmuxMioOutIoc2Muxed IO pad
IOC3BidirStdVCCmuxeddft0kTopEarlgreyPinmuxInselIoc3 / kTopEarlgreyPinmuxMioOutIoc3Muxed IO pad / DFT strap signal.
IOC4BidirStdVCCmuxeddft1kTopEarlgreyPinmuxInselIoc4 / kTopEarlgreyPinmuxMioOutIoc4Muxed IO pad / DFT strap signal.
IOC5BidirStdVCCmuxedtap1kTopEarlgreyPinmuxInselIoc5 / kTopEarlgreyPinmuxMioOutIoc5Muxed IO pad / TAP strap signal.
IOC6BidirStdVCCmuxed-kTopEarlgreyPinmuxInselIoc6 / kTopEarlgreyPinmuxMioOutIoc6Muxed IO pad
IOC7BidirStdVCCmuxed-kTopEarlgreyPinmuxInselIoc7 / kTopEarlgreyPinmuxMioOutIoc7Muxed IO pad
IOC8BidirStdVCCmuxedtap0kTopEarlgreyPinmuxInselIoc8 / kTopEarlgreyPinmuxMioOutIoc8Muxed IO pad / TAP strap signal.
IOC9BidirStdVCCmuxed-kTopEarlgreyPinmuxInselIoc9 / kTopEarlgreyPinmuxMioOutIoc9Muxed IO pad
IOC10BidirOdVCCmuxed-kTopEarlgreyPinmuxInselIoc10 / kTopEarlgreyPinmuxMioOutIoc10Muxed IO pad
IOC11BidirOdVCCmuxed-kTopEarlgreyPinmuxInselIoc11 / kTopEarlgreyPinmuxMioOutIoc11Muxed IO pad
IOC12BidirOdVCCmuxed-kTopEarlgreyPinmuxInselIoc12 / kTopEarlgreyPinmuxMioOutIoc12Muxed IO pad
IOR0BidirStdVCCmuxedtmskTopEarlgreyPinmuxInselIor0 / kTopEarlgreyPinmuxMioOutIor0Muxed IO pad / JTAG tms signal.
IOR1BidirStdVCCmuxedtdokTopEarlgreyPinmuxInselIor1 / kTopEarlgreyPinmuxMioOutIor1Muxed IO pad / JTAG tdo signal.
IOR2BidirStdVCCmuxedtdikTopEarlgreyPinmuxInselIor2 / kTopEarlgreyPinmuxMioOutIor2Muxed IO pad / JTAG tdi signal.
IOR3BidirStdVCCmuxedtckkTopEarlgreyPinmuxInselIor3 / kTopEarlgreyPinmuxMioOutIor3Muxed IO pad / JTAG tck signal.
IOR4BidirStdVCCmuxedtrst_nkTopEarlgreyPinmuxInselIor4 / kTopEarlgreyPinmuxMioOutIor4Muxed IO pad / JTAG trst_n signal.
IOR5BidirStdVCCmuxed-kTopEarlgreyPinmuxInselIor5 / kTopEarlgreyPinmuxMioOutIor5Muxed IO pad
IOR6BidirStdVCCmuxed-kTopEarlgreyPinmuxInselIor6 / kTopEarlgreyPinmuxMioOutIor6Muxed IO pad
IOR7BidirStdVCCmuxed-kTopEarlgreyPinmuxInselIor7 / kTopEarlgreyPinmuxMioOutIor7Muxed IO pad
IOR8BidirOdVCCdirect-- / -Dedicated sysrst_ctrl output (ec_rst_l)
IOR9BidirOdVCCdirect-- / -Dedicated sysrst_ctrl output (flash_wp_l))
IOR10BidirOdVCCmuxed-kTopEarlgreyPinmuxInselIor10 / kTopEarlgreyPinmuxMioOutIor10Muxed IO pad
IOR11BidirOdVCCmuxed-kTopEarlgreyPinmuxInselIor11 / kTopEarlgreyPinmuxMioOutIor11Muxed IO pad
IOR12BidirOdVCCmuxed-kTopEarlgreyPinmuxInselIor12 / kTopEarlgreyPinmuxMioOutIor12Muxed IO pad
IOR13BidirOdVCCmuxed-kTopEarlgreyPinmuxInselIor13 / kTopEarlgreyPinmuxMioOutIor13Muxed IO pad
IO_CLKInputStdVCCmanual-- / -Extra clock input for FPGA target
POR_BUTTON_NInputStdVCCmanual-- / -Power-on reset button input
JTAG_SRST_NInputStdVCCmanual-- / -JTAG header SRST, triggers POR
IO_USB_CONNECTBidirStdVCCmanual-- / -Manual USB UPHY signal for FPGA target
IO_USB_DP_TXBidirStdVCCmanual-- / -Manual USB UPHY signal for FPGA target
IO_USB_DN_TXBidirStdVCCmanual-- / -Manual USB UPHY signal for FPGA target
IO_USB_D_RXBidirStdVCCmanual-- / -Manual USB UPHY signal for FPGA target
IO_USB_DP_RXBidirStdVCCmanual-- / -Manual USB UPHY signal for FPGA target
IO_USB_DN_RXBidirStdVCCmanual-- / -Manual USB UPHY signal for FPGA target
IO_USB_OE_NBidirStdVCCmanual-- / -Manual USB UPHY signal for FPGA target
IO_USB_SPEEDBidirStdVCCmanual-- / -Manual USB UPHY signal for FPGA target
IO_USB_SUSPENDBidirStdVCCmanual-- / -Manual USB UPHY signal for FPGA target
IO_CLKOUTBidirStdVCCmanual-- / -Manual clock output for SCA setup
IO_TRIGGERBidirStdVCCmanual-- / -Manual trigger output for SCA setup

Pinmux Connectivity

Module / SignalConnectionPadPinmux Outsel Constant / Peripheral Input IndexDescription
usbdev_usb_dpmanual-- / -
usbdev_usb_dnmanual-- / -
spi_host0_sd[0]directSPI_HOST_D0- / -
spi_host0_sd[1]directSPI_HOST_D1- / -
spi_host0_sd[2]directSPI_HOST_D2- / -
spi_host0_sd[3]directSPI_HOST_D3- / -
spi_device_sd[0]directSPI_DEV_D0- / -
spi_device_sd[1]directSPI_DEV_D1- / -
spi_device_sd[2]directSPI_DEV_D2- / -
spi_device_sd[3]directSPI_DEV_D3- / -
gpio_gpio[0]muxed-kTopEarlgreyPinmuxOutselGpioGpio0 / kTopEarlgreyPinmuxPeripheralInGpioGpio0
gpio_gpio[1]muxed-kTopEarlgreyPinmuxOutselGpioGpio1 / kTopEarlgreyPinmuxPeripheralInGpioGpio1
gpio_gpio[2]muxed-kTopEarlgreyPinmuxOutselGpioGpio2 / kTopEarlgreyPinmuxPeripheralInGpioGpio2
gpio_gpio[3]muxed-kTopEarlgreyPinmuxOutselGpioGpio3 / kTopEarlgreyPinmuxPeripheralInGpioGpio3
gpio_gpio[4]muxed-kTopEarlgreyPinmuxOutselGpioGpio4 / kTopEarlgreyPinmuxPeripheralInGpioGpio4
gpio_gpio[5]muxed-kTopEarlgreyPinmuxOutselGpioGpio5 / kTopEarlgreyPinmuxPeripheralInGpioGpio5
gpio_gpio[6]muxed-kTopEarlgreyPinmuxOutselGpioGpio6 / kTopEarlgreyPinmuxPeripheralInGpioGpio6
gpio_gpio[7]muxed-kTopEarlgreyPinmuxOutselGpioGpio7 / kTopEarlgreyPinmuxPeripheralInGpioGpio7
gpio_gpio[8]muxed-kTopEarlgreyPinmuxOutselGpioGpio8 / kTopEarlgreyPinmuxPeripheralInGpioGpio8
gpio_gpio[9]muxed-kTopEarlgreyPinmuxOutselGpioGpio9 / kTopEarlgreyPinmuxPeripheralInGpioGpio9
gpio_gpio[10]muxed-kTopEarlgreyPinmuxOutselGpioGpio10 / kTopEarlgreyPinmuxPeripheralInGpioGpio10
gpio_gpio[11]muxed-kTopEarlgreyPinmuxOutselGpioGpio11 / kTopEarlgreyPinmuxPeripheralInGpioGpio11
gpio_gpio[12]muxed-kTopEarlgreyPinmuxOutselGpioGpio12 / kTopEarlgreyPinmuxPeripheralInGpioGpio12
gpio_gpio[13]muxed-kTopEarlgreyPinmuxOutselGpioGpio13 / kTopEarlgreyPinmuxPeripheralInGpioGpio13
gpio_gpio[14]muxed-kTopEarlgreyPinmuxOutselGpioGpio14 / kTopEarlgreyPinmuxPeripheralInGpioGpio14
gpio_gpio[15]muxed-kTopEarlgreyPinmuxOutselGpioGpio15 / kTopEarlgreyPinmuxPeripheralInGpioGpio15
gpio_gpio[16]muxed-kTopEarlgreyPinmuxOutselGpioGpio16 / kTopEarlgreyPinmuxPeripheralInGpioGpio16
gpio_gpio[17]muxed-kTopEarlgreyPinmuxOutselGpioGpio17 / kTopEarlgreyPinmuxPeripheralInGpioGpio17
gpio_gpio[18]muxed-kTopEarlgreyPinmuxOutselGpioGpio18 / kTopEarlgreyPinmuxPeripheralInGpioGpio18
gpio_gpio[19]muxed-kTopEarlgreyPinmuxOutselGpioGpio19 / kTopEarlgreyPinmuxPeripheralInGpioGpio19
gpio_gpio[20]muxed-kTopEarlgreyPinmuxOutselGpioGpio20 / kTopEarlgreyPinmuxPeripheralInGpioGpio20
gpio_gpio[21]muxed-kTopEarlgreyPinmuxOutselGpioGpio21 / kTopEarlgreyPinmuxPeripheralInGpioGpio21
gpio_gpio[22]muxed-kTopEarlgreyPinmuxOutselGpioGpio22 / kTopEarlgreyPinmuxPeripheralInGpioGpio22
gpio_gpio[23]muxed-kTopEarlgreyPinmuxOutselGpioGpio23 / kTopEarlgreyPinmuxPeripheralInGpioGpio23
gpio_gpio[24]muxed-kTopEarlgreyPinmuxOutselGpioGpio24 / kTopEarlgreyPinmuxPeripheralInGpioGpio24
gpio_gpio[25]muxed-kTopEarlgreyPinmuxOutselGpioGpio25 / kTopEarlgreyPinmuxPeripheralInGpioGpio25
gpio_gpio[26]muxed-kTopEarlgreyPinmuxOutselGpioGpio26 / kTopEarlgreyPinmuxPeripheralInGpioGpio26
gpio_gpio[27]muxed-kTopEarlgreyPinmuxOutselGpioGpio27 / kTopEarlgreyPinmuxPeripheralInGpioGpio27
gpio_gpio[28]muxed-kTopEarlgreyPinmuxOutselGpioGpio28 / kTopEarlgreyPinmuxPeripheralInGpioGpio28
gpio_gpio[29]muxed-kTopEarlgreyPinmuxOutselGpioGpio29 / kTopEarlgreyPinmuxPeripheralInGpioGpio29
gpio_gpio[30]muxed-kTopEarlgreyPinmuxOutselGpioGpio30 / kTopEarlgreyPinmuxPeripheralInGpioGpio30
gpio_gpio[31]muxed-kTopEarlgreyPinmuxOutselGpioGpio31 / kTopEarlgreyPinmuxPeripheralInGpioGpio31
i2c0_sdamuxed-kTopEarlgreyPinmuxOutselI2c0Sda / kTopEarlgreyPinmuxPeripheralInI2c0Sda
i2c0_sclmuxed-kTopEarlgreyPinmuxOutselI2c0Scl / kTopEarlgreyPinmuxPeripheralInI2c0Scl
i2c1_sdamuxed-kTopEarlgreyPinmuxOutselI2c1Sda / kTopEarlgreyPinmuxPeripheralInI2c1Sda
i2c1_sclmuxed-kTopEarlgreyPinmuxOutselI2c1Scl / kTopEarlgreyPinmuxPeripheralInI2c1Scl
i2c2_sdamuxed-kTopEarlgreyPinmuxOutselI2c2Sda / kTopEarlgreyPinmuxPeripheralInI2c2Sda
i2c2_sclmuxed-kTopEarlgreyPinmuxOutselI2c2Scl / kTopEarlgreyPinmuxPeripheralInI2c2Scl
spi_host1_sd[0]muxed-kTopEarlgreyPinmuxOutselSpiHost1Sd0 / kTopEarlgreyPinmuxPeripheralInSpiHost1Sd0
spi_host1_sd[1]muxed-kTopEarlgreyPinmuxOutselSpiHost1Sd1 / kTopEarlgreyPinmuxPeripheralInSpiHost1Sd1
spi_host1_sd[2]muxed-kTopEarlgreyPinmuxOutselSpiHost1Sd2 / kTopEarlgreyPinmuxPeripheralInSpiHost1Sd2
spi_host1_sd[3]muxed-kTopEarlgreyPinmuxOutselSpiHost1Sd3 / kTopEarlgreyPinmuxPeripheralInSpiHost1Sd3
sysrst_ctrl_aon_ec_rst_ldirectIOR8- / -
sysrst_ctrl_aon_flash_wp_ldirectIOR9- / -
spi_device_sckdirectSPI_DEV_CLK- / -
spi_device_csbdirectSPI_DEV_CS_L- / -
uart0_rxmuxed-- / kTopEarlgreyPinmuxPeripheralInUart0Rx
uart1_rxmuxed-- / kTopEarlgreyPinmuxPeripheralInUart1Rx
uart2_rxmuxed-- / kTopEarlgreyPinmuxPeripheralInUart2Rx
uart3_rxmuxed-- / kTopEarlgreyPinmuxPeripheralInUart3Rx
spi_device_tpm_csbmuxed-- / kTopEarlgreyPinmuxPeripheralInSpiDeviceTpmCsb
flash_ctrl_tckmuxed-- / kTopEarlgreyPinmuxPeripheralInFlashCtrlTck
flash_ctrl_tmsmuxed-- / kTopEarlgreyPinmuxPeripheralInFlashCtrlTms
flash_ctrl_tdimuxed-- / kTopEarlgreyPinmuxPeripheralInFlashCtrlTdi
sysrst_ctrl_aon_ac_presentmuxed-- / kTopEarlgreyPinmuxPeripheralInSysrstCtrlAonAcPresent
sysrst_ctrl_aon_key0_inmuxed-- / kTopEarlgreyPinmuxPeripheralInSysrstCtrlAonKey0In
sysrst_ctrl_aon_key1_inmuxed-- / kTopEarlgreyPinmuxPeripheralInSysrstCtrlAonKey1In
sysrst_ctrl_aon_key2_inmuxed-- / kTopEarlgreyPinmuxPeripheralInSysrstCtrlAonKey2In
sysrst_ctrl_aon_pwrb_inmuxed-- / kTopEarlgreyPinmuxPeripheralInSysrstCtrlAonPwrbIn
sysrst_ctrl_aon_lid_openmuxed-- / kTopEarlgreyPinmuxPeripheralInSysrstCtrlAonLidOpen
usbdev_sensemuxed-- / kTopEarlgreyPinmuxPeripheralInUsbdevSense
spi_host0_sckdirectSPI_HOST_CLK- / -
spi_host0_csbdirectSPI_HOST_CS_L- / -
uart0_txmuxed-kTopEarlgreyPinmuxOutselUart0Tx / -
uart1_txmuxed-kTopEarlgreyPinmuxOutselUart1Tx / -
uart2_txmuxed-kTopEarlgreyPinmuxOutselUart2Tx / -
uart3_txmuxed-kTopEarlgreyPinmuxOutselUart3Tx / -
pattgen_pda0_txmuxed-kTopEarlgreyPinmuxOutselPattgenPda0Tx / -
pattgen_pcl0_txmuxed-kTopEarlgreyPinmuxOutselPattgenPcl0Tx / -
pattgen_pda1_txmuxed-kTopEarlgreyPinmuxOutselPattgenPda1Tx / -
pattgen_pcl1_txmuxed-kTopEarlgreyPinmuxOutselPattgenPcl1Tx / -
spi_host1_sckmuxed-kTopEarlgreyPinmuxOutselSpiHost1Sck / -
spi_host1_csbmuxed-kTopEarlgreyPinmuxOutselSpiHost1Csb / -
flash_ctrl_tdomuxed-kTopEarlgreyPinmuxOutselFlashCtrlTdo / -
sensor_ctrl_ast_debug_out[0]muxed-kTopEarlgreyPinmuxOutselSensorCtrlAstDebugOut0 / -
sensor_ctrl_ast_debug_out[1]muxed-kTopEarlgreyPinmuxOutselSensorCtrlAstDebugOut1 / -
sensor_ctrl_ast_debug_out[2]muxed-kTopEarlgreyPinmuxOutselSensorCtrlAstDebugOut2 / -
sensor_ctrl_ast_debug_out[3]muxed-kTopEarlgreyPinmuxOutselSensorCtrlAstDebugOut3 / -
sensor_ctrl_ast_debug_out[4]muxed-kTopEarlgreyPinmuxOutselSensorCtrlAstDebugOut4 / -
sensor_ctrl_ast_debug_out[5]muxed-kTopEarlgreyPinmuxOutselSensorCtrlAstDebugOut5 / -
sensor_ctrl_ast_debug_out[6]muxed-kTopEarlgreyPinmuxOutselSensorCtrlAstDebugOut6 / -
sensor_ctrl_ast_debug_out[7]muxed-kTopEarlgreyPinmuxOutselSensorCtrlAstDebugOut7 / -
sensor_ctrl_ast_debug_out[8]muxed-kTopEarlgreyPinmuxOutselSensorCtrlAstDebugOut8 / -
pwm_aon_pwm[0]muxed-kTopEarlgreyPinmuxOutselPwmAonPwm0 / -
pwm_aon_pwm[1]muxed-kTopEarlgreyPinmuxOutselPwmAonPwm1 / -
pwm_aon_pwm[2]muxed-kTopEarlgreyPinmuxOutselPwmAonPwm2 / -
pwm_aon_pwm[3]muxed-kTopEarlgreyPinmuxOutselPwmAonPwm3 / -
pwm_aon_pwm[4]muxed-kTopEarlgreyPinmuxOutselPwmAonPwm4 / -
pwm_aon_pwm[5]muxed-kTopEarlgreyPinmuxOutselPwmAonPwm5 / -
otp_ctrl_test[0]muxed-kTopEarlgreyPinmuxOutselOtpCtrlTest0 / -
sysrst_ctrl_aon_bat_disablemuxed-kTopEarlgreyPinmuxOutselSysrstCtrlAonBatDisable / -
sysrst_ctrl_aon_key0_outmuxed-kTopEarlgreyPinmuxOutselSysrstCtrlAonKey0Out / -
sysrst_ctrl_aon_key1_outmuxed-kTopEarlgreyPinmuxOutselSysrstCtrlAonKey1Out / -
sysrst_ctrl_aon_key2_outmuxed-kTopEarlgreyPinmuxOutselSysrstCtrlAonKey2Out / -
sysrst_ctrl_aon_pwrb_outmuxed-kTopEarlgreyPinmuxOutselSysrstCtrlAonPwrbOut / -
sysrst_ctrl_aon_z3_wakeupmuxed-kTopEarlgreyPinmuxOutselSysrstCtrlAonZ3Wakeup / -